KR102571918B1 - 위치 계측을 위한 계측 센서 - Google Patents

위치 계측을 위한 계측 센서 Download PDF

Info

Publication number
KR102571918B1
KR102571918B1 KR1020217007815A KR20217007815A KR102571918B1 KR 102571918 B1 KR102571918 B1 KR 102571918B1 KR 1020217007815 A KR1020217007815 A KR 1020217007815A KR 20217007815 A KR20217007815 A KR 20217007815A KR 102571918 B1 KR102571918 B1 KR 102571918B1
Authority
KR
South Korea
Prior art keywords
illumination
metrology device
pupil
delete delete
operable
Prior art date
Application number
KR1020217007815A
Other languages
English (en)
Other versions
KR20210043661A (ko
Inventor
세바스티아누스 아드리아누스 굴덴
사이몬 레이날드 휘스만
사이먼 지스버트 조세푸스 마시젠
헨리쿠스 페트루스 마리아 펠레만스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210043661A publication Critical patent/KR20210043661A/ko
Application granted granted Critical
Publication of KR102571918B1 publication Critical patent/KR102571918B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/0016Technical microscopes, e.g. for inspection or measuring in industrial production processes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/48Laser speckle optics
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70583Speckle reduction, e.g. coherence control or amplitude/wavefront splitting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Vehicle Body Suspensions (AREA)

Abstract

복수의 조명 빔을 포함하는 측정 조명을 생성하도록 구성된 계측 디바이스(1600)가 개시되며, 조명 빔들의 각각은 공간적으로 비간섭성이거나 의사-공간적 비간섭성이며 또한 계측 디바이스의 조명 퓨필 내의 다수의 퓨필 포인트를 포함하고 있다. 복수의 조명 빔의 각 하나의 조명 빔 내의 각 퓨필 포인트는 복수의 조명 빔의 나머지 조명 빔들 중 적어도 하나에 대응 퓨필 포인트를 가지며 그에 의하여 대응하는 퓨필 포인트의 다수의 세트를 규정하고, 또한 대응하는 퓨필 포인트의 각 세트의 퓨필 포인트들은 서로에 대해 공간적으로 간섭성이다.

Description

위치 계측을 위한 계측 센서
본 출원은 2018년 9월 19일에 출원된 EP 출원 제18195488.4호 및 2019년 1월 3일에 출원된 EP 출원 제19150245.9호의 우선권을 주장한다. 양 출원은 본 명세서에서 그 전문이 인용 참조된다.
본 발명은 리소그래피 공정에서의 위치 정보를 측정하기 위한 방법 및 장치에 관한 것이다.
리소그래피 장치는 기판 상으로, 통상적으로 기판의 타겟 부분 상으로 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클로 지칭되는 패터닝 디바이스가 IC의 개별 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판 (예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 하나 또는 여러 다이의 부분을 포함하는) 타겟 부분 상으로 전사될 수 있다. 패턴의 전사는 전형적으로 기판에 제공된 방사선-감응 물질(레지스트)의 층 상으로의 이미징(imaging)을 통해 이루어진다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 부분들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는 전체 패턴을 한번에 타겟 부분 상으로 노광함으로써 각 타겟 부분이 조사되는 소위 스테퍼, 및 패턴을 주어진 방향 ("스캐닝" 방향)으로 방사선 빔을 통해 스캐닝하는 반면에 동시에 이 방향에 평행하게 또는 반(anti) 평행하게 기판을 스캐닝함으로써 각 타겟 부분이 조사되는 소위 스캐너를 포함하고 있다. 패턴을 기판 상으로 임프린트(imprint)함으로써 패턴을 패터닝 디바이스로부터 기판으로 전사하는 것 또한 가능하다
복잡한 디바이스의 제조에서, 전형적으로 많은 리소그래피 패터닝 단계가 수행되며, 그에 의하여 기판 상의 연속적인 층에 기능적 피처를 형성한다. 따라서 리소그래피 장치의 성능의 중요한 양태는 이전 층에 (동일한 장치 또는 상이한 리소그래피 장치에 의하여) 놓여진 피처와 관련하여 적용된 패턴을 정확하게 그리고 정밀하게 위치시키는 능력이다. 이 목적을 위해, 기판은 하나 이상의 정렬 마크 세트를 구비하고 있다. 각 마크는 위치 센서, 전형적으로 광학 위치 센서를 사용하여 위치가 나중에 측정될 수 있는 구조체이다. 리소그래피 장치는 하나 이상의 정렬 센서를 포함하고 있으며, 기판 상의 마크의 위치는 이 정렬 센서에 의하여 정확하게 측정될 수 있다. 상이한 유형의 마크들 및 상이한 유형의 정렬 센서들은 상이한 제조 업체들 및 동일한 제조 업체의 상이한 제품들에서 알려져 있다. 현재 리소그래피 장치에서 널리 사용되는 센서 유형은 US6,961,116 (den Boef 등)에 설명된 바와 같이 자기-참조 간섭계(self-referencing interferometer)를 기반으로 한다. 일반적으로, 마크는 X- 및 Y-위치를 얻기 위해 별도로 측정된다. 그러나 조합된 X- 및 Y-측정은 공개된 특허 출원 US2009/195768A (Bijnen 등)에 설명된 기술을 사용하여 수행될 수 있다. 이러한 센서의 변경 및 적용이 US2015/355554A1 (Mathijssen)와 W02015/051970A1 (Tinnemans et 등)에 설명되어 있다. 이 공보들 모두의 내용은 본 명세서에서 인용 참조된다.
리소그래피 공정을 모니터링하기 위하여, 패터닝된 기판의 매개변수가 측정된다. 매개변수는, 예를 들어 패터닝된 기판 내에 또는 상에 형성된 연속적인 층들 간의 오버레이 오차를 포함할 수 있다. 이 측정은 제품 기판 상에 및/또는 전용 계측 타겟 상에서 수행될 수 있다. 주사 전자 현미경 및 다양한 전문적인 툴의 사용을 포함하는, 리소그래피 공정에서 형성된 미세 구조체를 측정하기 위한 다양한 기술이 있다. 빠르고 비침습적인 형태의 전문적인 검사 툴은 방사선의 빔이 기판 표면 상의 타겟으로 향하고 산란된 또는 반사된 빔의 특성이 측정되는 스캐터로미터이다. 2개의 주요 유형의 스캐터로미터가 알려져 있다. 분광 스캐터로미터는 광대역 방사선 빔을 기판 상으로 향하게 하며 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼 (파장 함수로서의 세기)을 측정한다. 각도 분해 스캐터로미터는 단색 방사선 빔을 사용하며 각도의 함수로서 산란된 방사선의 세기를 측정한다.
공지된 스캐터로미터의 예는 US2006/033921A1 및 US2010/201963A1에서 설명된 유형의 각도-분해 스캐터로미터(angle-resolved scatterometer)를 포함하고 있다. 이러한 스캐터로미터에 의하여 사용되는 타겟은 비교적 크며, 예를 들어 40㎛×40㎛이며, 격자 및 측정 빔은 격자보다 작은 스폿을 생성한다 (즉, 격자는 언더필된다(underfilled)). 재구성에 의한 피처 형상의 측정에 더하여, 회절 기반 오버레이는 공개된 특허 출원 US2006/066855A1에 설명된 바와 같이, 이러한 장치를 사용하여 측정될 수 있다. 회절 차수의 암시야 이미징을 사용하는 회절 기반 오버레이 계측은 더 작은 타겟에서의 오버레이 측정을 가능하게 한다. 암시야 이미징 계측의 예는 국제특허출원 WO2009/078708 및 WO2009/106279에서 찾아질 수 있으며, 이 문헌들은 그 전문이 본 명세서에서 인용 참조된다. 이 기술의 추가 개발 사항은 공개된 특허 공개 US2011/0027704A, US2011/0043791A, US2011/102753A1, US2012/0044470A, US2012/0123581A, US2013/0258310A, US2013/0271740A 및 WO2013/178422A1에 설명되고 있다. 이 타겟은 조명 스폿보다 작을 수 있으며 또한 웨이퍼 상의 제품 구조체로 둘러싸일 수 있다. 다수의 격자는 복합 격자 타겟을 사용하여 하나의 이미지에서 측정될 수 있다. 이 공보들 모두의 내용은 본 명세서에서 인용 참조된다.
본 발명의 제1 양태에서, 복수의 조명 빔을 포함하는 측정 조명을 생성하도록 구성된 계측 디바이스가 제공되며, 조명 빔들의 각각은 공간적으로 비간섭성이거나 의사-공간적 비간섭성이며 계측 디바이스의 조명 퓨필 내의 다수의 퓨필 포인트를 포함하고, 복수의 조명 빔의 각 하나의 조명 빔 내의 각 퓨필 포인트는 복수의 조명 빔의 나머지 조명 빔들 중 적어도 하나 내의 대응하는 퓨필 포인트를 가지며, 이에 의하여 다수의 대응하는 퓨필 포인트 세트를 규정하고, 대응하는 퓨필 포인트의 각 세트의 퓨필 포인트는 서로에 대해 공간적으로 간섭성이다.
실시예에서, 각 퓨필 포인트는 동일한 조명 빔 내의 다른 모든 퓨필 포인트에 대하여 실질적으로 공간적으로 비간섭성이다.
실시예에서, 적어도 고려된 측정 방향에 대응하는 조명 빔에 대하여, 각 퓨필 포인트 세트는 조명 퓨필 내의 다른 모든 퓨필 포인트 세트의 기하학적 평행이동(geometric translation)이다.
실시예에서, 계측 디바이스는 비간섭성 방사선의 단일 빔으로부터 측정 조명의 복수의 조명 빔을 생성하도록 축외 조명 생성기를 더 포함하고 있다. 추가 실시예에서, 축외 조명 생성기는 각 측정 방향에 대한 적어도 하나의 위상 격자 또는 2D 위상 격자를 포함하고 있다. 추가 실시예에서, 축외 조명 생성기는, 각 조명 빔 내의 상이한 파장들이 공유 입사 조명 각도를 갖도록 배열된, 각 측정 방향에 대한 적어도 한 쌍의 위상 격자 또는 2D 위상 격자, 적어도 한 쌍의 렌즈 및 적어도 한 쌍의 렌즈의 하나의 렌즈에 의하여 규정된 푸리에 평면 내의 적어도 한 쌍의 광학 웨지를 포함하고 있다. 추가 실시예에서, 축외 조명 생성기는 생성된 조명 빔들의 세기가 균형을 이루도록 생성된 조명 빔들 중 적어도 하나의 경로에 위치된 적어도 하나의 가변 감쇠기를 포함하고 있다.
실시예에서, 축외 조명 생성기는 비간섭성 방사선의 단일 빔으로부터 4개의 동일한 조명 빔을 생성하도록 그리고 각 조명 빔 내의 상이한 파장들이 공유 입사 조명 각도를 갖도록 배치된, 복수의 빔 스플리터 및 리플렉터 컴포넌트를 포함하고 있다.
실시예에서, 각 조명 빔은 조명 퓨필 내에 위치되며, 따라서 주기적 구조체에 의한 측정 조명의 산란에 뒤이어, 대응하는 더 높은 회절 차수가 각 조명 빔에 대하여 계측 디바이스의 검출 퓨필에서 캡처된다. 추가 실시예에서, 복수의 조명 빔은 고려된 측정 방향마다 한 쌍의 조명 빔을 포함하고 있으며, 캡처된 대응하는 더 높은 회절 차수는 각 방향에 대해 상보적인 더 높은 회절 차수를 포함하고 있다. 추가 실시예에서, 대응하는 퓨필 포인트의 각 세트의 퓨필 포인트는 복수의 조명 빔의 모두에 대해 서로에 관하여 공간적으로 간섭성이다. 또 다른 추가 실시예에서, 대응하는 퓨필 포인트의 각 세트의 퓨필 포인트들은 고려된 측정 방향들 중 하나에 대응하는 조명 빔의 각 쌍에 대해서만 서로에 대해 공간적으로 간섭성이다.
실시예에서, 계측 디바이스는 0차 산란 방사선이 검출되지 않도록 암시야 구성에서 작동 가능하다.
실시예에서, 계측 디바이스는 계측 디바이스의 검출 퓨필을 이미징하기 위한 퓨필 이미징 분기부를 포함하고 있다. 추가 실시예에서, 계측 디바이스는 측정 조명 및/또는 조명 빔의 스폿 크기를 조정하기 위한 스폿 크기 튜너(tuner)를 포함하고 있다.
실시예에서, 계측 디바이스는 조명 퓨필 및/또는 검출 퓨필 내의 조정 가능한 필터를 포함하고 있으며, 조정 가능한 필터는 색상, 편광, 공간 분포 및 각도 분포 중 하나 이상을 조정하기 위한 것이다.
실시예에서, 계측 디바이스는 더 높은 회절 차수의 간섭으로부터 생긴 간섭 패턴을 이미지화하도록 작동 가능한 검출기를 포함하고 있다. 추가 실시예에서, 계측 디바이스는 간섭 패턴의 위치로부터 위치 정보를 결정하도록 작동 가능하다. 추가 실시예에서, 계측 디바이스는 간섭 패턴의 위치로부터 고정된 기준에 대하여 기판 상의 주기적 구조체의 위치를 측정하기 위한 정렬 센서로서 작동 가능하다. 추가 실시예에서, 계측 디바이스는 상이한 주기적 구조체들에 각각 대응하는 2개의 간섭 패턴의 상대적 위치로부터 오버레이를 측정하도록 작동 가능한 오버레이 계측 디바이스로서 작동 가능하다.
실시예에서, 계측 디바이스는 복수의 측정을 얻기 위해 기판 상의 복수의 주기적 구조체를 측정하도록; 그리고 복수의 위치 측정을 기반으로 후속 처리 단계에서 기판의 위치 결정을 최적화하도록 작동 가능하다. 추가 실시예에서, 주기적 구조체의 일부 또는 전부는 기판 상에 구조체를 형성하기 위한 리소그래피 공정의 상이한 층과 관련된다. 추가 실시예에서, 계측 디바이스는 기판의 위치 결정을 최적화하는 것이 각 층의 결정된 또는 할당된 임계도(criticality)를 기반으로 가중 평균을 결정하는 것을 포함하도록 작동 가능하다. 실시예에서, 계측 디바이스는 단일 이미지에서 주기적 구조체들의 각각에 대응하는 간섭 패턴을 캡처함으로써 기판 상의 복수의 주기적 구조체를 측정하도록 작동 가능하다.
실시예에서, 측정 조명은 다중 파장 또는 파장 대역을 포함하고 있다. 추가 실시예에서, 계측 디바이스는 다중 파장 또는 파장 대역의 각각에 대응하는 각 간섭 패턴의 별도의 이미지를 획득하도록 작동 가능하며, 별도의 이미지들은 검출기의 동일한 영역에서 연속적으로 획득되고; 또는 동시에, 각 이미지는 검출기의 상이한 영역 또는 상이한 검출기에서 획득된다.
실시예에서, 계측 디바이스는 검출기의 동일한 영역에서 다중 파장 또는 파장 대역의 각각에 대응하는 간섭 패턴들을 동시에 이미지화하도록 작동 가능하며, 각 간섭 패턴의 상이한 배향 각도를 기반으로 간섭 패턴들을 분리함으로써 이미지를 처리하도록 더 작동 가능하다.
실시예에서, 계측 디바이스는 각 주기적 구조체에 대하여, 간섭 패턴의 하나 이상의 최적화된 관심 대상 영역을 결정하도록 작동 가능하다. 추가 실시예에서, 하나 이상의 최적화된 관심 대상 영역은 간섭 패턴의 이미지의 하나 이상의 특성 및/또는 최적화된 관심 대상 영역의 함수로서의 측정된 또는 모델링된 성능 매개변수 값을 기반으로 결정된다. 추가 실시예에서, 하나 이상의 최적화된 관심 대상 영역은 복수의 관심 대상 영역을 포함하고 있으며, 각 관심 대상 영역은 간섭 패턴의 이미지의 하나 이상의 특성 및/또는 최적화된 관심 대상 영역의 함수로서의 측정된 또는 모델링된 성능 매개변수 값에 기초한 대응하는 가중치(weighting)를 포함하고 있다.
실시예에서, 측정 조명은 알려진 편광 상태를 포함하고 있으며, 계측 디바이스는 편광 분리 요소를 포함하고, 계측 디바이스는 편광 분해 간섭 패턴을 별도로 이미지화하도록 배치되어 있다.
실시예에서, 계측 디바이스는 상이한 조명 모드에서 작동 가능하며, 측정 조명은 복수의 조명 빔의 서브세트만을 포함하고 있다. 추가 실시예에서, 조명 모드는 하나의 빔 또는 단일 빔 모드들을 포함하고 있으며, 서브세트는 조명 빔들 중 단일 빔만을 포함하고 있다. 추가 실시예에서, 계측 디바이스는 단일 빔 모드들 중 하나 이상을 사용하여 검출된 산란 방사선의 검출된 세기를 기반으로 주기적 구조체 내의 비대칭성을 결정하도록 작동 가능하다. 추가 실시예에서, 검출된 세기는 단일 빔 모드들 중 2개의 빔 모드를 사용하여 획득된 서로 반대의 더 높은 회절 차수들 간의 검출된 세기 차이를 포함하고 있으며, 각 단일 빔 모드는 더 높은 회절 차수들 중 하나에 대응한다. 추가 실시예에서, 결정된 비대칭성은 위치 측정을 보정하기 위해 사용된다. 추가 실시예에서, 결정된 비대칭성은 오버레이 또는 초점에 대한 값을 결정하기 위해 사용된다.
실시예에서, 계측 디바이스는 간섭 패턴 내에서의 간섭 프린지 콘트라스트의 측정을 기반으로 주기적 구조체의 비대칭성을 결정하도록 작동 가능하다.
실시예에서, 계측 디바이스는 간섭 패턴 내에서의 간섭 프린지의 위치의 측정을 기반으로 주기적 구조체의 비대칭성을 결정하도록 작동 가능하다.
실시예에서, 계측 디바이스는 검출기에서의 드리프트에 대한 교정을 가능하게 하도록 적어도 하나의 검출기 기준 주기적 구조체를 포함하고 있다.
실시예에서, 계측 디바이스는 측정 조명에 대해 조정 가능한 파장 및/또는 스펙트럼 기능을 제공하는 조명 조정 컴포넌트를 포함하고 있다.
실시예에서, 계측 디바이스는 계측 디바이스 내의 광학 수차에 대해 교정을 가능하게 하도록 적어도 하나의 수차 기준 주기적 구조체를 포함하고 있다. 추가 실시예에서, 계측 디바이스는 기준 주기적 구조체를 측정함으로써, 기준 주기적 구조체의 측정으로부터 계측 디바이스 내의 광학 수차를 설명하는 수차 핑거프린트를 결정함으로써, 그리고 후속 측정을 보정하도록 수차 핑거프린트를 사용함으로써 수차 교정을 수행하도록 작동 가능하다.
실시예에서, 계측 디바이스는 측정 조명의 편광을 조정하기 위한 조정 가능한 편광기 및 계측 디바이스의 검출 경로 내의 대응하는 편광 검출기를 포함하고 있다.
실시예에서, 계측 디바이스는 조명 빔들 사이의 간섭성을 변화시키도록 작동 가능한 퓨필 조명 모드 컴포넌트를 포함하고 있다.
추가 실시예에서, 계측 디바이스는 측정 방사선을 생성하기 위한 공간적 비간섭성 방사선 소스를 포함하고 있다. 추가 실시예에서, 공간적 비간섭성 방사선 소스는 백열 소스, 발광 다이오드 소스 또는 레이저 생성 플라즈마 소스를 포함하고 있다.
실시예에서, 계측 디바이스는 공간적 비간섭성 방사선을 모방하는 방사선을 생성하는 의사-공간적 비간섭성 방사선 소스를 포함하고 있다. 추가 실시예에서, 의사-공간적 비간섭성 방사선 소스는 다중 모드 방사선을 생성하기 위한 레이저 소스 및 다중 모드 생성기를 포함하고 있으며, 의사-공간적 비간섭성 방사선 소스는 공간적 비간섭성 방사선을 모방하기 위하여 다중 모드 방사선의 다양한 실현들을 앙상블 평균(ensemble average)하도록 작동 가능하다. 다른 실시예에서, 다중 모드 생성기는 스펙클(speckle) 패턴을 생성하기 위해 회전 디퓨저를 포함하고 있다. 또 다른 실시예에서, 다중 모드 생성기는 상이한 각도들에 걸쳐 레이저로부터 단일 모드 레이저 빔을 스캐닝하기 위한 고니오미터(goniometer)를 포함하고 있다.
본 발명의 다양한 실시예의 구조 및 작동뿐만 아니라 본 발명의 다른 양태, 특징 및 이점이 첨부 도면을 참조하여 아래에서 상세히 설명된다. 본 발명은 본 명세서에 설명된 특정 실시예에 제한되지 않는다는 점이 주목된다. 이러한 실시예는 단지 예시 목적으로 본 명세서에 제시된다. 부가적인 실시예는 본 명세서에 포함된 교시에 기초하여 관련 기술(들)의 숙련자에게 명백할 것이다.
첨부된 개략적인 도면을 참조하여 본 발명의 실시예가 단지 예로서 설명될 것이며, 도면에서:
도 1은 반도체 디바이스를 위한 생산 설비를 형성하는 다른 장치와 함께 리소그래피 장치를 도시하고 있다.
도 2는 본 발명의 제1 실시예에 따른 계측 디바이스의 개략도이다.
도 3은 (a) 입력 방사선의 퓨필 이미지; (b) 제1 실시예에 따른 도 2의 계측 디바이스의 작동 원리를 예시하는 축외 조명 빔의 퓨필 이미지; 및 (c) 제2 실시예에 따른 도 2의 계측 디바이스의 작동 원리를 예시하는 축외 조명 빔의 퓨필 이미지를 포함하고 있다.
도 4는 (a) 정렬에 사용 가능한 예시적인 타겟, (b) 단일 차수의 검출에 대응하는 검출 퓨필의 퓨필 이미지, (c) 4개의 회절 차수의 검출에 대응하는 검출 퓨필의 퓨필 이미지, 및 (d) 도 4a의 타겟의 측정에 뒤이은 이미지화된 간섭 패턴의 개략적인 예를 보여주고 있다.
도 5는 정렬 측정 동안 (a) 제1 기판 위치 및 (b) 제2 기판 위치에 대응하는 이미지화된 간섭 패턴을 개략적으로 보여주고 있다.
도 6은 도 2의 계측 시스템을 통한 방사선의 전파를 개략적으로 도시하고 있다.
도 7은 (a) 축외 조명 생성기의 제1 실시예; (b) 평면도와 (c) 측면도의 축외 조명 생성기의 제2 실시예; 및 (d) 축외 조명 생성기의 제3 실시예의 개략적인 도면이다.
도 8은 실시예에 따른, 동일 카메라에서 동시에 이미지화된 2개의 파장에 대응하는 이미지화된 간섭 패턴을 개략적으로 보여주고 있다.
도 9는 (a) 오버레이에서 사용할 수 있는 예시적인 타겟 및 (b) 오버레이를 측정하기 위한 실시예에 따른, 도 9a의 타겟의 측정에 뒤이은 이미지화된 간섭 패턴의 개략적인 예를 보여주고 있다.
도 10은 실시예에 따른 도 2의 계측 디바이스를 사용하여 타겟 내의 비대칭성을 측정하는 다양한 방법을 도시하는 것으로: 도 10a 및 도 10c는 제1 및 제2 조명 모드의 퓨필 이미지를 각각 도시하며; 도 10b 및 도 10d는 제1 및 제2 조명 모드로부터 각각 생성된 이미지 캡처의 개략적인 도면이고; 도 10e 및 도 10f는 각각 제로(zero) 비대칭성 및 비제로(non-zero) 비대칭성을 갖는 타겟을 측정하는 것으로부터 각각 생성된 간섭 프린지 패턴을 보여주고 있으며; 그리고 도 10f 및 도 10g는 다수의 회절 차수의 동시 검출을 도시하고 있다.
도 11은 실시예에 따른, 간섭 패턴의 해석을 위해 선택된 임의의 형상화된 관심 대상 영역을 개략적으로 보여주고 있다.
도 12는 실시예에 따른, 단일 이미지 캡처에서 측정된 4개의 타겟의 간섭 패턴을 개략적으로 보여주고 있다.
도 13은 본 발명의 제2 실시예에 따른 계측 디바이스의 개략도이다.
도 14a 내지 도 14e는 다양한 펌프-프로브(pump-probe) 조명 방법을 개략적으로 도시하고 있다.
도 15는 (a) 비대칭성 최적화를 위한 이중 격자 축외 조명 생성기와 관련된 위상 프로파일; 및 (b)는 위상 심도에 대한 회절 효율의 연관된 스윙 곡선을 도시하고 있다.
도 16은 본 발명의 제3 실시예에 따른 계측 디바이스의 개략도이다.
도 17은 본 발명의 실시예에 따른 계측 디바이스의 광학계에서의 수차의 영향을 완화하기 위한 교정을 설명하는 흐름도이다.
본 발명의 실시예를 상세하게 설명하기 전에, 본 발명의 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다
도 1은 200에서 대량 리소그래피 제조 공정을 구현하는 산업 생산 설비의 일부로서의 리소그래피 장치(LA)를 보여주고 있다. 본 예에서, 제조 공정은 반도체 웨이퍼와 같은 기판 상의 반도체 제품 (집적 회로)의 제조에 맞춰져 있다. 당업자는 이 공정의 변형에서 상이한 유형의 기판을 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 인식할 것이다. 반도체 제품의 생산은 오직 예시로서 사용되며, 이는 오늘날 상업적으로 큰 의미를 갖고 있다.
리소그래피 장치 (또는 요약해서 "리소 툴(litho tool)"(200)) 내에서, 측정 스테이션(MEA)이 202에서 보여지며 노광 스테이션(EXP)은 204에서 보여지고 있다. 제어 유닛(LACU)은 206에서 보여지고 있다. 이 예에서, 각 기판은 측정 스테이션 및 노광 스테이션에 체류(visit)하여 적용된 패턴을 갖게 된다. 광학 리소그래피 장치에서, 예를 들어, 투영 시스템은 조정된 방사선과 투영 시스템을 사용하여 제품 패턴을 패터닝 디바이스(MA)로부터 기판 상으로 전사하기 위하여 사용된다. 이는 패턴의 이미지를 방사선-감응성 레지스트 물질의 층에 형성함으로써 수행된다
본 명세서에서 사용되는 용어 "투영 시스템"은 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 요인에 대하여 적절하다면 굴절, 반사, 반사 굴절(catadioptric), 자기, 전자기 및 정전 광학 시스템, 또는 그들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함하는 것으로서 넓게 해석되어야 한다. 패터닝 디바이스(MA)는 마스크 또는 레티클일 수 있으며, 이는 패터닝 디바이스에 의해 투과 또는 반사되는 방사선 빔에 패턴을 부여한다. 잘 알려진 작동 모드는 스테핑 모드 및 스캐닝 모드를 포함하고 있다. 잘 알려진 바와 같이, 투영 시스템은 기판과 패터닝 디바이스에 대한 지지 및 위치 결정 시스템과 다양한 방법으로 협력하여 기판에 걸쳐 많은 타겟 부분에 원하는 패턴을 적용할 수 있다. 고정 패턴을 갖는 레티클 대신에 프로그램 가능한 패터닝 디바이스가 사용될 수 있다. 방사선은, 예를 들어 심자외선(DUV) 또는 극자외선(EUV) 파장 대역 내의 전자기 방사선을 포함할 수 있다. 본 발명은 또한 예를 들어 전자 빔에 의하여 다른 유형의 리소그래피 공정, 예를 들어 임프린트 리소그래피(imprint lithography) 및 다이렉트 라이팅 리소그래피(direct writing lithography)에 적용 가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액추에이터 및 센서의 모든 이동과 측정을 제어하여 기판(W)과 레티클(MA)을 수용하고 패터닝 작동을 구현한다. 제어 유닛(LACU)은 또한 신호 처리 및 처리 능력을 포함하여 장치의 작동과 관련된 원하는 계산을 구현한다. 실제로, 제어 유닛(LACU)은 많은 서브-유닛의 시스템으로서 실현될 것이며, 각 서브-유닛은 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 처리한다.
노광 스테이션(EXP)에서 패턴이 기판에 적용되기 전에, 다양한 준비 단계가 수행될 수 있도록 기판은 측정 스테이션(MEA)에서 처리된다. 준비 단계는 레벨 센서를 이용하여 기판의 표면 높이를 매핑(mapping)하는 것 및 정렬 센서를 이용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수 있다. 정렬 마크들은 명목상 규칙적인 그리드(grid) 패턴 형태로 정렬되어 있다. 그러나 마크 생성의 부정확성으로 인하여 그리고 또한 기판 처리 동안에 발생하는 기판의 변형으로 인하여, 마크는 이상적인 그리드로부터 벗어난다. 결과적으로, 기판의 위치 및 배향을 측정하는 것에 더하여, 장치가 제품 피처를 정확한 위치에서 매우 높은 정확도로 프린트하는 경우, 정렬 센서는 실제로 기판 영역에 걸쳐 많은 마크의 위치를 상세하게 측정해야 한다. 장치는 2개의 기판 테이블을 갖는 소위 이중 스테이지 유형일 수 있으며, 각 기판 테이블은 제어 유닛(LACU)에 의해 제어되는 위치 결정 시스템을 갖고 있다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션(EXP)에서 노광되고 있는 동안, 다양한 준비 단계가 수행될 수 있도록 또 다른 기판이 측정 스테이션(MEA)에서 다른 기판 테이블 상으로 로딩(load)될 수 있다. 따라서, 정렬 마크의 측정은 매우 시간-소모적이며, 2개의 기판 테이블의 제공은 장치의 처리량의 상당한 증가를 가능하게 한다. 위치 센서(IF)가 노광 스테이션에서뿐 아니라 측정 스테이션에 있는 동안 기판 테이블의 위치를 측정할 수 없다면, 제2 위치 센서가 제공되어 기판 테이블의 위치가 양 스테이션에서 추적되는 것을 가능하게 할 수 있다. 리소그래피 장치(LA)는, 예를 들어 2개의 기판 테이블 및 기판 테이블들이 사이에서 교환될 수 있는 2개의 스테이션 -노광 스테이션 및 측정 스테이션-을 갖는 소위 이중 스테이지 유형일 수 있다. 대안적인 실시예에서 측정 스테이션은 별도의 계측 또는 정렬 장치의 일부이다. 또 다른 실시예에서, 기판은 측정 스테이션과 노광 스테이션 사이에서 교환되고, 여기서 각 스테이션은 기판을 유지하기 위한 별도의 기판 테이블을 포함하고 있으며, 기판에 대한 측정이 수행된 후, 기판은 측정 기판 테이블로부터 언로딩되며 그후 노광 공정을 위하여 노광 스테이션의 기판 테이블로 이송되고 로딩된다.
생산 설비 내에서, 장치(200)는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성하며, 이는 또한 장치(200)에 의한 패터닝을 위하여 기판(W)에 감광성 레지스트 및 다른 코팅부를 도포하기 위한 코팅 장치(208)를 포함하고 있다. 장치(200)의 출력부 측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위하여 베이킹 장치(210) 및 현상 장치(212)가 제공되어 있다. 이 모든 장치 사이에서, 기판 핸들링 시스템들은 기판들을 지지하는 것과 기판들을 장치의 한 부분에서 다음 부분으로 이송하는 것을 처리한다. 흔히 집합적으로 "트랙(track)"으로서 지칭되는 이 장치들은 감독 제어 시스템(SCS)에 의해 자체 제어되는 트랙 제어 유닛의 제어 하에 있으며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 따라서, 처리량과 처리 효율성을 최대화하기 위해 상이한 장치들이 작동될 수 있다. 감독 제어 시스템(SCS)은 각 패터닝된 기판을 생성하기 위해 수행될 단계의 규정(definition)을 더 상세히 제공하는 레시피 정보(R)를 수신한다.
패턴이 리소 셀에서 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226에서 도시된 바와 같은 다른 처리 장치로 이송된다. 넓은 범위의 처리 단계들이 전형적인 제조 설비에서 다양한 장치에 의해 구현된다. 예의 목적을 위해, 이 실시예에서의 장치(222)는 에칭 스테이션이며, 장치(224)는 에칭-후 어닐링 단계를 수행한다. 추가의 물리적 및/또는 화학적 처리 단계들이 추가 장치(226) 등에서 적용된다. 물질의 증착, 표면 물질 특성의 변경 (산화, 도핑, 이온 주입 등), 화학적-기계적 연마(CMP) 등과 같은 많은 유형의 작동이 실제 디바이스를 만들기 위하여 요구될 수 있다. 장치(226)는, 실제로는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계들을 나타낼 수 있다. 또 다른 예로서, 리소그래피 장치에 의해 배치된 전구체 패턴에 기초하여 다수의 더 작은 피처를 생성하기 위해, 자기 정렬된 다중 패터닝의 구현을 위한 장치 및 처리 단계가 제공될 수 있다.
잘 알려진 바와 같이, 반도체 디바이스의 제조는 적절한 물질 및 패턴을 갖는 디바이스 구조체들을 기판 상에 층별로 구축하기 위해 이러한 처리의 많은 반복을 포함하고 있다. 따라서, 리소 클러스터에 도달하는 기판(230)은 새로 준비된 기판일 수 있으며, 또는 이들은 이 클러스터에서 또는 또 다른 장치에서 이전에 완전히 처리된 기판일 수 있다. 유사하게, 요구되는 처리에 따라, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속 패터닝 작업을 위해 복귀될 수 있거나, 이 기판은 상이한 클러스터 내에서의 패터닝 작업을 하도록 예정될 수 있거나, 이 기판은 다이싱(dicing) 및 패키징을 위해 보내질 마무리된 제품일 수 있다.
제품 구조체의 각 층은 상이한 공정 단계들의 세트를 필요로 하며, 각 층에서 사용되는 장치(226)들은 유형이 완전히 상이할 수 있다. 또한, 장치(226)에 의해 적용될 처리 단계들이 명목상 동일한 경우에도, 대규모 설비에서 상이한 기판들에서 단계(226)를 수행하도록 동시에 가동하는 여러 개의 아마도 동일한 기계들이 있을 수 있다. 이 기계들 사이의 설정(set-up) 또는 결함들의 작은 차이는 이들이 상이한 방식으로 상이한 기판들에 영향을 준다는 것을 의미할 수 있다. 에칭 (장치 222)과 같은, 각 층에 비교적 공통적인 단계도 명목상 동일하지만 처리량을 최대화하도록 동시에 작동하는 수 개의 에칭 장치에 의해 구현될 수 있다. 또한, 실제로, 상이한 층들은 에칭될 물질의 세부 사항에 따라 상이한 에칭 공정들, 예를 들어 화학적 에칭, 플라즈마 에칭, 그리고 예를 들어 이방성 에칭과 같은 특수한 요건을 필요로 한다.
앞선 및/또는 후속 공정은 방금 언급된 바와 같이 다른 리소그래피 장치에서 수행될 수 있으며, 또한 상이한 유형의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 매개변수에서 매우 까다로운, 디바이스 제조 공정에서의 일부 층은 덜 까다로운 다른 층보다 더 진보된 리소그래피 툴에서 수행될 수 있다. 따라서, 일부 층은 침지 유형 리소그래피 툴에서 노광될 수 있는 한편, 다른 층은 "건식(dry)" 툴에서 노광된다. 일부 층은 DUV 파장에서 작동하는 툴에서 노광될 수 있는 한편, 다른 층은 EUV 파장 방사선을 이용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하게 그리고 일관되게 노광되도록 하기 위하여, 노광된 기판을 검사하여 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직하다. 따라서, 리소 셀(LC)이 위치되는 제조 설비는 또한 계측 시스템을 포함하고 있으며, 계측 시스템은 리소 셀에서 처리된 기판(W)의 일부 또는 모두를 받아들인다. 계측 결과는 감독 제어 시스템(SCS)에 직접 또는 간접적으로 제공된다. 오차가 검출되면, 후속 기판의 노광에 대한 조정이 이루어질 수 있으며, 특히 동일한 배치(batch)의 다른 기판이 계속해서 노광될 만큼 곧바로 그리고 빠르게 계측이 이루어질 수 있다면 더욱 그렇다. 또한, 이미 노광된 기판은 수율을 개선하기 위해 벗겨지고 재작업되거나, 또는 폐기될 수 있으며, 그에 의하여 결함이 있는 것으로 알려진 기판에 대한 추가 처리를 수행하는 것을 방지한다. 기판의 일부 타겟 부분에만 결함이 있는 경우, 양호한 타겟 부분에만 추가 노광이 수행될 수 있다.
제조 공정의 원하는 단계에서 제품의 매개변수의 측정을 수행하기 위하여 제공되는 계측 장치(240)가 또한 도 1에서 보여지고 있다. 현대적인 리소그래피 생산 설비에서의 계측 스테이션의 공통적인 예는 스캐터로미터, 예를 들어 암시야 스캐터로미터, 각도-분해 스캐터로미터(angle-resolved scatterometer) 또는 분광 스캐터로미터(spectroscopic scatterometer)이며, 이는 장치(222)에서의 에칭 전에 220에서, 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 이용하여, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 매개변수가 현상된 레지스트에서의 특정 정확도 요건을 충족하지 않는다는 점이 결정될 수 있다. 에칭 단계 전에, 현상된 레지스트를 벗겨 내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 존재한다. 시간에 따라 작은 조정을 수행하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(206)에 의하여, 장치(240)로부터의 계측 결과(242)는 리소 클러스터 내에서의 패터닝 작업의 정확한 성능을 유지하는데 사용될 수 있으며, 그에 의하여 제품이 사양을 벗어나고 재작업을 요구하는 위험을 최소화시킨다.
부가적으로, 계측 장치(240) 및/또는 다른 계측 장치 (보이지 않음)는 처리된 기판(232, 234) 및 들어오는 기판(230)의 특성을 측정하기 위해 적용될 수 있다. 계측 장치는 처리된 기판에서 사용되어 오버레이 또는 CD와 같은 중요한 매개변수를 결정할 수 있다.
정렬 센서를 이용하여 기판 상의 정렬 마크의 위치를 측정함으로써 정렬을 수행할 때, 정렬 마크의 피치를 예를 들어 100㎚의 자릿수 내 (더욱 구체적으로는 300 내지 800㎚의 범위 내)로 줄이는 것이 바람직할 것이다. 또한, 정렬 마크의 면적 (풋프린트(footprint))을 줄이는 것이 또한 바람직할 것이며, 따라서 웨이퍼 공간이 "고가(expensive)"인 경우, 그들 중 많은 (예를 들어, 수천의) 정렬 마크는 다이 내(in-die), 제품 구조체들 사이를 포함하는, 웨이퍼 전체에 걸쳐 수용될 수 있다.
도입부에서 언급된 공보에 설명된 것과 같은, 많은 현재의 정렬 센서는 상호 간섭성인 (예를 들어, 동일한 단일 모드 방사선 소스에서 발생하는) 공액 축외 빔을 필요로 한다. 이는 대응하는 회절 차수들 간의 간섭을 허용한다. 그러나 공간적 간섭성 방사선의 사용은 스펙클 효과와 같은 간섭 아티팩트(interference artifact)를 야기하며, 이는 정렬 측정에 영향을 미쳐 위치 오차를 초래한다. 다른 현재의 정렬 센서는 공간적 비간섭성 방사선을 사용하며, 그에 의하여 스펙클 문제를 제거한다. 그러나 비간섭성 방사선을 사용할 때, 작은 마크 피치 (예를 들어, 조명 파장보다 작음)를 분해하는 것이 가능해지는 유일한 방법은 명시야(bright-field) 모드에서 이미징하는 것이며, 이 모드에서 더 높은 회절 차수는 0차 차수를 방해한다. 비교에 의하여, 앞에서 언급된 간섭성 소스 센서는 암시야(dark-field) 이미징 모드를 사용할 수 있으며, 이 모드에서 전형적으로 0차 차수는 차단된다. 이러한 암시야 이미징은 명시야 이미징보다 우수한 성능을 제공한다.
위의 문제를 해결하기 위하여, 최적화된 간섭성을 가진 계측 디바이스가 제안되고 있다. 보다 구체적으로, 본 명세서에서 제안된 것은 측정 조명의 다수의 공간적 비간섭성 빔을 생성하도록 구성된 계측 디바이스이며, 상기 빔들의 각각 (또는 상기 빔들의 측정 쌍의 양 빔; 각 측정 쌍은 측정 방향에 대응한다)은 그들의 횡단면 내에 대응하는 영역을 갖고, 이 횡단면에 대해 이 영역들에서의 빔들 간의 위상 관계는 알려져 있다; 즉 대응하는 영역에 대하여 상호 공간적 간섭성이 있다.
이러한 계측 디바이스는 허용 가능한 (최소한의) 간섭 아티팩트(스펙클)(speckle)로 작은 피치 타겟을 측정할 수 있을 것이며, 또한 암시야 모드에서 작동 가능할 것이다. 이러한 계측 디바이스는 기판 위치를 측정 (예를 들어, 고정된 기준 위치에 대한 주기적 구조체 또는 정렬 마크의 위치를 측정)하기 위한 위치 또는 정렬 센서로서 사용될 수 있다. 그러나 계측 디바이스는 또한 오버레이의 측정 (예를 들어, 상이한 층들 또는 심지어 스티칭 마크(stitching mark)의 경우에 동일한 층 내의 주기적 구조체들의 상대 위치의 측정)을 위하여 사용 가능할 수 있다. 계측 디바이스는 또한 주기적 구조체들의 비대칭성을 측정할 수 있으며, 따라서 타겟 비대칭성 측정을 기반으로 하는 임의의 매개변수를 측정하는데 사용될 수 있다 (예를 들어, 회절 기반 오버레이(DBO) 기술을 사용하는 오버레이 또는 회절 기반 초점(DBF) 기술을 사용하는 초점).
제안된 하드웨어 예
도 2는 이러한 계측 디바이스의 가능한 구현을 보여주고 있다. 계측 디바이스는 기본적으로 새로운 조명 모드를 갖는 표준 현미경으로서 작동한다. 계측 디바이스(300)는 디바이스의 주요 컴포넌트를 포함하는 광학 모듈(305)을 포함하고 있다. (모듈(305) 외부에 위치될 수 있으며 다중 모드 광섬유(315)에 의하여 모듈에 광학적으로 연결될 수 있는) 조명 소스(310)는 광학 모듈(305)에 공간적 비간섭성 방사선 빔(320)을 제공한다. 광학 컴포넌트(317)는 공간적 비간섭성 방사선 빔(320)을 간섭성 축외 조명 생성기(325)로 전달한다. 이 컴포넌트는 특히 본 명세서에서 개념에 대해 특히 중요하며 또한 더 상세하게 설명될 것이다. 간섭성 축외 조명 생성기(325)는 공간적 비간섭성 방사선 빔(320)으로부터 복수 (예를 들어, 4개)의 축외 빔(330)을 생성한다. 이 축외 빔(330)의 특성은 아래에서 더 상세히 설명될 것이다. 조명 생성기의 0차 차수는 조명 0차 블록 요소(375)에 의해 차단될 수 있다. 이 0차 차수는 이 문헌에서 설명된 일관된 간섭성 축외 조명 생성기 예들 (예를 들어, 위상 격자 기반 조명 생성기) 중 일부를 위해서만 존재할 것이며, 따라서 이러한 0차 차수 조명이 생성되지 않는 경우 생략될 수 있다. 축외 빔(330)은 (광학 컴포넌트(335) 및 스폿 미러(340)를 통해) (예를 들어, 높은 NA) 대물렌즈(345)로 전달된다. 대물렌즈는 축외 빔(330)을 기판(350) 상에 위치되어 있는 샘플 (예를 들어, 주기적 구조체/정렬 마크) 상으로 집속하며, 여기서 빔은 산란 및 회절한다. 산란된 더 높은 회절 차수(355+, 355-) (예를 들어, 각각 +1 및 -1 차수)는 스폿 미러(340)를 통해 다시 전파되고 광학 컴포넌트(360)에 의하여 센서 또는 카메라(365)에 집속되며, 여기서 이들은 간섭되어 간섭 패턴을 형성한다. 적절한 소프트웨어를 실행하는 프로세서(380)는 그후 카메라(365)에 의해 캡처된 간섭 패턴의 이미지(들)를 처리할 수 있다.
0차 회절 (정반사) 방사선은 검출 분기부 내의 적절한 위치에서; 예를 들어, 스폿 미러(340) 및/또는 별도의 검출 0차 블록 요소에 의해 차단된다. 축외 조명 빔들의 각각에 대해 0차 반사가 있다는 점이 주목되어야 하며, 즉, 현재 실시예에서 총 4개의 이 0차 반사가 있다. 422로 표시된, 4개의 0차 반사를 차단하기에 적합한 개구 프로파일의 예가 도 4b 및 도 4c에서 보여지고 있다. 이와 같이, 계측 디바이스는 "암시야" 계측 디바이스로서 작동된다.
제안된 계측 디바이스의 주요 개념은 필요한 경우에만 측정 조명 내에서 공간 간섭성을 유도하는 것이다. 보다 구체적으로, 축외 빔(330)들의 각각에서 대응하는 퓨필 포인트 세트들 사이에 공간 간섭성이 유도된다. 보다 구체적으로, 퓨필 포인트 세트는 축외 빔들의 각각 내의 대응하는 단일 퓨필 포인트를 포함하고 있으며, 퓨필 포인트 세트는 상호 공간적으로 간섭성이지만, 여기서 각 퓨필 포인트는 동일한 빔 내의 다른 모든 퓨필 포인트에 대해 비간섭성이다. 이러한 방식으로 측정 조명의 간섭성을 최적화함으로써, 각 축외 빔(330)이 공간적으로 비간섭성이기 때문에 최소한의 스펙클 아티팩트를 갖고, 작은 피치 타겟에서 암시야 축외 조명을 수행하는 것이 가능해진다.
도 3은 개념을 설명하기 위해 3개의 퓨필 이미지를 보여주고 있다. 도 3a는 도 2의 퓨필 평면(P1)과 관련된 제1 퓨필 이미지를 보여 주고 있으며, 도 3b와 도 3c는 각각 도 2의 퓨필 평면(P2)과 관련된 제2 퓨필 이미지를 보여주고 있다. 도 3a는 공간적 비간섭성 방사선 빔(320)을 (횡단면으로) 보여주고 있으며, 도 3b 및 도 3c는 2개의 상이한 실시예에서 간섭성 축외 조명 생성기(325)에 의하여 생성된 축외 빔(330)을 (횡단면으로) 보여주고 있다. 각 경우에서, 외부 원(395)의 범위는 현미경 대물렌즈의 최대 검출 NA에 대응하며; 이는 순전히 한 예로써 0.95 NA일 수 있다.
퓨필들 각각의 삼각형(400)들은 서로에 대해 공간적으로 간섭성인 퓨필 포인트 세트를 나타낸다. 유사하게, ×표(cross)(405)들은 서로에 대해 공간적으로 간섭성인 또 다른 퓨필 포인트 세트를 나타낸다. 삼각형들은 빔 전파에 대응하는 ×표들 및 다른 모든 퓨필 포인트들에 대해 공간적으로 비간섭성이다. (도 3b에서 보여지는 예에서의) 일반적인 원리는 상호 공간적으로 간섭성인 각 퓨필 포인트 세트 (각 간섭성 포인트 세트)가 다른 모든 간섭성 포인트 세트와 같이 조명 퓨필(P2) 내에서 동일한 간격을 갖는다는 것이다. 이와 같이, 이 실시예에서, 각 간섭성 포인트 세트는 다른 모든 간섭성 포인트 세트의 퓨필 내에서 평행이동(translation)이다.
도 3b에서, 삼각형(400)으로 표시되는 제1 간섭성 포인트 세트의 각 퓨필 사이의 간격은 ×표(405)로 표시되는 간섭성 포인트 세트의 각 퓨필 포인트 사이의 간격과 동일해야 한다. 이 문맥에서 "간격"은 방향성이며, 즉, ×표 세트 (제2 포인트 세트)는 삼각형 세트 (제2 포인트 세트)에 대하여 회전되는 것이 허용되지 않는다. 이와 같이, 축외 빔(330)들의 각각은 그 자체에 의하여 비간섭성 방사선을 포함하고 있으며; 그러나 축외 빔(330)들은 알려진 위상 관계 (공간적 간섭성)를 갖는, 그들의 횡단면 내에 대응하는 포인트 세트를 갖는 동일한 빔들을 함께 포함하고 있다. 각 포인트 세트의 포인트들이 동일하게 이격될 필요는 없다는 점이 유의되어야 한다 (예를 들어, 이 예에서의 4개의 삼각형(405) 사이의 간격이 동일할 필요가 없다). 이와 같이, 축외 빔(330)들은 퓨필 내에서 대칭적으로 배열될 필요가 없다.
도 3c는 이 기본적인 개념이 빔(330X)이 제1 방향 (X-방향)에 대응하고 빔(330Y)이 제2 방향 (Y-방향)에 대응하는 경우 단일 측정 방향에 대응하는 빔들 간에만 상호 공간적 간섭성을 제공하는 것으로 확장될 수 있다는 것을 보여주고 있다. 이 예에서, 정사각형 및 더하기 기호 각각은 삼각형 및 ×표로 나타내어진 퓨필 포인트 세트와 대응하지만 이와는 반드시 공간적으로 간섭성이 아닌 퓨필 포인트 세트를 나타낸다. 그러나, ×표들은 더하기 기호와 마찬가지로 상호 공간적으로 간섭성이며, ×표들은 더하기 기호의 퓨필 내에서의 기하학적 평행이동이다. 이와 같이, 도 3c에서, 축외 빔들은 단지 쌍별(pair-wise) 간섭성이다.
이 실시예에서, 축외 빔은 방향, 예를 들어 X 방향(330X) 및 Y 방향(330Y)에 의해 개별적으로 고려된다. (포인트(405X) 쌍과 같이, 포인트(400X) 쌍이 상호 간섭성이도록) 캡처된 X 방향 회절 차수를 생성하는 빔(330X) 쌍은 서로 간섭성일 필요만 있다. 마찬가지로, (포인트(405Y) 쌍과 같이, 포인트(400Y) 쌍이 상호 간섭성이도록) 캡처된 Y 방향 회절 차수를 생성하는 빔(330Y) 쌍은 서로 간섭성일 필요만 있다. 그러나 포인트(400X와 400Y)의 쌍들 사이는 간섭성이 있을 필요가 없으나, 포인트(405X와 405Y)의 쌍들 사이는 그렇지 않다. 이와 같이, 고려되는 각 측정 방향에 대응하는 축외 빔 쌍으로 구성된 간섭성 포인트 쌍들이 있다. 이전과 같이, 측정 방향에 대응하는 각 쌍의 빔에 대해, 각 쌍의 간섭성 포인트들은 다른 모든 간섭성 포인트 쌍의 퓨필 내에서 기하학적 평행이동이다.
위의 설명에서, 빔들은 모두 공간적으로 비간섭성이다. 공간적으로 비간섭성인 방사선은 발광 다이오드, 광 벌브(light bulbs), 레이저 펌핑 플라즈마 소스 또는 임의의 다른 적절한 비간섭성 소스를 사용하여 얻을 수 있다. 다른 실시예에서, 빔들은 예를 들어 레이저와 같은 간섭성 조명 소스로부터 생성되는 의사-공간적 비간섭성일 수 있지만, 공간적 비간섭성을 모방하기 위해 하나 이상의 과정을 거칠 수 있다. 이는 간섭성 방사선 다중 모드를 만드는 것을 포함할 수 있으며 검출기의 적분 시간 동안 다양한 실현들을 앙상블 평균(ensemble average)할 수 있다. 보다 구체적으로, 실시예에서, (공간적 간섭성 패턴인) 스펙클 패턴의 많은 (예를 들어, 무작위) 실현이, 예를 들어 회전 디퓨저 플레이트 및 레이저로 생성된다. 이 무작위 스펙클 패턴 실현에 대한 앙상블 평균이 결정되며, 이는 간섭 효과의 평균을 내고 따라서 공간 비간섭성을 효과적으로 모방한다 (간섭은 적분 시간 동안 검출기 평면에서 평균화된다). 대안적인 의사-공간적 비간섭성 접근 방식은, 예를 들어 고니오미터를 이용하여 (모드(modes)인) 상이한 각도에 걸쳐 단일 모드 레이저 빔을 스캔한다.
정렬/위치 감지를 위한 작업 원리
도 4는 계측 시스템의 작업 원리를 도시하고 있다. 도 4a는 일부 실시예에서 정렬 마크로서 사용될 수 있는 타겟(410)을 도시하고 있다. 타겟(410)은 정렬 마크를 형성할 때 전형적으로 단일 층에만 포함되지만 마이크로 회절 기반 오버레이 기술(μDBO)에서 사용되는 것과 유사할 수 있다. 이와 같이, 타겟(410)은 제1 방향 (X-방향)으로의 2개의 격자 (주기적 구조체)(415a) 및 제2의 수직 방향 (Y-방향)으로의 2개의 격자(415b)를 포함하는 4개의 서브-타겟을 포함하고 있다. 격자들의 피치는, 예를 들어 100㎚의 자릿수 (더 구체적으로는, 300 내지 800㎚의 범위 내)를 포함할 수 있다.
도 4b는 (도 2를 참고하여) 퓨필 평면(P3)에 대응하는 퓨필 표현을 보여주고 있다. 이 도면은 축외 조명 빔들 중 단지 하나, 더욱 구체적으로 (이 도면에서 가장 좌측의) 축외 조명 빔(420) (이는 이 퓨필에 있지 않을 것이며, 퓨필 평면(P2)에서의 그의 위치는 조명 퓨필에서의 그의 위치에 해당하고 여기에서는 도시를 위해서만 보여지고 있다)의 산란에 뒤이은 결과적인 방사선을 보여주고 있다. 음영 영역(422)은 실시예에서 사용되는 특정 스폿 미러 디자인의 차단 (즉, 반사 또는 흡수) 영역 (흰색은 투과 영역을 나타내고 있다)에 대응한다. 이러한 스폿 미러 디자인은 단지, 원하지 않은 광 (예를 들어, 0차 차수 및 0차 차수 주위의 광)이 검출되지 않는 것을 보장하는 퓨필 블록의 예이다. 다른 스폿 미러 프로파일 (또는 일반적으로 0차 블록)이 사용될 수 있다.
알 수 있는 바와 같이, 더 높은 회절 차수들 중 하나, 더 구체적으로 -1 X 방향 회절 차수(425)만이 캡처된다. +1 X 방향 회절 차수(430), -1 Y 방향 회절 차수(435) 및 +1 Y 방향 회절 차수(440)는 퓨필 (스폿 미러(422)의 범위에 의해 표현되는 검출 NA) 범위 밖에 있으며 캡처되지 않는다. 임의의 더 높은 차수(도시되지 않음) 또한 검출 NA의 범위 밖에 있다. 0차 차수(445)는 예시를 위해 보여지고 있지만, 실제로 스폿 미러 또는 0차 블록(422)에 의해 차단될 것이다.
도 4c는 (다시 순전히 예시를 위해 보여지는) 모든 4개의 축외 빔(420)으로부터 생성된 결과적인 퓨필 (캡처된 차수만)을 보여주고 있다. 캡처된 차수는 -1 X 방향 회절 차수(425), +1 X 방향 회절 차수(430'), -1 Y 방향 회절 차수(435') 및 +1 Y 방향 회절 차수(440')를 포함하고 있다. 이 회절 차수는 카메라 상에서 이미지화되며, 여기서 이들은 도 4d에서 보여지는 바와 같은 프린지 패턴(450)을 형성하는 것을 간섭한다. 보여지는 예에서, 회절된 차수가 퓨필에서 대각선으로 배열되기 때문에 프린지 패턴은 대각선이지만, 결과적인 상이한 프린지 패턴 방향으로 다른 배열체가 가능하다.
정렬 감지를 위하여 사용 가능한 다른 계측 디바이스와 유사한 방식으로, 타겟 격자 위치의 시프트는 방향마다 +1 및 -1 회절 차수들 간의 위상 시프트를 야기한다. 회절 차수가 카메라에 간섭하기 때문에, 회절 차수들 간의 위상 시프트는 카메라 상의 간섭 프린지의 대응하는 시프트를 초래한다. 따라서 카메라 상의 간섭 프린지의 위치로부터 정렬 위치를 결정하는 것이 가능하다.
도 5는 정렬 위치가 간섭 프린지로부터 어떻게 결정될 수 있는지를 도시하고 있다. 도 5a는 타겟이 제1 위치에 있을 때 (즉, 프린지 패턴(450)의 일사분면에 대응하는) 한 세트의 간섭 프린지(500)를 보여주고 있으며, 도 5b는 타겟이 제2 위치에 있을 때의 간섭 프린지(500')의 세트를 보여주고 있다. 고정된 기준 라인(510) (즉, 양 이미지에 대해 동일 위치에 있는)이 2개의 위치 사이에서의 프린지 패턴의 이동을 강조하기 위해 보여지고 있다. 패턴으로부터 결정된 위치를 고정된 기준 (예를 들어, 전송 이미지 센서(TIS) 기준)의 측정으로부터 획득된 위치와 공지된 방식으로 비교함으로써 정렬이 결정될 수 있다. (예를 들어, 단일 격자 정렬 마크로부터의) 단일 프린지 패턴 또는 (2개의 격자 정렬 마크로부터의) 방향마다 단일 패턴이 정렬을 위하여 사용될 수 있다. 2개의 방향으로의 정렬을 수행하기 위한 또 다른 옵션은 단일 2D주기적 패턴을 갖는 정렬 마크를 사용할 수 있다. 또한, 비주기적 패턴은 본 명세서에서 설명된 계측 디바이스로 측정될 수 있다. 또 다른 정렬 마크 옵션은 현재 오버레이를 측정하기 위하여 일반적으로 사용되고 있는 것과 유사한, 도 4a에 도시된 것과 같은, 4개의 격자 타겟 디자인을 포함할 수 있다. 이와 같이, 이들과 같은 타겟은 전형적으로 웨이퍼에 이미 존재하고 있으며, 따라서 유사한 샘플링이 정렬 및 오버레이를 위하여 사용될 수 있다. 이러한 정렬 방법은 공지되어 있으며 또한 더 이상 설명되지 않을 것이다.
도 6은 제안된 계측 디바이스가 어떻게 스펙클 아티팩트를 최소화하는지를 도시하고 있다. 이는 다중 모드 광섬유(315)의 출력부에서의 이미지 평면(I1), 축외 조명 생성기(325)에서의 이미지 평면(I2), 기판(350)의 이미지 평면(I3) 및 카메라(365)의 이미지 평면(I4);을 각각 포함하고 있는 이미지 평면(I1, I2, I3, I4)들을 고려함으로써 가장 잘 이해된다. 이미지 평면들의 각각에서의 각 포인트는 독립적으로 고려될 수 있다. 먼저 (공간적 비간섭성 조명(600, 600')을 포함하는) 다중 모드 광섬유 출력부(I1)에서 삼각형으로 표시된 포인트를 고려한다. 이 포인트는 이미지 평면(I2)에서 축외 조명 생성기 상으로 이미지화된다. 그 후 포인트는 (축외 조명 생성기에 의해 결정된) 서로에 대해 고정 위상 관계를 갖는 (방향마다) 2개의 축외 빔(610, 610')으로서 기판 상의 타겟(T) 상으로 이미지화된다. 입사 축외 빔(610, 610')은 실제로 퓨필 평면에서 빔의 범위에 의해 결정되는 각도 범위를 가진 원뿔형(cones)이다. 기판 평면(I3)에서의 타겟(T)은 삼각형으로 표시된 포인트에 관한 입사 축외 빔(610)을 회절시키며 위치 정보를 전달하는 위상 차이를 적용한다. 이에 비해, ×표로 표시된 위치는 기판 평면(I3)에서의 비-타겟 위치 (즉, 타겟(T)의 에지에 바로 인접)에 대응한다. 이 영역 내의 결함 (예를 들어, 광학계의 에지 또는 광학계 내의 결함)은 카메라 평면(I4)에서의 다른 위치로의 방사선(610')의 산란을 초래할 수 있으며, 이는 여기서 삼각형으로 표시된 것에 대응하는 위치로의 산란 방사선(620)으로 표시된다. 타겟(T)에 대응하는 각 위치에 대해, +1 및 -1 회절 차수(630)는 카메라(I4)에 간섭하여 기판 상의 국부 위치 정보를 카메라 상의 국부적인 세기로 평행이동(translate)시킨다. 이 해석에서, 실질적으로 공간적 비간섭성 조명을 사용하는 것의 이점은 명백하다. 공간적으로 간섭성인 조명이 사용된 경우, 그러면 결함에 의해 산란된 방사선(620)은 (단지 매우 적은 양일지라도)은 카메라 평면에서의 다른 위치로 산란될 수 있으며, 그 결과 이는 그 위치에서 방사선을 방해하여 스펙클 (및 결과적인 정렬 오차)를 야기할 것이다. 대조적으로, 제안된 계측 디바이스에서 사용되는 대부분의 조명은 비간섭성이며, 따라서 카메라 상의 원하지 않는 위치로 산란된 임의의 산란광(620)은 스펙클을 야기하지 않을 것이다. 따라서 각 조명 빔의 공간적 비간섭성은 (완전한 간섭성 센서와 비교하여) 이 센서의 정확성과 견실함을 증가시킨다.
축외 조명 생성기
조명 빔들, 그리고 특히 이들의 간섭성 특성은 제안된 계측 디바이스의 중요한 측면이다. 조명 생성기의 원하는 특성은 하기 사항을 포함할 것이다:
(광학 요소의 스위칭/움직임을 방지하는 반면에 작은 피치 능력을 최적화하기 위해) 동일한 조명 각도 및 따라서 조명 퓨필 내에서 동일한 위치를 갖는 모든 파장 (500 내지 900㎚).
(퓨필 포인트 세트들 간의 간섭성을 보장하기 위해) 경로 길이들을 일치하는 데 있어 적은 어려움.
조명의 편광에 부정적인 영향이 없음.
본 명세서에 설명된 디바이스들 중 임의의 것의 축외 조명 생성기 (예를 들어, 도 2의 축외 조명 생성기(325))는 다양한 방식으로 구현될 수 있다. 이의 가장 간단한 실시예에서, 축외 조명 생성기는 위상 격자 (예를 들어, 2D 위상 격자)를 포함할 수 있다. 위상 격자 회절 효율은 80%, 즉 1차 차수에서 40% 그리고 -1차 차수에서 40%일 수 있다. 이 효율은 최적의 파장에서 멀어질 때 아마 약 20%로 감소할 것이다. 시스템의 전반적인 광 효율은 아마도 약 5 내지 20%일 것이다.
단일 위상 격자를 사용하는 것의 장점은 이것이 캡처된 이미지를 번지게(smearing) 하지 않고 시스템이 광대역 조명 (예를 들어, 동시에 100㎚ 대역폭을 켜기)을 사용할 수 있게 한다는 것이다. 이는 단일 위상 격자만을 사용할 때 도 8 (아래 참조)에 설명된 효과가 발생하지 않을 것이기 때문이다. 고정된 조명 격자와 고정된 퓨필 스톱(pupil stop)이 사용된다는 것을 가정하면, 단점은 짧은 파장의 조명 각도가 상대적으로 작아져서 작은 피치를 분해하는 것을 더 어렵게 한다는 것 및 전체 파장 범위에 대해 0차 차수를 차단하기 위하여 퓨필 스톱이 현저하게 더 커져야 한다는 것을 포함하고 있다. 큰 퓨필 스톱은 피치 유연성을 제한한다 (원하는 제1 회절 차수가 퓨필의 그 부분에 있다면, 이 또한 차단될 것이다).
도 7a는 상이한 파장을 상이한 조명 각도로 분산시키지 않는 개선된 격자 기반 축외 조명 생성기(737)를 보여주고 있다. 제1 격자(740) (전형적으로 위상 격자)는 조명(745)에 의해 조명된다. 이 격자는 퓨필 평면에 있다. 즉, 격자는 현미경 대물렌즈의 후 초점 평면 상으로 이미지화될 것이다. 격자는 조명(745)을 +1 회절 차수 및 -1 회절 차수로 회절시킨다 (0차 차수 및 더 높은 차수는 여기서 관련되지 않으며, 이들은 추가 빔 스톱(beam stop)으로 시스템에서 물리적으로 차단될 수 있거나 단지 무시될 수 있다). 단순함을 위하여, 조명은 단일 광선으로 그려진다: 실제로 (비간섭성) 조명은 다양한 각도와 위치를 포함하고 있으며, 이는 (상이한 각도와 위치를 가진) 다양한 광선으로서 보여질 수 있다. 상이한 파장은 상이한 각도에서 회절된다; 단순함을 위하여 단지 2개의 파장(λ1 및 λ2)이 보여지고 있다. 이와 같이, 격자는 방사선을 제1 파장(750+λ1)에 대한 +1 회절 차수, 제2 파장(750+λ2)에 대한 +1 회절 차수, 제1 파장(750-λ1)에 대한 -1 회절 차수, 및 제1 파장(750-λ2)에 대한 -1 회절 차수로 회절시킨다. 제1 광학 컴포넌트 (예를 들어, 렌즈)(755)는 회절된 조명(750+ λ1, 750+ λ2, 750- λ1, 750- λ2)을 효과적으로 푸리에 변환시킨다. 웨지(760a, 760b)는 푸리에 평면에 배치된다. 하나의 웨지(760a)는 +1 회절 차수 (750+λ1, 750+λ2)를 제1 방향으로 기울어지게 한다. 다른 웨지(760b)는 -1 회절 차수(750-λ1, 750-λ2)를 제2 (예를 들어, 광학 축(O)을 중심으로 대칭) 방향으로 기울어지게 한다. 웨지(760a, 760b)가 대물 퓨필의 푸리에 평면(후방 초점면) 내에 있기 때문에, 웨지(760a, 760b)에 의해 유발된 기울어짐은 (푸리에 관계로 인하여) 퓨필 평면에서의 빔의 위치를 결정한다. 제2 광학 컴포넌트 (예를 들어, 렌즈)(765)는 빔을 퓨필 평면으로 다시 변환시킨다. 빔들은 이제 공간적으로 분리된다. 제2 격자(770) (예를 들어, 위상 격자)는 이 평면에 배치되어 차수를 0차 차수로 다시 회절시킨다. 이는 상이한 파장들(예를 들어, 750+ λ1 및 750+ λ2; 750-λ1 및 750- λ2)의 동일한 회절 차수는 공간적으로 중첩될 뿐만 아니라 동일한 입사각을 갖는다는 점을 보장한다. 렌즈(755, 765)가 동일한 초점 거리를 갖고 있다면, 제1 및 제2 격자(740, 770)는 동일한 피치를 가져야 한다. 마지막으로, 2개 이상의 렌즈(775) 및 공간 필터(780)가 제공되어 (0차 차수로 회절되지 않는) 더 높은 차수의 누설 광을 제거할 수 있다.
도 7a의 축외 조명 생성기는 2개의 조명 빔에 대해 설명된다. 4개의 빔으로 일반화하는 간단한 방법은 2D 격자 및 4겹(4-folded) 웨지를 사용하는 것일 것이다. 선택적으로 수 밀리미터의 글라스가, 예를 들어 퓨필 내의 가장 좌측 및 가장 우측의 빔에 대응하는 웨지-세그먼트에 추가되어 최상부 및 최하부 빔에 관하여 경로 길이 차이를 유발한다. 이 경우, 빔들은 쌍별(pair-wise) 간섭성일 것이다. 이는 일부 실시예에서 간섭성인 4 개의 빔 모두를 갖는 것보다 선호될 수 있다.
도 7b (평면도) 및 도 7c (측면도)는 축외 조명 생성기(700)의 대안적인 실시예를 도시하고 있으며, 이 축외 조명 생성기는 빔 스플리터(705)와 리플렉터(710) 컴포넌트의 조합을 이용하여 입력 빔(720)으로부터 4개의 축외 빔(715)을 생성한다.
도 7d는 유사한 배열체 축외 조명 생성기(725)를 보여주고 있으나, 이는 역(retro-)리플렉터(710')의 위치의 조정에 의하여 퓨필 내에서의 빔 위치의 조정을 허용한다. 중간 스테이지(730)에서의 그리고 출력부(735)에서의 퓨필은 예시를 위해 보여지고 있다. x-방향으로의 역 리플렉터(710')의 위치는 퓨필(730) 내의 2개의 빔의 경로 길이가 일치하도록 조정되어 이들이 (쌍별) 간섭성이라는 것을 보장한다. 역 리플렉터(710, 710')의 위치는 또한 입사 빔의 방향에 수직으로 조정되어 퓨필(730) 내의 2개의 빔의 위치를 조정할 수 있다.
퓨필(730)에서, 2개의 빔은 포인트별(point-wise) 간섭성이다. 구성의 최하부 절반 (특히 최하부 리플렉터(710))은 반전된 복제본(flipped copy)을 만들어 퓨필(735)에서 보여지는 최상부 좌측 빔과 최하부 우측 빔을 획득할 것이다. 이와 같이, 이 최상부 좌측 빔과 및 최하부 우측 빔은 또한 포인트별 간섭성이다. 그러나 최하부 프리즘(710)과 최하부 미러(736)를 통과하는 경로들은 전형적으로 일치하지 않으며, 따라서 "대각선으로 반대의" 빔들만 간섭성이고, 다른 빔들은 비간섭성이다. 이와 같이, 축외 조명 생성기(725)는 쌍별 간섭성 조명 빔을 제공하는데 적합하다.
역 리플렉터(710, 710')는 원칙적으로 다양한 각도 하에 있는 반사부(reflection)를 포함하는 "육각모(cube corner)" 또는 배치된 (예를 들어, 2개의 빔이 퓨필(730)의 대각선 상에 있는 것을 보장하기 위해 도면의 평면에 관하여 45도 각도로 배향된) 직각 프리즘일 수 있다. 선택적 반파(half-wave) 플레이트는 빔 스플리터와 프리즘(710 및 710') 사이에 배치될 수 있으며, 편광이 잘 규정되고 있다는 것 그리고 (편광 혼합을 방지하도록) 모든 편광 표면에 순전히 "s" 편광 또는 순전히 "p" 편광이 있다는 것을 보장하기 위하여, 선택적 편광기가 빔 스플리터 앞에 (예를 들어, 위치 720에) 배치될 수 있다.
상호 간섭성이도록 의도된 빔들의 광학 경로 길이들은 간섭성 길이 내에서 일치될 필요가 있다는 점을 주목한다. 이는 일부 빔에, 부가적인 요소, 예를 들어 부가적인 글라스, 또는 지연 단계를 필요로 할 가능성이 있다.
도 7b 내지 도 7d의 실시예는 모든 파장이 동일한 조명 각도를 가질 것이라는 점에서 도 7a에 도시된 이점을 공유한다.
축외 조명 생성기에 대한 추가의 대안적인 구현은 공간 광 변조기(SLM)와 같은 빔 성형 컴포넌트를 이용할 수 있다. 그러나 이러한 배열체는 스폿 미러와 양립할 수 없을 수 있으며, 따라서 스폿 미러는 예를 들어 빔 스플리터 및 제어 가능한 퓨필 퓨필로 대체될 필요가 있을 것이다. 이러한 배열체는 정렬 이외의 계측 적용에 더 적합할 수 있다.
다중 파장 측정
공정을 보다 견실하게 (측정 다양성을 촉진) 하기 위하여, 다중 파장 (및 가능하게는 더 높은 회절 차수)을 측정할 수 있는 것이 바람직하다. 이는, 예를 들어 최적의 색상 가중(OCW)과 같은 기술의 사용을 가능하게 하여 격자 비대칭성을 견실하게 할 것이다. 특히, 임의의 타겟 비대칭성은 파장 당 상이한 정렬된 위치를 야기한다. 그에 의하여, 상이한 파장들에 대해 정렬된 위치의 이 차이를 측정함으로써 타겟의 비대칭성을 결정하는 것이 가능하다. 실시예에서, 각각 상이한 파장에 대응하는 일련의 개별 이미지들을 획득하기 위해, 다중 파장에 대응하는 측정은 동일한 카메라에서 순차적으로 이미지화될 수 있다. 대안적으로, 이 파장들의 각각은 별도의 카메라들 (또는 동일한 카메라의 별도의 영역들)에서 동시에 이미지화될 수 있으며, 파장들은 이색성 미러와 같은 적절한 광학 컴포넌트를 사용하여 분리된다.
또 다른 실시예에서, 단일 카메라 이미지에서 다중 파장 (및 회절 차수)을 측정하는 것이 가능하다. 상이한 파장들에 대응하는 조명 빔들이 퓨필 내의 동일한 위치에 있을 때, 카메라 이미지 상의 대응 프린지는 상이한 파장들에 대해 상이한 배향들을 가질 것이다. 이는 대부분의 축외 조명 생성기 배열체의 경우에 해당하는 경향이 있다 (조명 격자와 대상 격자의 파장 의존성이 서로 상쇄되는 경향이 있는 단일 격자는 예외이다).
도 8은 그러한 이미지(800)의 예를 보여주고 있으며, 이 이미지는 각각이 상이한 파장에 대응하는 제1 회절 패턴(810) (흑색 라인) 및 제2 회절 패턴(820) (회색 라인)을 보여주고 있다. 관찰된 프린지 패턴의 주기 및 각도는 조명 파장과 직접적으로 관련이 있다. 이러한 이미지의 적절한 처리에 의하여, 단일 캡처 내의 다중 파장 (및 차수)을 위하여 정렬 위치가 결정될 수 있다. 이 다수의 위치는, 예를 들어 OCW와 같은 알고리즘을 위한 입력으로써 사용될 수 있다.
다른 계측 적용 분야-위상 기반
계측 디바이스는 정렬 감지를 넘어선 적용 분야를 갖고 있다. 예를 들어, 계측 디바이스는 오버레이 (층 쌍 간의 상대적 정렬)를 측정하는데 적절하다. 제1 실시예에서, 이미지 기반 오버레이 기술이 설명된다. 이미지 기반 오버레이는 정렬과 매우 유사하다. 핵심적인 차이점은 정렬을 위해 위상이 고정 기준, 예를 들어, TIS 플레이트와 비교되어 절대 위치 측정을 설정한다는 것이다. 이미지 기반 오버레이에 대하여, 조건은 더 완화되며 동일한 스냅샷(snapshot)/측정 영역에서 캡처된 제2 격자에 의해 기준이 형성된다. 2개의 격자 사이의 상대 변위가 사용되어 오버레이를 결정할 수 있다. 이 측정은 고정 기준과 벤치마킹할 필요없이 수행될 수 있다. 카메라와 함께 작동하는 이미지 기반 오버레이 센서에 대해, 2개의 격자가 단일 이미지 내에서 동시에 캡처되는 것이 바람직하다. 그러나 이것은 필요하지 않으며, 예를 들어 2개의 이미지는 함께 스티칭될 수 있다.
도 9a는 이미지 기반 오버레이 계측을 위한 제안된 오버레이 타겟(900)을 그리고 도 9b는 타겟(900)의 측정에 뒤이은 결과적인 이미지 캡처(910)를 보여주고 있다. 일반적인 것과 같이, 타겟(900)은 X 방향으로 오버레이를 측정하기 위한 사분면(920X) 및 Y 방향으로 오버레이를 측정하기 위한 사분면(920Y)을 포함하고 있다. 각 사분면(920X, 920Y)은 2개의 격자(925a, 925b)를 포함하고 있다. 이 2개의 격자(925a, 925b)는 전형적으로 상이한 층들에 있을 것이지만, 스티칭된 다이의 2개 부분의 상대적인 정렬을 측정하기 위한 스티칭(stitching) 유형 마크는 단일 층 내에 양 격자를 가질 수 있다. 오버레이는 자체가 격자(925a)에 대응하는 간섭 패턴(930a)과 격자(925b)에 대응하는 간섭 패턴(930b) 사이의 오프셋으로서 나타날 것이다 (기준 라인(940X, 940Y)은 2개의 방향으로의 이 오프셋을 도시하기 위하여 보여진다).
계측 디바이스의 디자인은 작은 피치를 갖는 격자에 대해 최적화되어 있다. 그러나, 예를 들어 현재 사용될 수 있는 바와 같은 이러한 타겟과의 호환성을 유지하기 위하여, 더 큰 피치 (예를 들어, 1㎛보다 큰)를 가진 타겟을 측정하기 위해 디바이스를 사용하는 것이 가능하다. 이러한 큰 피치가 갖고 있는 문제점은 +1, -1 차수보다 더 높은 회절 차수, 특히 +3 및 -3 회절 차수가 캡처될 것이라는 것이다; 이들은 세기 패턴 및 그의 해석을 각각 복잡하게 하는 +1 및 -1 차수를 방해할 것이다. 그러나 푸리에 분석 및 필터링 기술을 사용하여 이미지가 분해될 수 있다. 대안적으로, 조명 퓨필 및 검출 퓨필에 적절하게 위치된 공간 필터가 사용되어 원하지 않는 더 높은 차수가 카메라에 도달하는 것을 차단할 수 있다. 이러한 필터는 다른 (원하는) 피치로부터의 회절을 차단하지 않도록 타겟 별로 최적화되어야 한다.
현재 사용되는 또 다른 유형의 타겟과 하위 호환성을 유지하기 위한 또 다른 접근법이 제안되며, 그에 의하여 제안된 계측 센서를 사용하여 일반적인 μDBO 타겟 상에서 오버레이의 측정을 가능하게 한다. 이러한 μDBO 기반 오버레이 타겟은 전형적으로, 하나가 다른 하나를 오버레이하는 2개의 격자를 포함하고 있으며, 그에 의하여 "비대칭적 격자"를 생성하고, 비대칭성의 정도는 오버레이 (2개의 격자 사이의 오프셋)에 의존적이다. (현재 방법을 사용한) 타겟의 측정은, 타겟 비대칭성에 의존적이고 따라서 오버레이되는 양의 회절 차수와 음의 회절 차수 사이의 세기 불균형을 검출하는 것을 포함하고 있다.
제안된 접근 방식은 임의의 타겟 비대칭성이 파장마다 상이한 정렬 위치를 야기한다는 사실에 의존한다. 그에 의하여, 상이한 파장에 대해 정렬된 위치의 이 차이를 측정함으로써 비대칭성이 결정될 수 있으며, 이로부터 오버레이 값을 추출하는 것이 가능하다. 사분면마다 상이한 바이어스를 갖는 전형적인 μDBO 타겟을 사용하면, μDBO 계측에 이미 사용된 것과 유사한 방법을 사용하여 임의의 다른 비대칭성 (예를 들어, 격자들 중 하나의 격자 비대칭성)과의 오버레이로 인하여 비대칭성을 분리하는 것이 가능해야 한다.
다른 계측 적용 분야-세기 기반
간섭 패턴의 이미징과 카메라 상의 간섭 프린지의 위치를 포함하는 위상 기반 측정에 더하여, 제안된 계측 디바이스는 또한 세기 기반 계측을 위하여 사용될 수 있다. 이는 2개의 주요 적용을 갖고 있다. 제1 적용은 (설명된 것과 같은) 정렬 측정의 일부로써 정렬 마크의 비대칭성을 측정하고 보정하는 것이다. 제2 적용은 현재 사용되는 μDBO 타겟 (또는 μDBF 타겟)으로부터 오버레이를 측정하기 위한, 앞선 부분에서 설명된 것에 대한 대안적인 방법을 제공한다.
이것이 어떻게 달성될 수 있는지 이해하기 위하여, 먼저 본 명세서에서 설명된 계측 디바이스를 사용하여 완벽하게 대칭적인 타겟 (예를 들어, 도 4a에서 보여지는 형태의 정렬 마크)의 측정을 고려한다. 각 사분면에 대한 (예를 들어, 평균) 세기 레벨이 동일하다는 것이 보여질 수 있다. 이제 동일한 측정을, 그러나 하나의 사분면 (예를 들어, X 방향 사분면)이 비대칭성을 갖고 다른 모든 사분면은 대칭적인 타겟 상에서 고려한다. 이 경우, 비대칭적 타겟 사분면에 대응하는 이미지 사분면은 (다른 정렬 위치로서 해석될 수 있는) 프린지 패턴의 위상 시프트 및 감소된 프린지 콘트라스트(fringe contrast)/프린지 가시성을 보여줄 것이다. 부가적으로, X-방향 타겟 사분면에 대응하는 2개의 이미지 사분면은 세기 불균형을 보여줄 수 있다. 비대칭성을 측정하기 위해 이를 이용하는 것이 제안되고 있다.
오버레이 마크는 세기와 위상 (즉, 세기 비대칭성 및 위상 비대칭성) 모두에 대해 +1과 -1 차수 간의 비대칭성을 부여한다. 일반적으로, DBO 계측에서, 세기의 비대칭성만이 측정된다. 본 명세서에서 설명된 계측 디바이스의 장점은 위상 비대칭성이 프린지 패턴의 시프트를 초래하기 때문에 세기 비대칭 및 위상 비대칭성 둘 모두가 (동시에) 측정될 수 있다는 것이다. 이는 세기 비대칭성과 위상 비대칭성이 서로에 대해 상호 보완적이기 때문에 유용하다. 양 비대칭성을 측정함으로써, 파장과 스택 두께의 임의의 조합에 대한 오버레이를 효율적으로 측정하는 것이 가능해진다. 그에 비해서, 오버레이에 대한 세기 (단지) 비대칭성의 민감도는 파장에 매우 의존적이며, 따라서 파장의 서브세트(subset)에 대해서만 작동하고, 이 서브세트는 스택 의존적이다.
세기 비대칭성은 현재 암시야 DBO 계측에서 수행됨에 따라, 상이한 이미지에서 +1 및 -1 차수를 분리함으로써 측정될 수 있다. 대안적으로, 세기 비대칭성은 간섭 프린지 콘트라스트부터 추론될 수 있으며, 이는 이들이 직접적으로 관련이 있기 때문이다. 세기 비대칭성이 있다면, 그러면 완벽한 상쇄 간섭이 없을 것이며, 따라서 프린지 콘트라스트는 1보다 작다.
제1 실시예에서, 단지 단일의 더 높은 회절 차수가 임의의 시간에 캡처되도록 선택적 조명 모드를 사용하는 것이 제안된다 (웨지 또한 사용되어 차수를 분리할 수 있다). 도 10a는 하나의 축외 빔(1000)만이 타겟을 조명하고 따라서 +1 X 방향 차수(1010)만이 캡처되는 제1의 제안된 조명 모드를 보여주고 있다. 결과적인 이미지가 도 10b에 보여지고 있다. 2개의 X-방향 사분면(1020X)에는 세기의 영역 (+1 회절 차수만이 존재함에 따라 프린지 패턴이 보이지 않는다)이 있으면서, 이 2개의 사분면 사이에 명확한 세기 차이가 보여진다 (여기에서 음영 차이로 도시되며, 더 밝은 음영은 더 큰 세기를 가리킨다). Y-방향 회절 차수가 캡처되지 않음으로써, Y-방향 사분면(1020Y)은 보이지 않는다 (실질적으로 0의 세기). 도 10c는 -1 X 방향 차수(1030)만이 캡처되도록 타겟을 조명하기 위해 상이한 축외 빔(1020)이 선택되는 제2의 제안된 조명 모드를 보여주고 있다. 결과적인 이미지가 도 10d에 보여지고 있다. 다시 한 번, 2개의 X-방향 사분면(1020X')은 명확한 세기 차이를 보여주고 있으며, 2개의 Y-방향 사분면(1020Y')은 보이지 않는다. Y-사분면 비대칭성을 결정하기 위해 단일 Y-회절 차수만을 캡처하는데 동일한 원리가 사용될 수 있다는 것이 인식될 것이다. 그후 오버레이는 현재 DBO에서 수행되는 것과 동일한 방식으로 반대되는 +1과 -1 회절 차수들 간의 차이 (예를 들어, 하나 또는 둘 모두의 대응하는 사분면 쌍을 위한 사분면(1020X 및 1020X')에 대한 세기 차이)로부터 추론될 수 있다.
제2 실시예에서, +1 및 -1 회절 차수는 도 4d 및 도 5에 설명된 바와 같은 카메라 상에서 간섭 프린지 패턴을 간섭하고 형성하며, 오버레이는 단일 측정에서 프린지 콘트라스트로부터, 또는 바람직하게는 오버레이로 인한 프린지 위치 (위상 비대칭성)와 프린지 콘트라스트 (세기 비대칭성)의 조합으로부터 추론된다. 이러한 실시예가 도 10e 및 도 10f에 의해 개략적으로 도시되어 있다. 도 10e는 비대칭성이 없는 (0의 오버레이) 오버레이 타겟을 측정한 것으로부터 생긴 간섭 프린지 패턴(1030)을 보여주고 있다. 도 10f는 동일한 오버레이 타겟을 측정한 것으로부터 생긴, 그러나 비대칭성이 있는 (예를 들어, 0이 아닌 오버레이) 경우의 간섭 프린지 패턴(1050)을 보여주고 있다. (예를 들어, 양 도면에 대해 동일한 위치에 있는 고정 기준(1040)에 대하여) 프린지 위치의 시프트가 있다는 것을 알 수 있다. 또한, 간섭 프린지 패턴(1030)에 관하여 간섭 프린지 패턴(1050)에 대해 프린지 콘트라스가 더 낮다 (예를 들어, 프린지는 프린지 패턴(1050)에서 더 흐릿하다)는 것을 또한 알 수 있다. 간섭 프린지 시프트 및/또는 이미지 콘트라스트의 변화 모두의 측정이 사용되어 타겟으로부터 오버레이를 측정할 수 있다 (예를 들어, 둘 모두가 오버레이로 단조롭게(monotonically) 또는 선형적으로 변한다는 것이 가정될 수 있다).
이 모든 비대칭성 실시예에서, 의도적인 오프셋이 타겟에서 구현되어 공지된 방식으로 오버레이를 다른 처리 비대칭성과 구별할 수 있다. 예를 들어 표준 μDBO 타겟을 측정함으로써 (즉, 타겟은 방향마다 2개의 사분면을 가지며, 각 사분면은 상이한 바이어스를 가짐), 현재 사용되고 있는 μDBO 기술과 유사한 방식으로, 오버레이 이외의 타겟 비대칭성을 보정하면서 결정된 비대칭성을 기반으로 오버레이를 측정하는 것이 가능하다.
위의 접근법은 또한 정렬 측정에서의 정렬 마크 비대칭성을 결정 및/또는 보정하기 위해 사용될 수 있다. 부가적으로, 제안된 계측 툴은 마크 자체 내에서 이 비대칭성 보정이 수행되는 것을 허용한다 (관심 대상 영역(region-of-interest) 선택). ROI는 다양한 피팅 루틴(fitting routing)을 적용하도록 특정될 수 있다 (ROI 선택에 대한 더 자세한 내용은 제목이 "가변 관심 대상 영역 선택"인 부분 참조). 이러한 방식으로, 마크 자체 내의 변형을 보정하는 것이 가능하다.
또한, 이 방법이 타겟 비대칭성을 측정하는 것을 포함하고 있음에 따라 이는 초점 또는 선량 의존 비대칭성을 갖고 디자인된 타겟 (예를 들어, DBF 또는 μDBF 타겟)을 통한 초점 또는 선량의 측정과 같은, 다른 타겟 비대칭성 기반 매개변수를 측정하기 위해 사용될 수 있다는 점이 인식될 것이다.
다시 도 10a를 참조하면, (적어도 도 7d의 프리즘 기반 축외 조명 생성기에 대해) +1 X-방향 차수가 피치와 파장의 함수로서 화살표(1025)를 따라 이동하도록 국한되어 있다는 점이 인식될 수 있다. 따라서 이는 퓨필의 최상부 2개의 사분면을 따른 이동으로 국한될 것이다. 마찬가지로, -1 X-방향 차수는 피치와 파장의 함수로서 화살표 1025'를 따라 이동하도록 국한되어 있으며, 따라서 퓨필의 최하부 2개의 사분면을 따른 이동으로 국한된다. 위상-격자 기반의 축외 조명 생성기의 경우, 차수는 격자 피치의 함수로서 (화살표 1025 또는 1025'를 따르는) 표시된 방향으로만 이동할 것이며 파장을 변경하는 것은 회절 차수가 퓨필의 중심을 향하여 이동하거나 그로부터 멀어지게 할 것이다. 이와 같이, 이동은 파장의 함수로서 위상 격자 기반의 축외 조명 생성기에 대해 단지 하나의 사분면으로 국한된다 (그러나 피치의 함수로서 여전히 2개의 사분면으로 국한된다).
도 10g는 X 및 Y 방향 차수를 갖는 (예를 들어, μDBO 마크 또는 유사한) 2D 마크와 관한 상황을 보여주고 있다. -1 X-방향 차수는 화살표 1060a를 따르는 위치로 제한되며, +1 X-방향 차수는 화살표 1060b를 따르는 위치로 제한된다. +1 Y-방향 차수는 화살표 1060c를 따르는 위치 (단지 좌측 사분면)로 제한되며 -1 Y-방향 차수는 화살표 1060d를 따르는 위치 (단지 우측 사분면)로 제한된다. 따라서 주어진 피치와 파장에 대해, (X 및 Y 방향 격자들에서 동일한 피치를 가정하면) 사분면마다 단일의 X- 또는 Y-차수만이 있다.
일 실시예에서, 이는 퓨필 평면 (도 2에 도시된 바와 같은 평면(P3))에 4개의 광학 웨지를 배치함으로써 이용될 수 있다. 스캐터로메트리 계측에서 다수의 차수를 동시에 이미지화하는 광학 웨지의 개념은 예를 들어 US2011/0102753 및 US2012/0206703에 설명되어 있으며, 이들 모두는 인용 참조된다. 양쪽 방향으로의 +1차 및 -1차 회절 빔은 웨지에 의하여 카메라의 상이한 부분들로 향하게 되며, 여기서 이들은 이미지화된다. 이것의 장점은 1/4 조명 개구를 사용할 때보다 더 적은 빛이 낭비(차단)되어 신호를 개선한다는 것이다.
도 10h는 사분면 당 서브-이미지를 포함하는 결과적인 이미지를 개략적으로 도시하고 있다. 피치/조명 조합에 따라, 모든 서브-이미지(1070a 내지 1070d)는 제1의 열거된 회절 차수 또는 제2의 열거된 회절 차수로 구성될 것이다. 이 특정 예에서, 제1 피치/조명 조합에 대하여, 제1 서브-이미지(1070a)는 +1 Y-방향 차수로 형성되며, 제2 서브-이미지(1070b)는 +1 X-방향 차수로 형성되고, 제3 서브-이미지(1070c)는 -1 Y-방향 차수로 형성되며, 제4 서브 이미지(1070d)는 -1 X-방향 차수로 형성된다. 제2 피치/조명 조합 (예를 들어, 훨씬 더 작은 피치)에 대하여, 제1 서브-이미지(1070a)는 +1 X-방향 차수로 형성되며, 제2 서브-이미지(1070b)는 +1 Y-방향 차수로 형성되고, 제3 서브-이미지(1070c)는 -1 X-방향 차수로 형성되며, 제4 서브 이미지(1070d)는 -1 Y-방향 차수로 형성된다. ROI 선택은 이 이미지(아래 참조)에 적용되어 각 차수에 대한 측정값을 획득할 수 있다.
더욱이, 각 서브-이미지는 +1 차수 또는 -1 차수만을 포함하고 있기 때문에 마크 비대칭성을 보정하기 위해 사용될 수 있는 세기 채널을 생성하는 것이 가능하다. 이는 각 캡처된 회절 차수와 관련된 세기 채널의 별도의 모니터링을 포함할 수 있다. +1 차수와 -1 차수 간의 세기 차이는 (마크) 비대칭성에 대한 핑거프린트로서 사용할 수 있다. 이 핑거프린트를 기반으로, 이 비대칭성을 완화시키는 정렬된 위치에 대한 보정을 결정하는 것이 가능하다. 이전의 포토다이오드 기반 정렬 센서에서, 검출된 방사선이 마크 또는 다른 것에서 비롯되었는지 여부를 결정할 수 없었으며, 따라서 스폿은 마크를 언더필해야 했다. 본 명세서에서 설명된 바와 같이 카메라 기반 계측 디바이스로 방사선이 비롯된 곳을 식별할 수 있으며, 따라서 마크를 오버필링 또는 언더필링하는 것이 가능하다.
또 다른 실시예에서, 4개의 광학 웨지는 격자들로 대체된다. 이 격자는 모든 0차 및 모든 1차 회절 빔만을 카메라로 향하도록 최적화될 수 있다. 이러한 배열체로, 부가적인 서브-이미지 (제5 서브-이미지)가 모든 0차 빔으로부터 생성된다. 이 0차 빔은 간섭하여 카메라 상에 간섭 프린지 패턴을 형성할 수 있으며, 이는 이미 설명된 방법을 사용하여 해석될 수 있다. 이 제5 서브-이미지는, 예를 들어 이미지 평면에 중심적으로 (예를 들어, 도 10g의 서브-이미지(1070a 내지 1070d에 대해 중심적으로) 위치될 수 있다. 따라서, 이 방법은 카메라 상에서의 간섭 프린지 패턴과 대응하는 1차 회절 패턴의 동시 검출을 허용한다. 이는 위상과 세기의 동시 검출을 가능하게 한다. 임의 소스 유도 계측 오차는 능동적으로 모니터링될 수 있으며 측정된 데이터에서 효과적으로 분리될 수 있다. 따라서 계측 시스템의 정확도가 향상될 수 있다.
가변 관심 대상 영역 선택
측정하도록 디자인된 제안된 계측 디바이스의 대상물인 것과 같은 작은 타겟은 전형적으로 형성 중에 (예를 들어, 처리로 인해) 변형을 겪게 된다. 이 변형은, 예를 들어 무작위 에지 효과, 마크에 걸친 웨징(wedging), 국부 격자 비대칭 변동, 국부 두께 변동 및/또는 (국부) 표면 거칠기로 이어질 수 있다. 변형된 마크 상에서 기판 정렬을 수행할 때 전체 마크에 걸친 또는 고정된 관심 대상 영역에 걸친 평균화는 전형적으로 정렬 오차로 이어질 것이다.
따라서 정확도/견실함을 향상시키기 위해 가변 관심 대상 영역(ROI) 선택 및 가변 픽셀 가중을 사용하는 것이 제안된다. 전체 (예를 들어, μDBO) 마크 이미지 또는 (각 사분면의 중앙 영역 또는 전체 타겟에 걸친 것과 같은; 즉 에지 영역을 제외한) 고정된 관심 대상 영역을 기반으로 정렬 위치를 결정하는 대신에, 타겟 당(per-target) 기반으로 ROI를 최적화시키는 것이 제안한다. 예를 들어, 최적화는 사분면 별로 수행될 수 있다. 최적화는 어느 임의적인 형상의 ROI 또는 복수의 ROI를 결정할 수 있다. 도 11은 순전히 예를 들어, 이러한 임의적인 형상의 ROI(1100)의 예를 보여주고 있다.
추가 옵션으로서, 하나 이상의 품질 메트릭(quality metric) 또는 핵심 성과 지표(KPI)에 따라 할당된 가중치(weighting)로, 최적화된 가중된 ROI 조합을 결정하는 것이 또한 제안된다.
이와 같이, 하나 이상의 KPI를 기반으로 하여, 최적화된 영역(ROI) 또는 가중된 픽셀 조합을 타겟 별 기반으로 선택하는 것이 제안되며, 여기서 예시적인 KPI는 다음 중 하나 이상을 포함할 수 있다:
이미지의 국부적인 균일성;
이미지로부터 결정된 (국부적인) 정렬 위치의 국부적인 균일성;
최소의 국부적인 색상 대 색상 이미지 변화;
최소의 국부적인 색상 대 색상 정렬 위치 변화;
차수들 간의 최소의 국부적인 시프트 (1㎛ 피치보다 큰 것에 대해서만)
최대의 국부적인 프린지 콘트라스트;
선택한 ROI의 함수로서의 측정된 또는 모델링된 정렬/오버레이 (또는 디바이스 성능)
개선된 정렬, 오버레이 또는 다른 메트릭으로 이어지는 것으로 경험적으로 발견된 임의의 다른 KPI.
다중 타켓 이미징
이미 논의된 바와 같이, 제안된 계측 디바이스는 리소그래피 패터닝 공정 동안 기판의 위치를 측정하기 위하여 정렬 센서로서 사용될 수 있다. 전형적으로, 스캐너는 단일의 선택된 층에 대해서만 정렬할 수 있다. 그후 다른 층에 관한 정렬이 또한 허용 가능하다는 점이 가정된다 (또는, 예를 들어 이전 오버레이 측정으로부터 알려진다). 그러나, 층 (예를 들어, 층(N))이 일부 또는 모든 관련 이전 층에 대해 직접적으로 정렬될 수 있다면 이는 더 직접적일 것이다. 따라서 본 명세서에서 설명된 계측 디바이스를 사용하여 단일 이미지 캡처에서 상이한 층들 내에 다수의 마크를 정렬하는 것이 제안된다. 예를 들어, 층 N은 X-방향으로 층 N-1에 대해, 그리고 동시에 Y-방향으로 층 N-2에 대해 (가장 결정적으로) 정렬을 요구할 수 있다. 이는 심지어 층 N 이 각 방향으로 다수의 층에 대해 정렬될 필요가 있는 경우일 수도 있다. 예를 들어, 층 N은 X-방향으로 층 N-1 및 N-3에 대해, 그리고 Y-방향으로 층 N-2 및 N-4에 대해 정렬될 필요가 있을 수 있다. 이는 매우 복잡한 정렬 트리(alignment trees)로 이어질 수 있다.
도 12는 각각 전형적으로 상이한 층에 위치된 4개의 정렬 마크의 예시적인 이미지를 보여주고 있다. 4개의 마크는 단일 이미지의 시야 내에서 동시에 캡처된다. 4개의 마크의 응답은 관심 대상 영역(ROI) 선택에 의하여 분리될 수 있으며, 따라서 각 마크는 개별적으로 분석될 수 있다. 예를 들어, 정렬 위치는 각 마크 (및 따라서 각 층)에 대해 개별적으로 결정될 수 있다. 최적의 노광 위치는 그후 이 다수의 정렬 위치로부터 결정될 수 있다. 최적의 노광 위치를 결정하기 위한 예시적인 전략은 평균 정렬 위치 (관련 층에 걸친 평균)를 취하는 것을 포함할 수 있다. 특정 층에 대한 정렬이 또 다른 층에 대한 것보다 더 중요하다면, 가중된 평균이 취해질 수 있으며, 여기서 더 중요한 층에 더 큰 가중치가 할당된다.
다중 이미징 개념이 정렬 측면에서 설명되지만, 다른 층 쌍과 관련된 다중 오버레이 값을 결정하는 것과 같은 다른 계측 기술에 대해서도 적용 가능할 수도 있다. 오버레이 보정은 그후 다수의 오버레이 쌍에 대해 동시에 최적화될 수 있다.
편광 분해 이미징
도 13은 편광 분해 이미지를 획득하도록 작동할 수 있는, 본 명세서에서 설명된 계측 디바이스(1300)의 실시예를 도시하고 있다. 도 2의 디바이스와 공통된 컴포넌트는 다시 설명되지 않을 것이며, 단순함을 위하여 일부 광학 컴포넌트는 도면에서 제거되었다. (오버레이 및 정렬 모두에 대한) 편광 분해 신호를 얻는 능력은 더 견실한 공정 측정을 허용한다. 이 실시예에서, 조명 소스(1310)는 알려진 편광의 상태 (State of Polarization)(SoP)와 공간적으로 비간섭성인 것으로 가정된다. 이 SoP는 예를 들어 원형, 대각선 또는 (가능성이 가장 큰) 비편광일 수 있다. 2개의 간섭성 축외 빔(1330)이 설명된 방식으로 (예를 들어, 방향마다) 생성되며 (예를 들어, 높은 NA) 대물렌즈(345)에 진입한다. 방사선은 기판(350) 상의 타겟에 집속되며, +1차 및 -1차 회절 차수(1355+, 1355-)만이 (방향마다) 대물렌즈에 의해 집광된다. 회절 차수(1355+, 1355-)는 윌러스턴(Wollaston) 프리즘(1385) (또는 와이어-그리드 편광기와 같은 임의의 다른 적절한 편광 분리기 요소)로 입사되며, 이 프리즘은 광을 직교 S 및 P SoP 성분으로 분리하면서 각도 변위는 정상 축(ordinary axis)과 이상 축(extraordinary axis) 사이의 분리 각도에 의하여 주어진다. 타겟의 산란 조명의 S 및 P 성분과 각각 관련된 2개의 이미지가 카메라(365)에 의하여 캡처되도록 빔은 그후 이미징 렌즈(1360)에 의해 집속된다.
펌프-프로브 조명
제안된 계측 디바이스의 실시예는 실시예에서 펌프-프로브(음향) 조명 모드를 구현할 수 있다. 이러한 모드는, 예를 들어 정렬 마크 또는 다른 계측 타겟이 매우 광학적으로 불투명한 층 (예를 들어, 적외선에 대해서도 불투명) 아래에 위치되는 경우에 유용할 수 있다. 펌프-프로브 측정은 정확도와 처리 측면에서 유리한 보다 작은 격자 피치의 분해능을 가능하게 함에 따라 (매우) 불투명한 층이 없는 적용에서도 유용할 수 있다. 더욱이, (예를 들어) 층 두께 변화, 격자 비대칭성, 기본 구조체 등과 같은 변수에 대한 펌프-프로브 측정의 민감도는 표준 광학 측정 방법과 비교하여 매우 상이하다. 이는 증가된 측정 정확도를 가능하게 할 수 있다.
펌프-프로브 조명 방법에서, 정렬 마크는 하나 또는 일련의 펌프 펄스에 의해, 뒤이어 하나 또는 일련의 프로브 펄스에 의해 조명된다. 펌프 펄스는 먼저 (예를 들어, 10 내지 l00㎚의 전형적인 음향 파장을 갖는) 음향 충격파를 여기시킬 것이다. 여기된 음향 평면파는 1) 기판 스택으로 전파되고; 그리고 2) 매립된 마크 격자에 의해 반사되며; 그리고 3) 불투명 층 및/또는 마크를 덮는 레지스트 층 또는 층들의 표면으로 다시 전파되어 측정 가능한 주기적 표면 변형 패턴 및/또는 굴절률 변화 (예를 들어, 효과적인 음향 격자)를 야기할 것이다. 주기적인 표면 변형 및/또는 굴절률 변화 패턴은 "프로브(probe)" 펄스 또는 측정 펄스를 통해 설명된 기술들 중 임의의 것을 사용하여 측정될 수 있다.
도 14는 4개의 연속적 시간 인스턴스(instances)에서의 이러한 조명 방법을 개략적으로 도시하고 있다. 도 14a는 불투명 층(1410) 및 매립된 마크(격자)(1415)를 포함하는 스택(1405) 상에 입사되는 펌프 펄스(1400)를 보여주고 있다. 펌프 펄스(1400)는 음향파(1420)를 생성한다. 도 14b는 스택을 통해 전파됨에 따른, 이후의 음향 파(1420')를 보여주고 있다. 음향 파는 매립된 마크(1415)에서 반사되며, 반사된 음향 파(1420")는 매립된 격자에 의해 형상화된다 (도 14c). 마지막으로, 도 14d는 굴절률의 주기적인 변화를 갖는 얕은 표면 변형으로서 나타나고 있는, 표면에서의 반사된 음향 파(1420''')를 보여 주고 있다. 주기적인 표면 변형 패턴은 기본적으로 들어오는 프로브 펄스(1425)에 대한 격자처럼 작용한다. 그후 프로브 펄스(1425)는 반사된 음향 파(1415''')에 의해 생성된 변형 패턴과 상호 작용할 것이며, 회절된 프로브 펄스(1430)는 카메라에 의해 캡처되고 이미지화될 것이다. 카메라 이미지는 도 5에 설명된 것과 유사한 간섭 패턴을 보여줄 것이며, 따라서 동일한 이미지 분석이 이미 설명된 바와 같이 수행되어 기판 정렬을 평가할 수 있다.
도 14a에서, 매립된 마크(1415)를 균일하게 조명하는 축상(on-axis) 펌프 펄스(1400)가 도시되어 있다. 축외 프로브 빔(1425)은 도 2에 도시되고 이전에 설명된 바와 같이 구성된다. 이러한 방식으로 분해될 수 있는 가장 작은 격자 피치는 일반적인 (축상) 센서보다 2배 더 작다. 이 피치 감소가 가능한 이유를 이해하기 위하여, 모아레 패턴이 생성될 것이라는 점이 인식되어야 한다: 프로브 펄스는 기판 상에 격자 패턴을 형성한다. 이 격자는 펄스에 의해 생성된 음향 격자와 상호 작용한다. 주파수가 혼합되며 따라서 (2×) 더 작은 피치가 해결될 수 있다.
도 14e는 축외 펌프 빔이 사용되는 또 다른 실시예를 도시하고 있다. 위에서 언급된 실시예에서 설명된 바와 같이 정렬 마크(1435)를 균일하게 조명하는 대신, 축외 펌프 빔은 기판의 최상부 표면에 2D 격자 패턴을 생성한다. 이러한 배열체에서, 음향 파는 펌프 빔이 구조상으로 간섭되는 위치에서만 여기된다. 여기된 2D 음향 평면파는 기판 스택을 통해 전파되며 매립된 정렬 마크(1435), 예를 들어 μDBO 격자에 의해 반사된다. 반사된 모든 음향 파의 파면은 격자에 의해 형성되고 표면 변형 패턴(1440)을 형성한다. 이 표면 변형 패턴(1440), 본질적으로 2D 격자 패턴과 상호 작용한 후, 회절된 축외 프로브 빔(1440)들은 카메라에서 서로 방해할 것이다. 그후 간섭 패턴은 캡처되고 분석되어 기판 정렬을 평가할 것이다. 피치 표면 변형 패턴(1440)이 매립된 정렬 마크(1435)의 피치의 2배라는 것을 볼 수 있다. 이는 펌프가 격자 패턴으로 음향 파를 여기하기 때문이다. 음향 격자는 매립된 정렬 격자와 상호 작용한다. 주파수들은 혼합되며 표면에 나타나는 음향 격자는 예를 들어 매립된 격자보다 2배 더 큰 피치를 갖고 있다. 이 효과는 프로브 펄스와 음향 격자의 상호 작용에 의하여 야기된 이전에 설명된 모아레 효과와 결합되어 표준 기술과 비교하여 분해되는 4배 더 작은 피치의 가능성으로 이어진다. 이는 선형 음향을 가정한다. 음향 여기 및/또는 전파 및/또는 검출이 상당히 비선형이면 이론적으로 무한적으로 작은 피치 (예를 들어, 제품 피치)가 분해될 수 있다.
모든 예가 정수 피치 비율 (예를 들어, 2× 및 4×)을 설명하고 있지만 본 방법은 비-정수 비율; 즉, 격자 피치와 조명 피치가 서로에 대해 비교할 수 없는 경우에 동일하게 적용 가능하다.
실시예에서, 펌프 펄스(들) 및/또는 프로브 펄스(들)의 하나 이상의 특성은 비선형 음향 생성 및/또는 전파 및/또는 검출 효과를 향상시키기 위해 최적화된다. 이는 매우 작은 (일반적으로 분해 가능하지 않은) 타겟 피치에서 측정할 때 신호 세기를 향상시킬 수 있다.
세기 균형 최적화를 위한 조정 가능한 비대칭성
본 명세서에서 설명된 계측 디바이스를 사용하는 정렬된 위치 값 또는 오버레이 값은 타겟 (예를 들어, 회절 격자)의 (공정 비대칭성과 같은) 비대칭성에 민감하다. 일반적으로 말하면, 이러한 타겟 비대칭성은 다색 비교(multi-color comparison)와 같은 방법; 또는 +1과 -1 회절 차수들 간의 세기 불균형의 측정을 통해 (예를 들어, 각도 분해 계측을 통하여) 추정될 수 있다. 그러나 센서가 측정에 부가적인 비대칭성을 부과한다면, 이러한 방법의 성과는 악화된다. 센서 비대칭성과 격자 비대칭성 사이의 교차 항목은 해결하기 어렵다.
이 문제를 해결하기 위하여, 조명의 비대칭성의 조정을 가능하게 하는 비대칭성 조정 배열체가 제안된다. 이러한 배열체는 본 명세서에서 설명된 임의의 계측 툴에 대해, 보다 구체적으로는 축외 조명 생성기가 적어도 하나의 위상 격자를 포함하고 있는 계측 툴에 대해 제안된다. 대칭성 조정 배열체는 이중 격자 축외 조명 생성기를 사용하여 구현될 수 있으며, 이 생성기는 제1 격자에 대해 이동될 수 있는 제2 격자를 더 포함하고 있다. 이는 제1 격자를 이동 가능하게 하고, 제2 격자를 이동 가능하게 하고, 또는 제1 및 제2 격자 모두를 이동 가능하게 함으로써 영향을 받을 수 있다.
기본적인 작동 원리가 도 15a에 보여지고 있다. 제1 위상 깊이(φ1) 및 제1 피처 폭 또는 선폭(w1)을 갖는 제1 격자(G1)는 설명된 바와 같이 회절 차수 (예를 들어, 축외 조명 빔)를 생성한다. 제2 위상 깊이(φ2) 및 제2 피처 폭 또는 선폭(w2)을 갖는 제2 격자(G2)는 제1 격자(G1)에 매우 근접하게 배치되어 있다. 이 맥락에서, "매우 근접"은 키르호프(Kirchoff) 마스크 근사가 유효할 정도로 충분히 가깝다는 것을 의미해야 하며, 따라서 매우 근접한 2개의 격자에 의해 형성된 2개의 위상 마스크의 합은 개별 위상 깊이들의 합이다.
제1 격자(G1)에 대하여 제2 격자(G2)의 위치를 시프트하는 것은 전체 위상 프로파일(φ21)에 비대칭성을 생성한다. 도 15a에서, 최상부 플롯(plot)은 전체 위상 프로파일(φ21)이 대칭이 되도록 대칭적으로 정렬된 제1 격자(G1)와 제2 격자를 보여주고 있으며 제2 플롯은 전체 위상 프로파일(φ21)이 비대칭이 되도록 제1 격자(G1)에 대하여 제2 격자(G2)를 시프팅한 결과를 보여주고 있다.
비대칭성의 양은 제2 격자(G2)의 상대적인 위상 깊이 및 선폭(w2)을 통한 디자인에 의하여; 그리고 제1 격자(G1)에 대한 제2 격자(G2)의 오프셋의 조정에 의하여 제어될 수 있다. 제2 격자(G2)의 디자인은 (예를 들어, 위상 깊이 및 선폭 면에서) 최적화되어 원하는 범위의 세기 불균형을 제공하는 반면에 동시에 큰 위치 조정 범위를 제공할 수 있다. 제어 민감도를 크게 만들기 위하여 (큰 물리적 이동에 대한 비대칭성의 작은 변화), 격자(G2)의 위상 깊이는 충분한 범위를 허용하는 가능한 가장 작도록 선택될 수 있다. 격자(G2)의 시프트를 통해 비대칭성을 달성하기 위하여, 격자(G2)는 아래의 2개의 특성 중 적어도 하나를 구비할 수 있다.
격자들은 상이한 선폭을 갖는다 (예를 들어, w2는 w1보다 작다); 및/또는
격자들은 상이한 위상 깊이를 갖는다 (예를 들어, φ2는 φ1보다 작다).
비대칭성 조정 배열체는; 1) 격자 비대칭성으로 발생한 세기 비대칭성; 또는 2) 광학계에 의하여 야기된 세기 비대칭성 (센서 비대칭성) 중 하나 또는 둘 모두에서 발생하는 센서 내의 세기 비대칭성을 보정하기 위해 사용할 수 있다.
비대칭성 조정 배열체는 센서 내의 액추에이터로 구현되어 센서의 드리프트 및/또는 특정 사용을 보상하기 위해 세기 균형의 주기적 조정을 가능하게 할 수 있다.
이차 최적화에서, 제1 격자(G1)의 위상 깊이(φ1)와 제2 격자(G2)의 위상 깊이(φ2)는 회절 효율이 제2 격자(G2)의 유무에 관계없이 동일하지만 위상 깊이(φ)에 대한 회절 효율(ed)의 스윙 곡선의 피크(P)의 양 측에 있도록 선택될 수 있다. 이러한 곡선이 도 15b에 보여지고 있다. 이는 격자(G2)의 부가적인 위상 깊이가 스윙 곡선 피크의 반대 측에 있도록 최적의 위상 깊이로부터 제1 격자(G1)의 절대 위상을 디튜닝(detuning)함으로써 구현될 수 있다. 이는 결합된 격자에 대한 "무게 중심" 시프트의 영향을 최소화하며, 그에 의하여 회절 차수의 상대적 위상 시프트를 최소화한다. 이 이차 최적화의 불리한 면은 이것이 단일 파장에 대해서만 최적화될 수 있으며 이는 그의 유용성을 제한한다는 것이다.
하나의 격자 (예를 들어, 제2 격자(G2))의 위치를 다른 격자 (예를 들어, 제1 격자(G1))에 대해 시프트시켜 조명의 조정 가능한 비대칭을 생성하는 대신에, 2개의 격자를 조명 빔에 대하여 함께 시프트시킴으로써 조명의 비대칭성의 조정이 또한 얻어질 수 있다. 실시예에 따르면, 제1 위상 깊이(φ1) 및 제1 피처 폭 또는 선폭(w1)을 갖는 제1 격자(G1)는 설명된 바와 같이 회절 차수 (예를 들어, 축외 조명 빔)를 생성한다. 제2 위상 깊이(φ2) 및 제2 피처 폭 또는 선폭(w2)을 갖는 제2 격자(G2)는 제1 격자(G1)의 최상부 상에 그리고 그에 아주 근접하게 배치되어 있다. 제2 격자(G2)는 제1 격자(G1)의 최상부 상에 직접 프린트될 수 있다. 대안적으로, 2개의 격자(G1 및 G2)는 2개의 별도의 광학 요소 (예를 들어, 얇은 글라스)에 형성될 수 있다. 이러한 2개의 광학 요소는 그후 제2 격자가 제1 격자의 최상부에 그리고 제1 격자에 매우 근접하게 놓여 있도록 함께 접합된다.
양 경우에, 2개의 격자는 입사 조명 빔에 수직이고 서로에 대해 제자리에 고정되는 2개의 평행한 평면에 위치된다 (즉, 상대 이동은 허용되지 않는다). 제2 격자(G2)의 주기는 제1 격자(G1)의 주기에 가깝도록, 그러나 이와 약간 상이하게 선택된다. 이러한 방식으로, 2개의 격자 사이에 상이한 측방향 및/또는 각도 오프셋을 도입함으로써 상이한 모아레 패턴이 생성될 수 있다. 측방향 및 각도 오프셋 모두 격자 평면에 도입되며, 2개의 격자 구조체(예를 들어, 격자 라인) 세트 사이에서 평가된다. 측방향 오프셋은 2개의 격자 구조체 세트 사이의 간격이 변화되는 반면에 2개의 격자 구조체 세트가 서로 평행하게 유지된다는 의미한다. 대조적으로, 각도 오프셋은 2개의 격자 구조체 세트 사이에 각도가 형성되도록 하나의 격자가 다른 격자에 대해 회전된다는 것을 의미한다.
입사 조명 빔의 매개변수, 예를 들어 조명 빔의 크기에 따라, 2개의 격자 사이에 적절한 양의 오프셋을 도입함으로써 적절한 모아레(Moire) 패턴이 선택될 수 있다. 2개의 격자가 입사 조명 빔에 대해 함께 이동될 때, 조명 빔은 모아레 패턴의 상이한 부분을 보게 되며, 결과적으로 상이한 양의 조명 비대칭성이 생성된다. 격자 구조체들 및 그들의 상대적 오프셋이 미리 결정되어 있기 때문에 2개의 격자에 의해 형성된 모아레 패턴은 비대칭성 맵을 생성할 수 있으며, 이 비대칭성 맵으로 조명의 비대칭성의 자동 조정이 이루어질 수 있다. 조명 빔이 상당한 비대칭성 변화를 경험하는 비대칭성 맵의 방지되어야 한다는 점이 주목된다.
추가 실시예에서, 비대칭성 조정 배열체는 (예를 들어, 방향마다) 조명 빔 쌍의 각각의 하나의 빔의 경로에 (예를 들어, 퓨필에) 가변 감쇠기를 위치함으로써 구현될 수 있다.
증가된 기능 하드웨어 실시예
도 16은 도 2에 의해 도시된 계측 디바이스(300)에 비해 다수의 부가적인 특징을 갖는, 본 명세서에서 설명된 계측 디바이스(1600)의 추가 실시예를 포함하고 있다. 부가적인 컴포넌트들 및 특징들의 각각은 개별적으로 구현될 수 있다. 도 2의 디바이스와 공통된 컴포넌트는 다시 설명되지 않을 것이다. 계측 디바이스(1600)는 비간섭성 조명 소스(310)의 출력부에서의 조명 조정 컴포넌트(1607)를 포함하고 있다. 조명 조정 컴포넌트(1607)는 조명 파장 또는 스펙트럼의 조정을 가능하게 하며, 따라서 조명의 파장 특성 (예를 들어, 중심 파장, 대역폭 및/또는 파장 조합)은 (예를 들어, 특정 타겟에 대해 가장 견실한 공정이 되도록) 선택될 수 있다. 이와 같이, 예를 들어, 조명 조정 컴포넌트(1607)는 음향 광학 조정 가능한 필터(AOTF)를 포함할 수 있다. 모든 파장은 하나의 카메라(1665) 또는 다중 파장 분해 카메라 (보이지 않음)에 투영될 수 있다. 조정 가능한 파워 컴포넌트(1617)가 또한 제공되어 입력 조명의 조정을 가능하게 할 수 있다.
광학 모듈(1605) 내에는 편광 컴포넌트(1622, 1627)가 제공되어 있다. 편광 컴포넌트(1622, 1627)는, 예를 들어 입력 빔의 편광을 (예를 들어, 선형, 원형 또는 비간섭성으로) 조정하기 위한 조정 가능한 편광기(1622) 및 (예를 들어, 교차) 편광 검출기(1627)를 포함하고 있다. 조명 편광, 타겟 및 검출 편광의 조합이 검출된 마크 위치에 영향을 미칠 수 있다는 점이 알려져 있다. 따라서, 이 컴포넌트(1622, 1627)는 이 편광 매개변수의 변화를 가능하게 하여 공정 견고성을 개선한다.
퓨필 조명 모드 컴포넌트(1632)는 측정을 위해 조명 퓨필을 규정하고 구성한다. 이는 축외 조명 빔들 중 임의의 것을 키거나 끄는 능력을 포함할 수 있다. 이러한 기능에 대한 하나의 적용은 제목 "다른 계측 적용-세기 기반"이 붙여진 부분에서 이미 설명되었다. 부가적으로, 큰 피치를 갖는 X 방향 타겟에 대해, 하나의 각도에서 조명하는 것이 바람직할 수 있으며 따라서 결과적인 간섭 패턴은, 예를 들어 1차 및 3차 차수의 간섭만을 포함하고 있다. 이는 간섭 패턴을 더 쉽게 분석할 수 있게 하며 수차를 줄일 수 있게 한다. 대안적으로, 임의의 시간에 스위칭되는 공액 조명 쌍만을 갖는 것이 또한 유익할 수 있다. 퓨필 조명 모드 컴포넌트(1632)는 또한 조명 퓨필에서 원하지 않는 산란 차수를 차단하도록 구성될 수 있다. 동등한 기능이 또한, 예를 들어 퓨필 검출 모드 컴포넌트(1652)를 사용하여 (대안적으로 또는 조합하여) 검출 퓨필에 제공될 수 있다.
대안적으로 또는 부가적으로, 퓨필 조명 모드 컴포넌트(1632)는 비공액 축외 조명 빔들 사이의 간섭성을 변화시키도록 구성될 수 있다. 예를 들어, X-방향 사분면의 측정을 위하여, 대응하는 X 회절 차수만이 요구된다. Y-방향 사분면에 대해서도 마찬가지이다. X 및 Y 회절 차수도 간섭하면 이미지 처리가 더욱 복잡해진다. 이러한 간섭은, 예를 들어; 1) Y-회절 차수에 대응하는 축외 조명 빔에 대하여 X-회절 차수에 대응하는 축외 조명 빔에 상이한 파면을 부과함으로써; 또는 2) Y-회절 차수에 대응하는 축외 조명 빔에 대하여 X-회절 차수에 대응하는 축외 조명 빔들 사이의 간섭 길이보다 긴 경로 길이 지연을 제공함으로써 방지될 수 있다.
기판 조명 모드 컴포넌트는 축외 조명 생성기(1630)의 일부로서 또는 별도로 구현될 수 있다. 기판 조명 모드 컴포넌트는 기판에서의 조명 모드를 규정하고 구성한다. 특히, 이는 기판 상에 조정 가능한 조명 스폿 크기를 제공한다. 이러한 조정 가능한 조명 스폿 크기의 한 적용은 퓨필 계측 모드를 더 잘 구현하는 것이며, 이는 이러한 모드가 (중첩되는 퓨필 좌표에서 원치 않는 산란을 방지하기 위해) 조명 스폿이 타겟을 언더필하는 것으로부터 이익을 얻을 수 있기 때문이다. 이와 같이, 계측 디바이스(1600)는 또한 대응하는 퓨필 카메라(1647)와 함께 퓨필 이미징 분기부(1642)를 포함하고 있다.
실시예에서, 조명 모드는, 예를 들어, 웨이퍼 상의 X-격자 세그먼트는 예를 들어 퓨필의 가장 좌측 및 가장 우측의 빔에 의해서만 조명되고 웨이퍼 상의 Y-격자 세그먼트는 예를 들어 퓨필 내의 최상부 및 최하부 조명 빔에 의해서만 조명되도록 생성될 수 있다. 이러한 배열체는 샘플 가열/손상 면에서 이점을 가질 수 있다.
계측 디바이스의 교정은 컴퓨터 생성 홀로그램(GCH) 또는 패턴과 같은 기준 타겟(1657)을 이미징함으로써 수행될 수 있다. 이 타겟은 관련 제르니케(Zernikes)를 해결하고 충분한 정보를 카메라(1665) 상으로 투영하도록 신중하게 디자인되어야 한다. 대응하는 간섭 패턴이 측정되며, 따라서 측정된 패턴과 예상 패턴 간의 비교를 기반으로 광학계의 수차 프로파일이 도출된다. 수차에 대응하는 관련 퓨필 좌표를 해결하고 이를 카메라(1665)에 매핑시키는 기준 타겟(1657) 디자인이 고안되어야 한다. 대응하는 간섭 패턴은 이미지 분석 프로토콜을 거쳐 이미지로부터 대응 수차 맵을 획득할 것이다. 다중 파장이 이용 가능하다면 이러한 방법이 더 잘 작동할 것이라는 점이 예상된다. 맞춤 타겟(custom target)에 대한 대안적인 제안은 특정 제르니케(Zernikes)에 매핑되는 특정 타겟 (예를 들어, 격자)을 사용하는 것을 포함할 것이다. TIS 플레이트 상에 이러한 타겟을 제공함으로써, 스테이지 정렬이 수행될 때마다 모든 센서 수차를 교정하는 것이 가능하다. 이 수차 맵을 상이한 파장에 대한 정렬된 위치의 차이와 결합하면, 타겟 결함을 보정하기에 충분한 제어 매개변수가 있어야 한다.
계측 디바이스는 또한 비교적 짧은 기간 (예를 들어, 웨이퍼 스테이지 기준을 측정하는 것과 웨이퍼의 최종 타겟을 측정하는 것 사이의 시간보다 짧다)에 (예를 들어, 카메라 센서의) 드리프트의 교정을 가능하게 하는 내부 기준 구조체를 (즉, 계측 디바이스 내부에) 포함할 수 있다. (광학 수차 없이) 카메라 드리프트만을 측정하기 위하여, 이러한 내부 기준 구조체는 방사선이 기준 구조체에서 카메라로 가능한 한 직접적으로 이동하도록 할 수 있다. 웨이퍼 스테이지 기준/메트로 프레임에 대한 내부 기준 구조체 위치는 정확하게 알려져야 하고 신뢰할 수 있어야 한다 (예를 들어, 드리프트되지 않아야 한다). 예를 들어, 카메라를 포함하는 내부 기준 구조체는 임의의 열 발산 및 진동 요소로부터 열적으로 그리고 진동적으로 격리될 수 있다.
도 17은 이러한 교정 방법의 예를 설명하는 흐름도이다. 먼저 교정 단계 1700을 고려하면; 단계 1710에서, 기준 타겟 (예를 들어, CGH)이 계측 디바이스로 측정된다. 단계 1720에서 미가공 이미지가 처리되며, 단계 1730에서 투영 광학계의 수차 핑거프린트가 결정된다. 측정 단계(1740)는 타겟을 측정하는 것(1750)으로 시작한다. 단계 1760에서, 단계 1730에서 결정된 핑거프린트가 수차에 대해 단계 1750에서 획득된 이미지를 보정하기 위해 사용된다. 단계 1770에서, 서로 다른 파장들을 위한 정렬된 위치들이 비교되어 국부적인 처리 아티팩트를 보정한다. 출력부는 보정된 정렬된 위치(1780)이다.
앞서 언급된 바와 같이, 계측 센서(1600)의 각 개별적인 특징은 개별적으로 구현될 수 있는 개별적인 실시예를 포함하고 있다. 이와 같이, 제안된 계측 디바이스는 다음 특징 중 임의의 하나 이상의 특징을 갖는, 청구항 제1항의 계측 디바이스 및/또는 도 2의 계측 디바이스(300)를 포함할 수 있다:
다중 파장 기능;
조정 가능한 파장/스펙트럼 기능;
수차 교정;
타겟 보정 이내;
퓨필 계측 및/또는 조정 가능한 스폿 크기;
조정 가능한 편광;
비공액 조명 스폿들 간의 간섭성 최적화 및 조정;
조명 모드 선택;
조명 및/또는 검출 퓨필 내의 조정 가능한 필터;
조정 가능한 파워 특성;
편광 분해 이미징;
다중 타겟 이미징;
가변 관심 대상 영역 선택;
위상 기반 계측;
세기 기반 계측;
정렬 계측;
오버레이 및/또는 초점 계측;
펌프-프로브 조명;
비대칭성 조정을 위한 이중 격자 축외 조명 생성기;
동시 다중 1차 차수 검출; 및
동시 다중 1차 차수 검출 및 0차 차수 검출
본 명세서에서 설명된 계측 디바이스와 관련하여 사용된 용어 "방사선" 및 "빔"은 1,000 내지 3,000㎚ 파장과 같은 적외선 파장을 포함하는, 임의의 파장 범위 내의 모든 유형의 전자기 방사선을 포함하고 있다.
위의 설명은 리소그래피 장치/스캐너에 대한 보정을 설명하고 있지만, 결정된 보정은 또한 임의의 공정을 위하여 그리고 층 내에 형성된 구조체의 위치 및/또는 치수에 영향을 주는, IC 제조 공정 내의 임의의 집적 회로(IC) 제조 장치, 예를 들어 에칭 장치에 의하여 사용될 수 있다.
본 명세서에서 사용되는 용어 "방사선" 및 "빔"은 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라 (예를 들어, 약 365, 355 248, 193, 157 또는 126㎚, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 약 5 내지 20㎚의 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 유형의 전자기 방사선을 포함하고 있다.
문맥이 허용하는 경우, 용어 "렌즈"는 굴절, 반사, 자기, 전자기 및 정전기 광학 컴포넌트를 포함하는 다양한 유형의 광학 컴포넌트 중 임의의 하나 또는 이들의 조합을 지칭할 수 있다.
특정 실시예의 앞선 설명은, 다른 사람들이 본 기술 분야의 지식을 적용함으로써 과도한 실험없이 본 발명의 전반적인 개념에서 벗어나지 않고 특정 실시예와 같은 다양한 적용에 대해 쉽게 수정 및/또는 조정할 수 있도록 본 발명의 전반적인 특성을 완전히 드러낼 것이다. 따라서, 이러한 조정 및 수정은 본 명세서에 제시된 교시 및 지침을 기반으로, 개시된 실시예의 등가물의 의미 및 범위 내에 있도록 의도된다. 본 명세서 내의 어구 또는 전문 용어는 예시에 의한 설명의 목적이고 제한의 목적이 아니며 따라서 본 명세서의 전문 용어 또는 어구는 교시 및 지침의 관점에서 당업자에 의해 해석되어야 한다는 이해되어야 한다.
본 발명의 폭과 범위는 위에서 설명된 예시적인 실시예들 중 임의의 것에 의해 제한되어서는 안되며, 단지 다음의 청구범위 및 그 균등물에 따라서 규정되어야 한다.

Claims (62)

  1. 복수의 조명 빔을 포함하는 측정 조명을 생성하도록 구성된 계측 디바이스에 있어서, 상기 조명 빔들의 각각은 공간적으로 비간섭성이거나 의사-공간적 비간섭성이며 계측 디바이스의 조명 퓨필에 다수의 퓨필 포인트를 포함하고, 상기 복수의 조명 빔의 각 하나의 조명 빔 내의 각 퓨필 포인트는 상기 복수의 조명 빔의 나머지 조명 빔들 중 적어도 하나 내의 대응하는 퓨필 포인트를 가지며, 이에 의하여 다수의 대응하는 퓨필 포인트 세트를 규정하고, 대응하는 퓨필 포인트의 각 세트의 퓨필 포인트는 서로에 대해 공간적으로 간섭성인 계측 디바이스.
  2. 제1항에 있어서, 각 퓨필 포인트는 동일한 조명 빔 내의 다른 모든 퓨필 포인트에 대하여 실질적으로 공간적으로 비간섭성인 계측 디바이스.
  3. 제1항 또는 제2항에 있어서, 적어도 고려된 측정 방향에 대응하는 조명 빔에 대하여, 각 퓨필 포인트 세트는 상기 조명 퓨필 내의 다른 모든 퓨필 포인트 세트의 기하학적 평행이동(geometric translation)인 계측 디바이스.
  4. 제1항 또는 제2항에 있어서, 비간섭성 방사선의 단일 빔으로부터 측정 조명의 복수의 조명 빔을 생성하도록 축외 조명 생성기를 포함하는 계측 디바이스.
  5. 제4항에 있어서, 상기 축외 조명 생성기는 각 측정 방향에 대한 적어도 하나의 위상 격자 또는 2D 위상 격자를 포함하는 계측 디바이스.
  6. 제5항에 있어서, 상기 축외 조명 생성기는, 각 조명 빔 내의 상이한 파장들이 공유 입사 조명 각도를 갖도록 배치된, 각 측정 방향에 대한 적어도 한 쌍의 위상 격자 또는 2D 위상 격자, 적어도 한 쌍의 렌즈 및 상기 적어도 한 쌍의 렌즈의 하나의 렌즈에 의하여 규정된 푸리에 평면 내의 적어도 한 쌍의 광학 웨지를 포함하는 계측 디바이스.
  7. 제5항에 있어서, 상기 축외 조명 생성기는, 제1 위상 프로파일을 갖는 제1 위상 격자 및 제2 위상 프로파일을 갖는 제2 위상 격자를 포함하는 적어도 한 쌍의 위상 격자를 포함하며, 위상 격자는 조합된 위상 프로파일이 조정 가능한 비대칭성을 포함하도록 상기 제2 위상 격자가 상기 제1 위상 격자에 대해 이동될 수 있게 배치된 계측 디바이스.
  8. 제4항에 있어서, 상기 축외 조명 생성기는 비간섭성 방사선의 상기 단일 빔으로부터 4개의 동일한 조명 빔을 생성하도록 그리고 각 조명 빔 내의 상이한 파장들이 공유 입사 조명 각도를 갖도록 배치된, 복수의 빔 스플리터 및 리플렉터 컴포넌트를 포함하는 계측 디바이스.
  9. 제1항 또는 제2항에 있어서, 각 조명 빔은 상기 조명 퓨필 내에 위치되며, 따라서 주기적 구조체에 의한 상기 측정 조명의 산란에 뒤이어, 대응하는 더 높은 회절 차수가 각 조명 빔에 대하여 상기 계측 디바이스의 검출 퓨필에서 캡처되는 계측 디바이스.
  10. 제9항에 있어서, 상기 복수의 조명 빔은 고려된 측정 방향마다 한 쌍의 조명 빔을 포함하며, 캡처된 상기 대응하는 더 높은 회절 차수는 각 방향에 대해 상보적인 더 높은 회절 차수를 포함하는 계측 디바이스.
  11. 제10항에 있어서, 대응하는 퓨필 포인트의 각 세트의 퓨필 포인트들은 상기 복수의 조명 빔의 모두에 대해 서로에 관하여 공간적으로 간섭성인 계측 디바이스.
  12. 제10항에 있어서, 대응하는 퓨필 포인트의 각 세트의 퓨필 포인트들은 상기 고려된 측정 방향들 중 하나에 대응하는 조명 빔의 각 쌍에 대해서만 서로에 대해 공간적으로 간섭성인 계측 디바이스.
  13. 제9항에 있어서, 상기 계측 디바이스는 0차 산란 방사선이 검출되지 않도록 암시야 구성에서 작동 가능한 계측 디바이스.
  14. 제9항에 있어서, 조명 퓨필 및/또는 검출 퓨필 내의 조정 가능한 필터를 포함하며, 상기 조정 가능한 필터는 색상, 편광, 공간 분포 및 각도 분포 중 하나 이상을 조정하기 위한 것인 계측 디바이스.
  15. 제9항에 있어서, 상기 더 높은 회절 차수의 간섭으로부터 생긴 간섭 패턴을 이미지화하도록 작동 가능한 검출기를 포함하는 계측 디바이스.
  16. 제15항에 있어서, 상기 계측 디바이스는 상기 간섭 패턴의 위치로부터 위치 정보를 결정하도록 작동 가능한 계측 디바이스.
  17. 제16항에 있어서, 상기 계측 디바이스는 상기 간섭 패턴의 상기 위치로부터 고정된 기준에 대하여 기판 상의 주기적 구조체의 위치를 측정하기 위한 정렬 센서로서 작동 가능한 계측 디바이스.
  18. 제16항에 있어서, 상이한 주기적 구조체들에 각각 대응하는 2개의 간섭 패턴의 상대적 위치로부터 오버레이를 측정하도록 작동 가능한 오버레이 계측 디바이스로서 작동 가능한 계측 디바이스.
  19. 제15항에 있어서, 상기 측정 조명은 다중 파장 또는 파장 대역을 포함하는 계측 디바이스.
  20. 제19항에 있어서, 상기 계측 디바이스는 상기 다중 파장 또는 파장 대역의 각각에 대응하는 각 간섭 패턴의 별도의 이미지들을 획득하도록 작동 가능하며, 상기 별도의 이미지들은 상기 검출기의 동일한 영역에서 연속적으로 획득되고; 또는 동시에, 각 이미지는 상기 검출기의 상이한 영역 또는 상이한 검출기에서 획득되는 계측 디바이스.
  21. 제19항에 있어서, 상기 검출기의 동일한 영역에서 상기 다중 파장 또는 파장 대역의 각각에 대응하는 간섭 패턴들을 동시에 이미지화하도록 작동 가능하며, 각 간섭 패턴의 상이한 배향 각도를 기반으로 상기 간섭 패턴들을 분리함으로써 이미지를 처리하도록 더 작동 가능한 계측 디바이스.
  22. 제15항에 있어서, 각 주기적 구조체에 대하여, 상기 간섭 패턴의 하나 이상의 최적화된 관심 대상 영역을 결정하도록 작동 가능한 계측 디바이스.
  23. 제22항에 있어서, 상기 하나 이상의 최적화된 관심 대상 영역은 상기 간섭 패턴의 이미지의 하나 이상의 특성 및/또는 상기 최적화된 관심 대상 영역의 함수로서의 측정된 또는 모델링된 기판에 대한 리소그래피 성능 매개변수 값을 기반으로 결정되는 계측 디바이스.
  24. 제22항에 있어서, 상기 하나 이상의 최적화된 관심 대상 영역은 복수의 관심 대상 영역을 포함하며, 각 관심 대상 영역은 상기 간섭 패턴의 이미지의 하나 이상의 특성 및/또는 상기 최적화된 관심 대상 영역의 함수로서의 측정된 또는 모델링된 기판에 대한 리소그래피 성능 매개변수 값에 기초한 대응하는 가중치(weighting)를 포함하는 계측 디바이스.
  25. 제15항에 있어서, 상기 측정 조명은 알려진 편광 상태를 포함하며, 상기 계측 디바이스는 편광 분리 요소를 포함하고, 상기 계측 디바이스는 편광 분해 간섭 패턴을 별도로 이미지화하도록 배치된 계측 디바이스.
  26. 제15항에 있어서, 측정 조명이 복수의 조명 빔의 서브세트만을 포함하는 상이한 조명 모드들에서 작동 가능한, 계측 디바이스.
  27. 제26항에 있어서, 상기 조명 모드는 하나의 빔 또는 단일 빔 모드들을 포함하며, 상기 서브세트는 상기 조명 빔들 중 단일 빔만을 포함하는 계측 디바이스.
  28. 제27항에 있어서, 상기 단일 빔 모드들 중 하나 이상을 사용하여 검출된 산란 방사선의 검출된 세기를 기반으로 상기 주기적 구조체 내의 비대칭성을 결정하도록 작동 가능한 계측 디바이스.
  29. 제28항에 있어서, 상기 검출된 세기는 상기 단일 빔 모드들 중 2개의 빔 모드를 사용하여 획득된 서로 반대의 더 높은 회절 차수들 간의 검출된 세기 차이를 포함하며, 각 단일 빔 모드는 상기 더 높은 회절 차수들 중 하나에 대응하는 계측 디바이스.
  30. 제15항에 있어서, 상기 간섭 패턴 내에서의 간섭 프린지 콘트라스트의 측정을 기반으로 상기 주기적 구조체의 비대칭성을 결정하도록 작동 가능한 계측 디바이스.
  31. 제15항에 있어서, 상기 간섭 패턴 내에서의 간섭 프린지의 위치의 측정을 기반으로 상기 주기적 구조체의 비대칭성을 결정하도록 작동 가능한 계측 디바이스.
  32. 제1항 또는 제2항에 있어서, 공간적 비간섭성 방사선을 모방하는 방사선을 생성하는 의사-공간적 비간섭성 방사선 소스를 포함하는 계측 디바이스.
  33. 제32항에 있어서, 상기 의사-공간적 비간섭성 방사선 소스는 다중 모드 방사선을 생성하기 위한 레이저 소스 및 다중 모드 생성기를 포함하며, 상기 의사-공간적 비간섭성 방사선 소스는 공간적 비간섭성 방사선을 모방하기 위하여 다중 모드 방사선의 다양한 실현들을 앙상블 평균(ensemble average)하도록 작동 가능한 계측 디바이스.
  34. 제33항에 있어서, 상기 다중 모드 생성기는 스펙클 패턴을 생성하기 위해 회전 디퓨저를 포함하는 계측 디바이스.
  35. 제33항에 있어서, 상기 다중 모드 생성기는 상이한 각도들에 걸쳐 레이저로부터의 단일 모드 레이저 빔을 스캐닝하기 위한 고니오미터(goniometer)를 포함하는 계측 디바이스.
  36. 제1항 또는 제2항에 있어서,
    상기 측정 조명의 하나 이상의 펄스 이전에 하나 이상의 펌프 펄스를 기판에 전달하도록 - 상기 하나 이상의 펌프 펄스는 음향 파를 여기하도록 작동 가능하여 상기 음향 파가 측정 중인 주기적 구조체로부터 반사되어 표면 변형 및/또는 굴절률 변화를 초래하게 됨 -; 및
    상기 측정 조명으로 상기 표면 변형을 측정하도록
    펌프-프로브 조명 모드에서 작동 가능한 계측 디바이스.
  37. 제1항 또는 제2항에 따른 계측 디바이스를 포함하는 리소그래피 장치.
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
KR1020217007815A 2018-09-19 2019-08-27 위치 계측을 위한 계측 센서 KR102571918B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP18195488 2018-09-19
EP18195488.4 2018-09-19
EP19150245 2019-01-03
EP19150245.9 2019-01-03
PCT/EP2019/072762 WO2020057900A1 (en) 2018-09-19 2019-08-27 Metrology sensor for position metrology

Publications (2)

Publication Number Publication Date
KR20210043661A KR20210043661A (ko) 2021-04-21
KR102571918B1 true KR102571918B1 (ko) 2023-08-28

Family

ID=67734679

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217007815A KR102571918B1 (ko) 2018-09-19 2019-08-27 위치 계측을 위한 계측 센서

Country Status (9)

Country Link
US (1) US11360399B2 (ko)
EP (1) EP3853666B1 (ko)
JP (1) JP7169435B2 (ko)
KR (1) KR102571918B1 (ko)
CN (1) CN112740109B (ko)
IL (1) IL281502B2 (ko)
NL (1) NL2023709A (ko)
TW (1) TWI717019B (ko)
WO (1) WO2020057900A1 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7261903B2 (ja) 2019-05-06 2023-04-20 エーエスエムエル ネザーランズ ビー.ブイ. 暗視野顕微鏡
KR20220079662A (ko) 2019-11-11 2022-06-13 에이에스엠엘 네델란즈 비.브이. 리소그래피 시스템을 위한 교정 방법
WO2021110391A1 (en) 2019-12-05 2021-06-10 Asml Netherlands B.V. Alignment method
WO2021249711A1 (en) * 2020-06-10 2021-12-16 Asml Netherlands B.V. Metrology method, metrology apparatus and lithographic apparatus
CN115803685A (zh) 2020-06-24 2023-03-14 Asml荷兰有限公司 量测方法及相关联的量测和光刻设备
JP2023549656A (ja) 2020-11-17 2023-11-29 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジシステム及びリソグラフィシステム
WO2022122565A1 (en) 2020-12-10 2022-06-16 Asml Holding N.V. Intensity order difference based metrology system, lithographic apparatus, and methods thereof
JP2023553244A (ja) * 2020-12-10 2023-12-21 サイマー リミテッド ライアビリティ カンパニー 波長間隔が広い多焦点イメージング
EP4016186A1 (en) 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
US11300524B1 (en) * 2021-01-06 2022-04-12 Kla Corporation Pupil-plane beam scanning for metrology
EP4030237A1 (en) 2021-01-19 2022-07-20 ASML Netherlands B.V. Metrology method and system and lithographic system
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths
EP4187321A1 (en) * 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
KR20240016967A (ko) * 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 연관된 메트롤로지 툴
EP4124911A1 (en) * 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
KR20240036031A (ko) * 2021-07-23 2024-03-19 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 계측 디바이스
WO2023012338A1 (en) 2021-08-06 2023-02-09 Asml Netherlands B.V. Metrology target, patterning device and metrology method
WO2023030832A1 (en) * 2021-08-30 2023-03-09 Asml Netherlands B.V. Metrology system, lithographic apparatus, and method
EP4155822A1 (en) 2021-09-28 2023-03-29 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4167031A1 (en) 2021-10-18 2023-04-19 ASML Netherlands B.V. Method of determining a measurement recipe in a metrology method
WO2023126174A1 (en) 2021-12-29 2023-07-06 Asml Netherlands B.V. Enhanced alignment for a photolithographic apparatus
WO2023147951A1 (en) * 2022-02-07 2023-08-10 Asml Netherlands B.V. Inspection apparatus, motorized apertures, and method background
US20230259040A1 (en) * 2022-02-14 2023-08-17 Kla Corporation Imaging overlay with mutually coherent oblique illumination
JP2023142214A (ja) * 2022-03-24 2023-10-05 株式会社Screenホールディングス 光学装置、露光装置および露光方法
WO2024115041A1 (en) 2022-11-30 2024-06-06 Asml Netherlands B.V. Apparatus for and method of combined display of optical measurement information
CN117804329B (zh) * 2024-03-01 2024-05-31 鹏城实验室 相位干涉显微成像系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140146322A1 (en) 2012-11-27 2014-05-29 Kla-Tencor Corporation Apodization for Pupil Imaging Scatterometry
US20180031424A1 (en) 2016-07-28 2018-02-01 Kla-Tencor Corporation Simultaneous capturing of overlay signals from multiple targets

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0547628A (ja) 1991-08-09 1993-02-26 Canon Inc 像投影方法及びそれを用いた半導体デバイスの製造方法
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
CN101165597B (zh) * 2007-10-11 2010-04-14 上海微电子装备有限公司 对准系统及使用该系统的光刻装置
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036476A1 (nl) 2008-02-01 2009-08-04 Asml Netherlands Bv Alignment mark and a method of aligning a substrate comprising such an alignment mark.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
DE102011082156A1 (de) * 2010-12-16 2012-06-21 Dr. Johannes Heidenhain Gmbh Optische Positionsmesseinrichtung
IL217843A (en) 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
CN104350424B (zh) 2012-05-29 2018-01-09 Asml荷兰有限公司 量测方法和设备、衬底、光刻系统以及器件制造方法
NL2011181A (en) 2012-08-16 2014-02-18 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
WO2014068116A1 (en) 2012-11-05 2014-05-08 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
US9733572B2 (en) 2013-03-20 2017-08-15 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
CN105612611B (zh) * 2013-08-09 2019-03-12 科磊股份有限公司 用于提高检测灵敏度的多点照明
WO2015051970A1 (en) 2013-10-09 2015-04-16 Asml Netherlands B.V. Polarization independent interferometer
WO2016005167A1 (en) * 2014-07-09 2016-01-14 Asml Netherlands B.V. Inspection apparatus, inspection method and device manufacturing method
CN107111245B (zh) * 2014-12-19 2019-10-18 Asml荷兰有限公司 测量非对称性的方法、检查设备、光刻系统及器件制造方法
JP2018517933A (ja) 2015-06-05 2018-07-05 エーエスエムエル ネザーランズ ビー.ブイ. アライメントシステム
KR102047429B1 (ko) * 2015-12-07 2019-11-21 에이에스엠엘 홀딩 엔.브이. 대물렌즈 시스템
CN108604065B (zh) 2015-12-23 2021-10-26 Asml荷兰有限公司 量测方法、目标和衬底
CN106872038B (zh) * 2017-03-10 2019-01-22 中国科学院西安光学精密机械研究所 一种高通量高稳定相干色散光谱成像装置
CN110709778B (zh) * 2017-06-02 2021-12-21 Asml荷兰有限公司 量测设备
US11300892B2 (en) * 2018-07-04 2022-04-12 Asml Netherlands B.V. Sensor apparatus and method for lithographic measurements
JP7261903B2 (ja) * 2019-05-06 2023-04-20 エーエスエムエル ネザーランズ ビー.ブイ. 暗視野顕微鏡

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140146322A1 (en) 2012-11-27 2014-05-29 Kla-Tencor Corporation Apodization for Pupil Imaging Scatterometry
US20180031424A1 (en) 2016-07-28 2018-02-01 Kla-Tencor Corporation Simultaneous capturing of overlay signals from multiple targets

Also Published As

Publication number Publication date
IL281502B2 (en) 2023-11-01
JP7169435B2 (ja) 2022-11-10
IL281502B1 (en) 2023-07-01
US20220035257A1 (en) 2022-02-03
US11360399B2 (en) 2022-06-14
JP2022500685A (ja) 2022-01-04
CN112740109B (zh) 2024-04-30
KR20210043661A (ko) 2021-04-21
NL2023709A (en) 2020-04-30
EP3853666A1 (en) 2021-07-28
IL281502A (en) 2021-04-29
TW202028874A (zh) 2020-08-01
CN112740109A (zh) 2021-04-30
EP3853666B1 (en) 2022-08-10
WO2020057900A1 (en) 2020-03-26
TWI717019B (zh) 2021-01-21

Similar Documents

Publication Publication Date Title
KR102571918B1 (ko) 위치 계측을 위한 계측 센서
TWI572992B (zh) 檢查裝置及方法、製造器件之方法
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
KR102221714B1 (ko) 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 메트롤로지 장치, 리소그래피 시스템, 및 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 방법
KR102200257B1 (ko) 검사 시스템에서의 포커싱을 위한 디바이스 및 방법
TWI544287B (zh) 檢測裝置及方法、微影裝置、微影處理製造單元及元件製造方法
JP5091597B2 (ja) 検査装置、像投影装置、および基板特性測定方法
KR102160223B1 (ko) 검사 시스템에서의 포커싱을 위한 디바이스 및 방법
TWI736089B (zh) 位置度量衡裝置及相關聯光學元件
TWI691800B (zh) 量測系統、微影系統及量測目標的方法
TWI631321B (zh) 用於檢測裝置之照明源、檢測裝置及檢測方法
KR102388682B1 (ko) 계측 방법 및 디바이스
TWI662375B (zh) 可撓式照明器
TW201732265A (zh) 對焦監測配置及包括此一配置之檢測設備
JP2022544187A (ja) 計測デバイスおよびそのための位相変調装置
EP3715951A1 (en) Position metrology apparatus and associated optical elements

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant