JP7169435B2 - 位置計測用メトロロジセンサ - Google Patents

位置計測用メトロロジセンサ Download PDF

Info

Publication number
JP7169435B2
JP7169435B2 JP2021512561A JP2021512561A JP7169435B2 JP 7169435 B2 JP7169435 B2 JP 7169435B2 JP 2021512561 A JP2021512561 A JP 2021512561A JP 2021512561 A JP2021512561 A JP 2021512561A JP 7169435 B2 JP7169435 B2 JP 7169435B2
Authority
JP
Japan
Prior art keywords
illumination
metrology device
pupil
metrology
operable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021512561A
Other languages
English (en)
Other versions
JP2022500685A (ja
Inventor
グーアデン,セバスティアヌス,アドリアヌス
フイスマン,サイモン,レイナルド
マタイセン,サイモン,ガイスベルト,ヨセフス
ペレマンズ,ヘンリカス,ペトラス,マリア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022500685A publication Critical patent/JP2022500685A/ja
Application granted granted Critical
Publication of JP7169435B2 publication Critical patent/JP7169435B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/0016Technical microscopes, e.g. for inspection or measuring in industrial production processes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/48Laser speckle optics
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70583Speckle reduction, e.g. coherence control or amplitude/wavefront splitting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Vehicle Body Suspensions (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Description

関連出願の相互参照
[0001] 本願は2018年9月19日に提出された欧州出願第18195488.4号及び2019年1月3日に提出された欧州出願第19150245.9号の優先権を主張するものである。両欧州出願は参照によりその全体が本明細書に組み込まれる。
[0002] 本発明は、リソグラフィプロセスにおいて位置情報を測定するための方法及び装置に関する。
[0003] リソグラフィ装置は、所望のパターンを基板に、通常は基板のターゲット部分に適用する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。その場合、代替的にマスク又はレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成すべき回路パターンを生成することができる。このパターンを、基板(例えばシリコンウェーハ)上のターゲット部分(例えば1つ又はいくつかのダイの一部を含む)に転写することができる。パターンの転写は通常、基板に設けた放射感応性材料(レジスト)の層へのイメージングにより行われる。一般的に、1枚の基板は、順次パターンが付与される隣接したターゲット部分のネットワークを含んでいる。既知のリソグラフィ装置は、パターン全体をターゲット部分に1回で露光することによって各ターゲット部分が照射される、いわゆるステッパと、基板を所与の方向(「スキャン」方向)と平行あるいは逆平行に同期的にスキャンしながら、パターンを所与の方向(「スキャン」方向)に放射ビームでスキャンすることにより、各ターゲット部分が照射される、いわゆるスキャナとを含む。パターンを基板にインプリントすることによっても、パターニングデバイスから基板へとパターンを転写することが可能である。
[0004] 複雑なデバイスの製造においては、一般的に、多くのリソグラフィパターニングステップが実施され、それによって基板上の連続する層に機能的フィーチャが形成される。したがって、リソグラフィ装置の性能の重要な一態様は、適用されるパターンを、前の層に(同じ装置又は異なるリソグラフィ装置によって)定められたフィーチャに対して、正確に且つ精度よく設置する能力である。この目的のために、基板には、1セット以上のアライメントマークが設けられる。各マークは、位置センサ、一般的には光学位置センサを用いて、後から位置を測定することのできる構造である。リソグラフィ装置は1つ以上のアライメントセンサを含み、そのアライメントセンサによって基板上のマークの位置を精度よく測定することができる。異なる製造業者及び同じ製造業者の異なる製品による様々なタイプのマーク及び様々なタイプのアライメントセンサが知られている。現在のリソグラフィ装置において幅広く使用されているあるタイプのセンサは、米国特許第6961116号明細書(den Boef et al)に記載の自己参照干渉計に基づくものである。概して、X位置及びY位置を得るためには、マークが別々に測定される。しかしながら、米国特許出願公開第2009/195768A号明細書(Bijnen et al)に記載された技術を用いると、X及びYの組み合わせ測定を実施することができる。そのようなセンサの変形及び応用が、米国特許出願公開第2015355554A1号明細書(Mathijssen)、国際公開第2015051970A1号(Tinnemans et al)に記載されている。これらの全ての刊行物の内容は、参照により本明細書に組み込まれる。
[0005] リソグラフィプロセスを監視するために、パターンを付与された基板のパラメータが測定される。パラメータは、例えば、パターンを付与された基板の中又は上に形成された連続する層の間のオーバーレイエラーを含み得る。この測定は、製品基板に対して及び/又は専用のメトロロジターゲットに対して実施され得る。リソグラフィプロセスにおいて形成された微細構造の測定を行うためには、走査電子顕微鏡及び種々の専用ツールの使用を含め、様々な技術が存在する。高速で非侵襲性の形態の専用インスペクションツールがスキャトロメータである。スキャトロメータでは、放射ビームが基板の表面上のターゲットに向けられ、散乱又は反射したビームの特性が測定される。2つの主要なタイプのスキャトロメータが知られている。分光スキャトロメータは、広帯域放射ビームを基板上に向けて、特定の狭い角度範囲内に散乱した放射のスペクトル(波長の関数としての強度)を測定する。角度分解スキャトロメータは、単色放射ビームを用いて、散乱線の強度を角度の関数として測定する。
[0006] 既知のスキャトロメータの例は、米国特許出願公開第2006033921A1号明細書及び米国特許出願公開第2010201963A1号明細書に記載されているタイプの角度分解スキャトロメータを含む。そのようなスキャトロメータによって用いられるターゲットは比較的大きな、例えば40μm×40μmの格子であり、測定ビームはその格子よりも小さいスポットを生成する(すなわち、格子はアンダーフィルされる)。再構築によるフィーチャ形状の測定に加え、米国特許出願公開第2006066855A1号明細書に記載されているような装置を用いて、回折ベースのオーバーレイを測定することができる。回折次数の暗視野イメージングを用いた回折ベースのオーバーレイメトロロジは、より小さなターゲットについてのオーバーレイ測定を可能にする。暗視野イメージングメトロロジの例は、国際公開第2009/078708号及び国際公開第2009/106279号において確認することができる。両文献はその全体が参照によって本明細書に組み込まれる。技術の更なる発展は、米国特許出願公開第20110027704A号明細書、米国特許出願公開第20110043791A号明細書、米国特許出願公開第2011102753A1号明細書、米国特許出願公開第20120044470A号明細書、米国特許出願公開第20120123581A号明細書、米国特許出願公開第20130258310A号明細書、米国特許出願公開第20130271740A号明細書、及び国際公開第2013178422A1号に記載されている。これらのターゲットは照明スポットよりも小さくすることができ、ウェーハ上の製品構造に囲まれてもよい。複合格子ターゲットを用いて、1つの画像内で複数の格子を測定することができる。これらの全ての出願の内容もまた、参照により本明細書に組み込まれる。
[0007] 本発明の第1の態様においては複数の照明ビームを備える測定照明を生成するように構成されたメトロロジデバイスが提供され、照明ビームの各々は空間的にインコヒーレント又は偽空間的に(pseudo-spatially)インコヒーレントであると共にメトロロジデバイスの照明瞳に複数の瞳点を備えており、複数の照明ビームの一つ一つの各瞳点は複数の照明ビームの他の照明ビームの少なくとも1つに対応する瞳点を有し、それによって対応する瞳点の複数のセットを定義し、対応する瞳点の各セットの瞳点は互いに対して空間的にコヒーレントである。
[0008] 一実施形態においては、各瞳点は、同じ照明ビームの他の全ての瞳点に対して実質的に空間的にインコヒーレントである。
[0009] 一実施形態においては、瞳点の各セットは、少なくとも検討される測定方向に対応する照明ビームについては、その照明瞳内の瞳点の他の全てのセットの幾何学的な平行運動である。
[0010] 一実施形態においては、メトロロジデバイスは更に、インコヒーレントな放射の単一のビームから測定照明の複数の照明ビームを生成するためのオフアクシス照明ジェネレータを備える。更なる一実施形態においては、オフアクシス照明ジェネレータは、各測定方向につき少なくとも1つの位相格子又は2D位相格子を備える。更なる実施形態は、各照明ビーム内の様々な波長が共通の入射照明角度を有するように配置された、各測定方向につき少なくとも1ペアの位相格子又は2D位相格子と、少なくとも1ペアのレンズと、その少なくとも1ペアのレンズの一方のレンズによって定義されるフーリエ平面内の少なくとも1ペアの光学くさびと、を備える。更なる一実施形態においては、オフアクシス照明ジェネレータは、生成された照明ビームの強度が均衡するように、生成された照明ビームのうち少なくとも1つの経路内に位置する少なくとも1つの可変アテニュエータを備える。
[0011] 一実施形態においては、オフアクシス照明ジェネレータは、インコヒーレントな放射の単一のビームから4つの同一の照明ビームを生成するように配置された複数のビームスプリッタ及びリフレクタコンポーネントを備え、それによって各照明ビーム内の様々な波長が共通の入射照明角度を有する。
[0012] 一実施形態においては、各照明ビームは照明瞳に位置しており、それによって、周期構造による測定照明の散乱の後、対応する高次回折次数が各照明ビームについてメトロロジデバイスの検出瞳でキャプチャされる。更なる一実施形態においては、複数の照明ビームは、検討される測定方向毎に1ペアの照明ビームを備え、キャプチャされる対応する高次回折次数は各方向について相補的な高次回折次数を備える。更なる一実施形態においては、対応する瞳点の各セットの瞳点は、複数の照明ビームの全てについて、互いに対して空間的にコヒーレントである。別の更なる一実施形態においては、対応する瞳点の各セットの瞳点は、検討される測定方向のうち1つに対応する照明ビームの各ペアについてのみ、互いに対して空間的にコヒーレントである。
[0013] 一実施形態においては、メトロロジデバイスは、散乱線のゼロ次が検出されないように暗視野構成で動作可能である。
[0014] 一実施形態においては、メトロロジデバイスは、メトロロジデバイスの検出瞳をイメージングするための瞳イメージングブランチを備える。更なる一実施形態においては、メトロロジは、測定照明及び/又は照明ビームのスポットサイズをチューニングするためのスポットサイズチューナを備える。
[0015] 一実施形態においては、メトロロジデバイスは照明瞳及び/又は検出瞳にチューニング可能なフィルタを備え、そのチューニング可能なフィルタは、色、偏光、空間分布及び角度分布のうち1つ以上をチューニングするためのものである。
[0016] 一実施形態においては、メトロロジデバイスは、高次回折次数の干渉の結果もたらされる干渉パターンをイメージングするように動作可能なディテクタを備える。更なる一実施形態においては、メトロロジデバイスは、その干渉パターンの位置から位置情報を決定するように動作可能である。更なる一実施形態においては、メトロロジデバイスは、その干渉パターンのその位置から固定基準に対する基板上の周期構造の位置を測定するためのアライメントセンサとして動作可能である。更なる一実施形態においては、メトロロジデバイスは、各々が異なる周期構造に対応する2つの干渉パターンの相対位置からオーバーレイを測定するように動作可能なオーバーレイメトロロジデバイスとして動作可能である。
[0017] 一実施形態においては、メトロロジデバイスは、複数の測定を得るために基板上の複数の周期構造を測定するように、及び、その複数の位置測定に基づいて後続の処理ステップにおける基板の位置決めを最適化するように動作可能である。更なる一実施形態においては、周期構造のうちいくつか又は全ては、基板上に構造を形成するためのリソグラフィプロセスの様々な層に関係する。更なる一実施形態においては、メトロロジデバイスは、基板の位置決めを最適化することが各層の決定された又は割り当てられた臨界に基づいて加重平均を決定することを備えるように動作可能である。一実施形態においては、メトロロジデバイスは、単一画像における周期構造の各々に対応する干渉パターンをキャプチャすることによって基板上の複数の周期構造を測定するように動作可能である。
[0018] 一実施形態においては、メトロロジデバイスは、複数の波長又は波長帯を備える測定照明である。更なる一実施形態においては、メトロロジデバイスは、複数の波長又は波長帯の各々に対応する各干渉パターンの別々の画像を得るように動作可能であり、その別々の画像はディテクタの同じ領域で連続的に得られるか、又は各画像がディテクタの異なる領域でもしくは異なるディテクタで同時に得られる。
[0019] 一実施形態においては、メトロロジデバイスは、複数の波長又は波長帯の各々に対応する干渉パターンをディテクタの同じ領域で同時にイメージングするように動作可能であると共に、更に、各干渉パターンの配向の異なる角度に基づいて干渉パターンを分離することによって画像を処理するように動作可能である。
[0020] 一実施形態においては、メトロロジデバイスは、各周期構造について、干渉パターンの1つ以上の最適化された関心領域を決定するように動作可能である。更なる一実施形態においては、1つ以上の最適化された関心領域は、干渉パターンの画像の1つ以上の特徴及び/又は最適化された関心領域の関数としての測定されたもしくはモデル化された性能パラメータ値に基づいて決定される。更なる一実施形態においては、1つ以上の最適化された関心領域は複数の関心領域を備え、各関心領域は、干渉パターンの画像の1つ以上の特徴及び/又は最適化された関心領域の関数としての測定されたもしくはモデル化された性能パラメータ値に基づいて対応する加重を備える。
[0021] 一実施形態においては、測定照明は既知の偏光状態を備え、メトロロジデバイスは偏光分離素子を備え、メトロロジデバイスは偏光分解干渉パターンを別々にイメージングするように配置される。
[0022] 一実施形態においては、メトロロジデバイスは様々な照明モードで動作可能であり、測定照明は複数の照明ビームのサブセットのみを備える。更なる一実施形態においては、照明モードは1つのビームモード又は単一ビームモードを含み、サブセットは照明モードのうち1つのみを備える。更なる一実施形態においては、メトロロジデバイスは、単一ビームモードのうち1つ以上を用いて検出された散乱線の検出された強度に基づいて周期構造における非対称を決定するように動作可能である。更なる一実施形態においては、検出された強度は、単一ビームモードのうちの2つを用いて得られる対向する高次回折次数間で検出された強度差を備え、各単一ビームモードは高次回折次数のうち1つに対応する。更なる一実施形態においては、決定された非対称は、位置測定を補正するために用いられる。更なる一実施形態においては、決定された非対称は、オーバーレイ又は合焦の値を決定するために用いられる。
[0023] 一実施形態においては、メトロロジデバイスは、干渉パターンにおける干渉縞コントラストの測定に基づいて周期構造における非対称を決定するように動作可能である。
[0024] 一実施形態においては、メトロロジデバイスは、干渉パターンにおける干渉縞の位置の測定に基づいて周期構造における非対称を決定するように動作可能である。
[0025] 一実施形態においては、メトロロジデバイスは、ディテクタにおけるドリフトの較正を可能にするために少なくとも1つのディテクタ基準周期構造を備える。
[0026] 一実施形態においては、メトロロジデバイスは、測定照明のためにチューニング可能な波長及び/又はスペクトル機能性を提供する照明チューニングコンポーネントを備える。
[0027] 一実施形態においては、メトロロジデバイスは、メトロロジデバイス内の光学収差の較正を可能にするために少なくとも1つの収差基準周期構造を備える。更なる一実施形態においては、メトロロジデバイスは、基準周期構造を測定することと、基準周期構造の測定からメトロロジデバイス内の光学収差を記述する収差指紋を決定することと、収差指紋を用いて後続の測定を補正することと、によって収差較正を行うように動作可能である。
[0028] 一実施形態においては、メトロロジデバイスは、メトロロジデバイスの検出経路内に、測定照明の偏光をチューニングするためのチューニング可能なポラライザ及び対応する偏光ディテクタを備える。
[0029] 一実施形態においては、メトロロジデバイスは、照明ビーム間のコヒーレンスを変えるように動作可能な瞳照明モードコンポーネントを備える。
[0030] 一実施形態においては、メトロロジデバイスは、測定放射を生成するための空間的にインコヒーレントな放射源を備える。更なる一実施形態においては、空間的にインコヒーレントな放射源は、白熱光源、発光ダイオード源、又はレーザ生成プラズマ源を備える。
[0031] 一実施形態においては、メトロロジデバイスは、空間的にインコヒーレントな放射を模倣する放射を生成する偽空間的にインコヒーレントな放射源を備える。更なる一実施形態においては、偽空間的にインコヒーレントな放射源はレーザ源とマルチモード放射を作成するためのマルチモードジェネレータとを備え、偽空間的にインコヒーレントな放射源は、空間的にインコヒーレントな放射源を模倣するべくマルチモード放射の様々な実現をアンサンブル平均するように動作可能である。更なる一実施形態においては、マルチモードジェネレータは、スペックルパターンを作成するための回転ディフューザを備える。別の一実施形態においては、マルチモードジェネレータは、レーザからの単一モードレーザビームを様々な角度にわたってスキャンするためのゴニオメータを備える。
[0032] 本発明の更なる態様、特徴及び利点、並びに本発明の様々な実施形態の構造及び動作を、添付図面を参照し、以下において詳細に説明する。なお、本発明は、本明細書に記載される具体的な実施形態に限定されない。そのような実施形態は、例示のみを目的として本明細書中に提示される。当業者には、本明細書に含まれる教示に基づいて、追加的な実施形態が明らかであろう。
[0033] 次に、添付の図面を参照して、本発明の実施形態を例として説明する。
リソグラフィ装置を、半導体デバイスの生産設備を形成する他の装置と共に図示する。 本発明の第1の実施形態によるメトロロジデバイスの概略図である。 (a)は入力放射の瞳像、(b)は第1の実施形態による図2のメトロロジデバイスの動作原理を示すオフアクシス照明ビームの瞳像、(c)は第2の実施形態による図2のメトロロジデバイスの動作原理を示すオフアクシス照明ビームの瞳像である。 (a)はアライメントに使用可能な例示的なターゲット、(b)単一次数の検出に対応する検出瞳の瞳像、(c)は4つの回折次数の検出に対応する検出瞳の瞳像、(d)は図4(a)のターゲットの測定に続いてイメージングされる干渉パターンの概略的な一例を示す。 アライメント測定の際にイメージングされる(a)第1の基板位置及び(b)第2の基板位置に対応する干渉パターンを概略的に示す。 図2のメトロロジシステムを通じた放射の伝搬を概略的に図示する。 (a)オフアクシス照明ジェネレータの第1の実施形態の概略図、オフアクシス照明ジェネレータの第2の実施形態の(b)概略上面図及び(c)概略側面図、並びに(d)オフアクシス照明ジェネレータの第3の実施形態の概略図である。 一実施形態による、同じカメラで同時にイメージングされた、2つの波長に対応するイメージングされた干渉パターンを概略的に示す。 オーバーレイの測定のための一実施形態による、(a)はオーバーレイに使用可能な例示的なターゲット、(b)は図9(a)のターゲットの測定の後イメージングされた干渉パターンの概略的な一例を示す。 実施形態による図2のメトロロジデバイスを用いてターゲットにおける非対称を測定する種々の方法を図示する。図10(a)及び図10(c)は第1及び第2の照明モードの瞳像をそれぞれ示す。図10(b)及び図10(d)は第1及び第2の照明モードによってそれぞれもたらされる画像キャプチャの概略図を示す。図10(e)及び図10(f)はゼロ非対称及び非ゼロ非対称のターゲットをそれぞれ測定することによってもたらされる干渉縞パターンを示す。図10(f)及び図10(g)は複数の回折次数の同時検出を図示する。 一実施形態による干渉パターンの解釈のために選択された任意の形状の関心領域を概略的に示す。 一実施形態による1回の画像キャプチャにおいて測定される4つのターゲットの干渉パターンを概略的に示す。 本発明の第2の実施形態によるメトロロジデバイスの概略図である。 (a)から(e)は、種々のポンプ・プローブ照明方法を概略的に図示する。 (a)は非対称最適化のためのデュアル格子オフアクシス照明ジェネレータに関する位相プロファイル、(b)は位相深さに対する回折効率の関連するスイング曲線を図示する。 本発明の第3の実施形態によるメトロロジデバイスの概略図である。 本発明の一実施形態によるメトロロジデバイスの光学素子における収差の効果を軽減するための較正を説明するフローチャートである。
[0034] 本発明の実施形態を詳細に説明する前に、本発明の実施形態が実施され得る例示的な環境を提示することは有益である。
[0035] 図1は、200において、リソグラフィ装置LAを、大量リソグラフィ製造プロセスを実施する産業生産設備の一部として示す。本例においては、製造プロセスは、半導体ウェーハのような基板上の半導体製品(集積回路)の製造用に適応化されている。当業者であれば、このプロセスの変形において様々なタイプの基板を処理することにより多様な製品が製造可能であることを理解するであろう。半導体製品の生産は純粋に、今日大きな商業的意義を有するものの一例として用いられる。
[0036] リソグラフィ装置(又は略して「リソツール」200)内には、202に測定ステーションMEAが示されると共に、204に露光ステーションEXPが示されている。206には制御ユニットLACUが示されている。本例において、各基板は、パターンを適用させるために、測定ステーション及び露光ステーションを訪れる。光リソグラフィ装置においては、例えば、投影システムを使用して、調整された放射及び投影システムを用いて製品パターンをパターニングデバイスMAから基板上に転写する。これは、放射感応性レジスト材料の層内にパターンの画像を形成することによって行われる。
[0037] 本明細書において使用する「投影システム」という用語は、例えば使用する露光放射、又は液浸液の使用や真空の使用などの他の要因に合わせて適宜、例えば屈折光学システム、反射光学システム、反射屈折光学システム、磁気光学システム、電磁気光学システム及び静電気光学システム、又はその任意の組み合わせを含む任意のタイプの投影システムを網羅するものとして広義に解釈されるべきである。パターニングMAデバイスは、パターニングデバイスによって伝送又は反射される放射ビームにパターンを付与するマスク又はレチクルであってもよい。周知の動作モードはステッピングモードとスキャンモードとを含む。周知のように、投影システムは、所望のパターンを基板全体にわたる多くのターゲット部分に適用するべく、基板の支持システム及び位置決めシステム並びにパターニングデバイスと様々に協働し得る。固定パターンを有するレチクルの代わりにプログラマブルパターニングデバイスが用いられてもよい。放射は、例えば、深紫外(DUV)周波帯又は極端紫外(EUV)周波帯の電磁放射線を含み得る。本開示は、他のタイプのリソグラフィプロセス、例えば電子ビームによる、例えばインプリントリソグラフィ及びダイレクトライティングリソグラフィにも適用可能である。
[0038] リソグラフィ装置制御ユニットLACUは、種々のアクチュエータ及びセンサの全ての移動及び測定を、基板W及びレチクルMAを受け入れるように及びパターニング動作を実施するように制御する。LACUは、装置の動作に関連所望の計算を実施するための信号処理能力及びデータ処理能力も備えている。実用においては、制御ユニットLACUは多くのサブユニットからなるシステムとして実現され、そのサブユニットの各々がリアルタイムのデータ取得や装置内のサブシステム又はコンポーネントの処理及び制御に対処する。
[0039] 露光ステーションEXPにおいてパターンが基板に適用される前に、基板は、種々の準備ステップが実行され得るように、測定ステーションMEAにおいて処理される。準備ステップは、レベルセンサを用いて基板の表面高さをマッピングすること、及びアライメントセンサを用いて基板上のアライメントマークの位置を測定することを含み得る。アライメントマークは、公称では通常の格子パターンで配置される。しかしながら、マーク作成の精度不良に起因して、並びに基板の処理全体を通じて発生する基板の変形に起因して、マークは理想的な格子から外れる。その結果、装置が正確な場所に非常に高い精度で製品フィーチャを印刷しようとする場合、基板の位置及び配向を測定することに加え、アライメントセンサは、実用では、基板エリア全体にわたって多くのマークの位置を詳細に測定しなければならない。装置は、制御ユニットLACUによって制御される位置決めシステムを各々が備える2つの基板テーブルを有する、所謂デュアルステージタイプのものであってもよい。様々な準備ステップを実行できるように、露光ステーションEXPで一方の基板テーブル上の1つの基板が露光されている間に、測定ステーションMEAでは他方の基板テーブル上に別の基板がロードされてもよい。したがって、アライメントマークの測定には非常に時間がかかり、2つの基板テーブルを設けることは、装置のスループットの実質的な向上を可能にする。基板テーブルが測定ステーション並びに露光ステーションにあるときの基板テーブルの位置を位置センサIFが測定することができない場合には、両ステーションにおいて基板テーブルの位置が追跡されることを可能にするために、第2の位置センサが設けられてもよい。リソグラフィ装置LAは、例えば所謂デュアルステージタイプのものであってもよく、これは2つの基板テーブルと2つのステーションすなわち露光ステーション及び測定ステーションを有しており、基板テーブルは2つのステーション間で交換することができる。代替的な一実施形態においては、測定ステーションは別個のメトロロジ又はアライメント装置の一部である。別の一実施形態においては、基板は測定ステーションと露光ステーションとの間で交換される。ここで、各ステーションは基板を保持するために別個の基板テーブルを備えており、基板は、基板の測定が行われた後で測定基板テーブルからアンロードされ、その後、露光プロセスのために露光ステーションの基板テーブルに搬送されてロードされる。
[0040] 生産設備内では、装置200は「リソセル」又は「リソクラスタ」の一部を形成し、これは装置200によるパターニングのために基板Wに感光性レジスト及び他のコーティングを適用するコーティング装置208も含む。装置200の出力側には、露光されたパターンを物理的なレジストパターンへと現像するために、焼成装置210及び現像装置212が設けられる。これらの全ての装置の間では、基板ハンドリングシステムが基板の支持及び1つの装置から次の装置への基板の搬送を引き受ける。集合的にトラックと称されることの多いこれらの装置は、トラック制御ユニットの制御下にある。トラック制御ユニット自体は監視制御システムSCSによって制御され、監視制御システムSCSはリソグラフィ装置制御ユニットLACUを介してリソグラフィ装置も制御する。このように、異なる装置がスループット及び処理効率を最大化するように動作可能である。監視制御システムSCSは、各パターン付き基板を作成するために実施されるべきステップの定義を綿密に提供するレシピ情報Rを受信する。
[0041] リソセルにおいてパターンが適用され現像されると、パターン付き基板220は、222,224,226に図示されるような他の処理装置へと搬送される。一般的な製造設備においては、広範囲の処理ステップが種々の装置によって実施される。例として、本実施形態における装置222はエッチングステーションであり、装置224はエッチング後のアニールステップを行う。更なる装置226等では、更なる物理的及び/又は化学的な処理ステップが適用される。実デバイスを作るためには、材料の堆積、表面材料特性の修正(酸化、ドーピング、イオン注入等)、化学的機械的研磨(CMP)などといった多様なタイプの動作が必要とされるであろう。装置226は、実用では、1つ以上の装置で行われる一連の様々な処理ステップを表し得る。別の一例としては、リソグラフィ装置によって定められた原版パターンに基づいて複数のより小さなフィーチャを生産するべく、自己整合多重パターニングの実施のための装置及び処理ステップが設けられてもよい。
[0042] 周知のように、半導体デバイスの製造は、適当な材料及びパターンによって基板上に1層ずつデバイス構造を築き上げるために、こうした処理を何度も繰り返すことを伴う。よって、リソクラスタに到達する基板230は、新たに用意された基板であってもよいし、又は先にこのクラスタにおいてもしくは別の装置において完全に処理された基板であってもよい。同様に、必要な処理に応じて、装置226を去る基板232は、同じリソクラスタにおける後続のパターニング動作のために戻されてもよいし、異なるクラスタにおけるパターンニング動作を予定されてもよいし、又はダイシング及びパッケージ化に送られる完成品であってもよい。
[0043] 製品構造の各層は異なる工程ステップのセットを必要とし、各層で用いられる装置226は完全にタイプが異なるものであり得る。また、装置226によって適用される処理ステップが名目上同じである場合でも、大型施設においては、異なる基板に対してステップ226を行うべく並行して動作する、恐らくは同一であると思われるいくつかの機械が存在し得る。これらの機械間の設定又は異常の小さな相違は、そうした相違が異なる基板に様々に影響することを意味し得る。エッチング(装置222)のような、各層にとって比較的一般的なステップでさえ、スループットを最大化するために、名目上は同一であるが並行して動作するいくつかのエッチング装置によって実施され得る。更に、実用においては、異なる層は、エッチングされる材料の詳細次第で、例えば化学エッチング、プラズマエッチングといった様々なエッチングプロセスや、例えば異方性エッチングのような特別な要件を必要とする。
[0044] 前工程及び/又は後工程は、前述のように他のリソグラフィ装置において実施されてもよいし、異なるタイプのリソグラフィ装置においてさえ実施され得る。例えば、デバイス製造プロセスにおいて解像度やオーバーレイといったパラメータの要求が非常に厳しいいくつかの層は、要求が厳しくない他の層よりも高度なリソグラフィツールにおいて実施され得る。したがって、いくつかの層は液浸タイプのリソグラフィツールで露光されてもよく、その一方で他の層は「ドライ」ツールで露光される。いくつかの層はDUV波長で動作するツールで露光されてもよく、その一方で他の層はEUV波長放射を用いて露光される。
[0045] リソグラフィ装置によって露光される基板が正確に且つ安定的に露光されるためには、後続の層間のオーバーレイエラー、線幅、クリティカルディメンジョン(CD)等の特性を測定するべく、露光済み基板を検査するのが望ましい。よって、リソセルLCが所在する製造設備は、そのリソセルにおいて処理された基板Wのうちいくつか又は全部を受け入れるメトロロジシステムも含む。メトロロジ結果は、直接又は間接に監視制御システムSCSに提供される。エラーが検出される場合には、特に、メトロロジをすぐに且つ迅速に行うことが可能で同じバッチの他の基板がまだ露光できるのであれば、後続の基板の露光に対して調節がなされてもよい。また、既に露光された基板は、取り除き、再処理して歩留まりを改善するか、あるいは廃棄してもよく、それによって、欠陥があることがわかっている基板の更なる処理を行うことが回避される。基板のいくつかのターゲット部分だけに欠陥がある場合には、良好なターゲット部分についてのみ、更なる露光が行われてもよい。
[0046] 図1には、製造プロセスの所望の段階で製品のパラメータの測定を行うために設けられた計測装置240も示されている。最新のリソグラフィ生産設備におけるメトロロジステーションのよくある例は、例えば暗視野スキャトロメータ、角度分解スキャトロメータ又は分光スキャトロメータといったスキャトロメータであり、これは装置222におけるエッチングの前に、220で現像された基板の特性を測定するために適用され得る。メトロロジ装置240を用いて、例えば、オーバーレイ又はクリティカルディメンジョン(CD)などの重要な性能パラメータが、現像済みレジストにおいて特定の精度要件を満たさないことを判定することができる。エッチングステップの前に、現像済みレジストを取り除き、リソクラスタを通じて基板220を再処理する機会が存在する。装置240からのメトロロジ結果242は、経時的に小さな調節を行う監視制御システムSCS及び/又は制御ユニットLACU206によって、リソクラスタにおけるパターニング動作の高精度の性能を維持するために使用可能であり、それによって製品が仕様外にされたり再処理を必要としたりするリスクが最小化される。
[0047] また、処理済みの基板232,234及び入ってくる基板230の特性を測定するために、メトロロジ装置240及び/又は他のメトロロジ装置(図示しない)が適用されてもよい。メトロロジ装置は、オーバーレイ又はCDのような重要なパラメータを判定するために、処理済みの基板に対して使用されてもよい。
[0048] アライメントセンサを用いて基板上のアライメントマークの位置を測定することによってアライメントを行う際には、アライメントマークのピッチのサイズを、例えば100nmと同じ桁内(より具体的には300~800nmの範囲内)にまで小さくするのが望ましいであろう。また、アライメントマークのうち多く(例えば数千個)が、ウェーハ空間が「高価」であるインダイ(in-die)、製品構造間を含め、ウェーハ全体に収まるように、アライメントマークの面積(占有面積)を小さくするのも望ましいであろう。
[0049] 序論において言及した刊行物に記載されているもののような多くの現行のアライメントセンサは、相互にコヒーレントな(例えば、同じ単一モードの放射源に由来する)共役オフアクシスビームを必要とする。これは対応する回折次数間の干渉を可能にする。しかしながら、空間的にコヒーレントな放射の使用は、アライメント測定に影響を与えスペックル効果などの干渉アーチファクトをもたらし、結果として位置エラーを生じさせる。他の現行のアライメントセンサは、空間的にインコヒーレントな放射を使用し、それによってスペックルの問題を回避している。しかし、インコヒーレントな放射を用いると、小さなマークピッチ(例えば照明波長よりも小さい)を分解することを可能にする唯一の手法は明視野モードでイメージングすることによるものとなり、そうすると高次の回折次数がゼロ次と干渉する。比較すると、前述のコヒーレントなソースセンサは、一般的にゼロ次が遮蔽される暗視野イメージングモードを使用することができる。そのような暗視野イメージングは、明視野イメージングよりも優れた性能を提供する。
[0050] 上記の問題に対処するため、コヒーレンスを最適化されたメトロロジデバイスが提案される。より具体的には、本明細書において提案されるのは、複数の空間的にインコヒーレントな測定照明のビームを生成するように構成されたメトロロジデバイスであり、それらのビームの各々(又は、それらのビームの、各々が測定方向に対応する測定ペアの、両方のビーム)は断面内に対応する領域を有しており、その断面についてはこれらの領域におけるビーム間の位相関係がわかっている。すなわち、対応する領域については相互の空間的コヒーレンスが存在する。
[0051] そのようなメトロロジデバイスは、許容可能な(最小の)干渉アーチファクト(スペックル)を有する小さなピッチのターゲットを測定することができるであろうし、暗視野モードでも動作可能であろう。そのようなメトロロジデバイスは、基板位置を測定する(例えば、固定基準位置に対する周期構造又はアライメントマークの位置を測定する)ための位置又はアライメントセンサとして用いられ得る。しかしながら、メトロロジデバイスは、オーバーレイの測定(例えば、異なる層内の、又はスティッチングマークの場合には同じ層内の、周期構造の相対位置の測定)にも使用可能である。メトロロジデバイスは、周期構造の非対称を測定することもでき、したがって、ターゲット非対称測定に基づく任意のパラメータ(例えば、回折ベースのオーバーレイ(DBO)技術を用いたオーバーレイ又は回折ベースの合焦(DBF)技術を用いた合焦)を測定するために用いられてもよい。
ハードウェアの提案例
[0052] 図2は、そのようなメトロロジデバイスの可能な実装形態を示す。メトロロジデバイスは、本質的に、新規の照明モードを備える標準的な顕微鏡として動作する。メトロロジデバイス300は、デバイスの主要なコンポーネントを備える光モジュール305を備えている。照明源310(モジュール305の外部に位置しマルチモードファイバ315によって同モジュールに光学的に連結されていてもよい)が、空間的にインコヒーレントな放射ビーム320を光モジュール305に提供する。光学コンポーネント317が、空間的にインコヒーレントな放射ビーム320をコヒーレントなオフアクシス照明ジェネレータ325に送給する。このコンポーネントは本明細書に記載の構想にとって特に重要であり、より詳細に説明される。コヒーレントなオフアクシス照明ジェネレータ325は、空間的にインコヒーレントな放射ビーム320から複数(例えば4つ)のオフアクシスビーム330を生成する。これらのオフアクシスビーム330の特徴は、以下で詳細に説明される。照明ジェネレータのゼロ次は、照明ゼロ次遮蔽素子375によって遮蔽され得る。このゼロ次は、本文書において説明されるコヒーレントなオフアクシス照明ジェネレータ例のうちいくつか(例えば位相格子ベースの照明ジェネレータ)についてしか存在せず、したがって、そのようなゼロ次照明が生成されないときには省略されてもよい。オフアクシスビーム330は(光学コンポーネント335及び)スポットミラー340を介して(例えば高NA)対物レンズ345に送給される。対物レンズはオフアクシスビーム330を基板350上に位置するサンプル(例えば周期構造/アライメントマーク)上に合焦させ、オフアクシスビームはそこで散乱及び回折する。散乱した高次回折次数355+,355-(例えばそれぞれ+1次及び-1次)は、スポットミラー340を介して伝搬して戻り、光学コンポーネント360によってセンサ又はカメラ365上に合焦され、そこで干渉して干渉パターンを形成する。すると、適当なソフトウェアを実行するプロセッサ380が、カメラ365によってキャプチャされた干渉パターンの1つ又は複数の画像を処理することができる。
[0053] ゼロ次回折(正反射)放射は、検出ブランチ内の適当な場所で、例えばスポットミラー340及び/又は別個の検出ゼロ次遮蔽素子によって、遮蔽される。なお、オフアクシス照明ビームの各々についてゼロ次反射がある。すなわち、本実施形態においては、合計で4つのこうしたゼロ次反射がある。4つのゼロ次反射を遮蔽するのに適したアパーチャプロファイルの一例が、図4(b)及び図4(c)に、422と標示されて示されている。よって、メトロロジデバイスは「暗視野」メトロロジデバイスとして動作した。
[0054] 提案されるメトロロジデバイスの主要な構想は、必要な場合にのみ測定照明において空間的コヒーレンスを誘発することである。より具体的には、空間的コヒーレンスは、オフアクシスビーム330の各々の対応する瞳点のセットの間で誘発される。更に具体的には、1セットの瞳点は、オフアクシスビームの各々に対応する単一の瞳点を備えており、その1セットの瞳点は相互に空間的にコヒーレントであるが、各瞳点は同じビームの他の全ての瞳点に対してインコヒーレントである。このようにして測定照明のコヒーレンスを最適化することによって、小さいピッチのターゲットに対して暗視野オフアクシス照明を行うことが可能になるが、各オフアクシスビーム330は空間的にインコヒーレントであるから、スペックルアーチファクトは最小になる。
[0055] 図3は、この構想を説明するための3つの瞳像を示している。図3(a)は図2の瞳面P1に関する第1の瞳像を示し、図3(b)及び図3(c)はそれぞれ図2の瞳面P2に関する第2の瞳像を示す。図3(a)は空間的にインコヒーレントな放射ビーム320(の断面)を示し、図3(b)及び図3(c)は2つの異なる実施形態においてコヒーレントなオフアクシス照明ジェネレータ325によって生成されたオフアクシスビーム330(の断面)を示す。どの場合も、外円395の範囲は顕微鏡の対物系の最大検出NAに対応する。これは、純粋な一例として、0.95NAであってもよい。
[0056] 瞳の各々における三角形400は、互いに対して空間的にコヒーレントな1セットの瞳点を示す。同様に、バツ印405は、互いに対して空間的にコヒーレントな別の1セットの瞳点を示す。三角形バツ印及びビーム伝搬に対応する全ての他の瞳点に対して空間的にインコヒーレントである。(図3(b)に示される例における)一般原則は、相互に空間的にコヒーレントな瞳点の各セット(点の各コヒーレントセット)は照明瞳P2内において他の全ての点のコヒーレントセットと同一の間隔を有するということである。よって、本実施形態においては、点の各コヒーレントセットは、他の全ての点のコヒーレントセットの瞳内における平行移動である。
[0057] 図3(b)において、三角形400によって表される点の第1のコヒーレントセットの各瞳点の間の間隔は、バツ印405によって表される点のコヒーレントセットの各瞳点の間の間隔と等しくなければならない。この文脈における「間隔」は指向性である。すなわち、バツ印のセット(点の第2のセット)は三角形のセット(点の第1のセット)に対して回転不能である。よって、オフアクシスビーム330の各々はそれぞれにインコヒーレントな放射を備えているが、オフアクシスビーム330全体では、それらの断面内に既知の位相関係(空間的コヒーレンス)を有する対応する点のセットを有する同一のビームを備える。なお、点の各セットの点は等間隔である必要はない(例えば、本例における4つの三角形405の間の間隔は、等しいことを要さない)。よって、オフアクシスビーム330は、瞳内で対称的に配置されなくてもよい。
[0058] 図3(c)は、この基本的構想が、ビーム330Xが第1の方向(X方向)に対応しビーム330Yが第2の方向(Y方向)に対応する場合に、単一の測定方向に対応するビームの間でのみ相互の空間的コヒーレンスを提供することまで拡張可能であることを示す。本例において、四角形及びプラス記号はそれぞれ、三角形及びバツ印によって表される瞳点のセットに対応するが必ずしも空間的にコヒーレントではない瞳点のセットを示す。しかしながら、バツ印は相互に空間的にコヒーレントであり、プラス記号もそうであり、バツ印はプラス記号の瞳における幾何学的な平行移動である。よって、図3(c)においては、オフアクシスビームはペア単位でのみコヒーレントである。
[0059] 本実施形態において、オフアクシスビームは、方向、例えばX方向330X及びY方向330Yによって別個に検討される。キャプチャされたX方向の回折次数を生成するビーム330Xのペアは、(点400Xのペアが相互にコヒーレントであり、点405Xのペアもそうであるように)互いにコヒーレントであるだけでよい。同様に、キャプチャされたY方向の回折次数を生成するビーム330Yのペアは、(点400Yのペアが相互にコヒーレントであり、点405Yのペアもそうであるように)互いにコヒーレントであるだけでよい。しかしながら、点400Xのペアと点400Yのペアとの間、あるいは点405Xのペアと点405Yのペアとの間には、コヒーレンスが存在する必要はない。よって、検討される各測定方向に対応するオフアクシスビームのペアにはコヒーレントな点のペアが含まれている。前と同様、測定方向に対応するビームの各ペアに関しては、コヒーレントな点の各ペアは他の全ての点のコヒーレントなペアの瞳内における幾何学的な平行移動である。
[0060] 上記の説明において、ビームは全て空間的にインコヒーレントである。空間的にインコヒーレントな放射は、発光ダイオード、電球、レーザポンプ式プラズマ源又は任意の他の適当なインコヒーレント源を用いて得られるであろう。他の実施形態においては、ビームは偽空間的にインコヒーレントであってもよく、例えば、空間的インコヒーレンスを模倣するための1つ以上のプロセスを経ながらレーザなどのコヒーレントな照明源から生成されてもよい。これは、コヒーレントな放射マルチモードを作成すること及びディテクタの積分時間の間に様々な実現をアンサンブル平均することを備え得る。より具体的には、一実施形態においては、(空間的にコヒーレントなパターンである)スペックルパターンの多くの(例えばランダムな)実現が、例えば回転拡散板及びレーザによって、生成される。これらのランダムなスペックルパターンの実現のアンサンブル平均が決定される。これは干渉効果を平均し、したがって空間的インコヒーレンスを効果的に模倣する(干渉はディテクタ平面上で積分時間中に平均化される)。代替的な偽空間的インコヒーレンスアプローチは、例えばゴニオメータを用いて、様々な角度(モード)の単一モードレーザビームをスキャンする。
アライメント/位置センシングの作動原理
[0061] 図4は、メトロロジシステムの作動原理を図示している。図4(a)は、いくつかの実施形態においてアライメントマークとして用いることのできるターゲット410を図示する。ターゲット410は、マイクロ回折ベースオーバーレイ技術(μDBO)で用いられるものと類似していてもよいが、一般的にはアライメントマークを形成する際に単一の層のみに含まれる。よって、ターゲット410は、第1の方向(X方向)の2つの格子(周期構造)415aと第2の垂直方向(Y方向)の2つの格子415bとを含む4つのサブターゲットを備える。格子のピッチは、例えば、100nmと同じ桁(より具体的には、300~800nmの範囲内)を備え得る。
[0062] 図4(b)は、瞳面P3(図2を参照)に対応する瞳表現を示す。同図は、オフアクシス照明ビームのうち1つのみ、より具体的には(この表現において左端の)オフアクシス照明ビーム420の散乱に続いてもたらされる放射を示す(このオフアクシス照明ビームはこの瞳内にはないであろう。このオフアクシス照明ビームの瞳面P2における場所は照明瞳における場所に対応し、ここでは説明のためにのみ示されている)。影の領域422は、一実施形態において用いられる特定のスポットミラー設計(白は透過領域を表す)の遮蔽(すなわち反射又は吸収)領域に対応する。このようなスポットミラー設計は、望まない光(例えばゼロ次及びゼロ次を包囲する光)が検出されないことを保証する瞳遮蔽の純粋な一例である。他のスポットミラープロファイル(又はゼロ次遮蔽一般)が用いられてもよい。
[0063] 見てわかるように、高次回折次数のうち1つのみ、より具体的には-1X方向回折次数425のみがキャプチャされる。+1X方向回折次数430、-1Y方向回折次数435、及び+1Y方向回折次数440は瞳の外側に落ち(検出NAはスポットミラー422の範囲によって表される)、キャプチャされない。任意の高次(図示しない)も検出NAの外側に落ちる。ゼロ次445は、説明のために示されているが、実際にはスポットミラー又はゼロ次遮蔽422によって遮蔽されるであろう。
[0064] 図4(c)は、4つ全てのオフアクシスビーム420(やはり純粋に説明のために示されている)の結果として生じる瞳(キャプチャされた次数のみ)を示す。キャプチャされた次数は、-1X方向回折次数425、+1X方向回折次数430’、-1Y方向回折次数435’、及び+1Y方向回折次数440’を含む。これらの回折次数はカメラでイメージングされ、図4(d)に示されるように、縞パターン450を形成しながら干渉する。図示される例においては、回折次数が瞳内で斜めに配置されているために縞パターンは斜めであるが、他の配置が可能であり、それによって異なる縞パターン配向がもたらされる。
[0065] アライメントセンシングに使用可能な他のメトロロジデバイスと同様に、ターゲット格子位置のシフトは、方向毎に+1回折次数と-1回折次数との間の位相シフトを引き起こす。回折次数はカメラ上で干渉するので、回折次数間の位相シフトは、カメラ上の干渉縞の対応するシフトをもたらす。したがって、カメラ上の干渉縞の位置からアライメント位置を決定することが可能である。
[0066] 図5は、アライメント位置がどのようにして干渉縞から決定され得るのかを図示する。図5(a)は、ターゲットが第1の位置にあるときのある干渉縞のセット500(すなわち縞パターン450の1つのクアドラント(四分円)に対応する)を示し、図5(b)は、ターゲットが第2の位置にあるときの干渉縞のセット500’を示す。固定基準線510(すなわち両方の画像に関して同じ位置にある)が、2つの位置の間での縞パターンの移動を目立たせるために示されている。アライメントは、既知の手法で、パターンから決定された位置を固定基準(例えば透過イメージセンサ(TIS)フィデューシャル)の測定から得られた位置と比較することによって、決定することができる。アライメントには、(例えば単一の格子アライメントマークからの)単一の縞パターン又は(例えば2つの格子アライメントマークからの)方向毎に単一のパターンを用いることができる。2方向のアライメントを行うための別のオプションは、単一の2D周期パターンを有するアライメントマークを用いるであろう。また、非周期的なパターンも本明細書に記載のメトロロジデバイスによって測定され得る。アライメントマークの別のオプションは、図4(a)に図示されるような4つの格子のターゲット設計を備えていてもよく、これは、現在オーバーレイを測定するために一般的に用いられるものと類似している。よって、これらのようなターゲットは一般的には既にウェーハ上に存在しており、したがってアライメント及びオーバーレイには類似したサンプリングが用いられ得る。そのようなアライメント方法は既知であり、更なる説明はしない。
[0067] 図6は、提案されるメトロロジデバイスがどのようにしてスペックルアーチファクトを最小化するのかを図示する。これは、像面I1,I2,I3,I4を検討することによって最もよく理解される。各像面はそれぞれ、マルチモードファイバ315の出力に像面I1を、オフアクシス照明ジェネレータ325に像面I2を、基板350に像面I3を、そしてカメラ365に像面I4を備える。像面の各々における各点は、独立して検討され得る。まず、マルチモードファイバ出力I1(空間的にインコヒーレントな照明600,600’を備える)の三角形によって示される点を検討する。この点は、像面I2でオフアクシス照明ジェネレータ上にイメージングされる。点はその後、基板上のターゲットT上に2つのオフアクシスビーム610,610’(方向毎)としてイメージングされる。これらのオフアクシスビームは、互いに対して(オフアクシス照明ジェネレータによって決定される)固定された位相関係を有する。入射オフアクシスビーム610,610’は、実際には、瞳面におけるビームの範囲によって決定される角度範囲を有する円錐体である。基板平面I3のターゲットTは、三角形によって示される点に関して入射オフアクシスビーム610を回折し、位置情報を担持するオフアクシスビーム間の位相差を適用する。これに対して、バツ印によって示される位置は、基板平面I3の非ターゲット位置に対応する(すなわちターゲットTの縁部に直に隣接する)。この領域の欠陥(例えば光学素子の縁部又は光学素子の欠陥)は、カメラ平面I4の他の位置への放射610’の散乱をもたらし得る。これは、ここでは三角形によって示される場所に対応する場所に向かう散乱線620によって示されている。ターゲットTに対応する各位置について、+1及び-1回折次数630は、カメラI4上で干渉し、基板上の局所的な位置情報をカメラ上の局所的な強度に変換する。この解釈において、実質的に空間的にインコヒーレントな照明を用いることの利点は明らかである。空間的にコヒーレントな照明が用いられた場合、欠陥によって散乱された放射620は(たとえ非常に少量であっても)、カメラ平面の異なる場所へと散乱され得、その後、その場所で放射と干渉してスペックル(及びそれに伴うアライメントエラー)を引き起こすであろう。これに対し、提案されるメトロロジデバイスにおいて用いられる照明のほとんどはインコヒーレントであり、したがって、カメラ上の所望でない場所に散乱された散乱光620はスペックルを引き起こさない。よって、各照明ビームの空間的インコヒーレンスは、(完全にコヒーレントなセンサと比べて)このセンサの正確性及びロバスト性を高める。
オフアクシス照明ジェネレータ
[0068] 照明ビーム、及び特にそれらのコヒーレンス特性は、提案されるメトロロジデバイスの重要な側面である。照明ジェネレータの望ましい特性は、以下のものを含むであろう。
・全ての波長(500~900nm)が(光学素子の切り替え/移動を回避しつつ小ピッチ能力を最適化するために)照明瞳において同じ照明角度と、したがって同じ場所とを有する。
・(瞳点のセット間のコヒーレンスを保証するために)経路長を一致させることの難度が低い。
・照明の偏光に対して悪影響がない。
[0069] 本明細書に記載されるデバイスのいずれかのオフアクシス照明ジェネレータ(例えば図2のオフアクシス照明ジェネレータ325)は、様々に実装され得る。オフアクシス照明ジェネレータは、その最も単純な実施形態においては、位相格子(例えば2D位相格子)を備えていてもよい。位相格子の回折効率は80%、すなわち1次で40%及び-1次で40%になり得る。この効率は、最適波長から離れると、恐らく約20%まで下がるであろう。システムの全体的な光効率は、恐らく5~20%程度になるであろう。
[0070] 単一の位相格子を用いることの利点は、単一の位相格子は、システムがキャプチャした画像をスミアアウトすることなく広帯域の照明を使用する(例えば同時に100nmの帯域幅をオンにする)ことを可能にするという点である。これは、図8に記載される効果(以下を参照)は、単一の位相格子のみを用いるときには発生しないであろうからである。固定された照明格子及び固定された瞳絞りが用いられると仮定すると、欠点は、より短い波長の照明角度は比較的小さくなるので、小さいピッチを分解することがより難しくなり、全波長範囲についてゼロ次を遮蔽するためには瞳絞りがかなり大きくなくてはならないということを含む。大きな瞳絞りはピッチの柔軟性を限定する(所望の1次回折次数が瞳のその部分にある場合、その1次回折次数も遮蔽されるであろう)。
[0071] 図7(a)は、様々な波長を様々な照明角度に分散させない、改良された格子ベースのオフアクシス照明ジェネレータ737を示す。第1の格子740(一般的には位相格子)は照明745によって照明される。この格子は瞳面内にある。すなわち、格子は顕微鏡対物系の後側焦点面上にイメージングされるであろう。格子は照明745を+1回折次数及び-1回折次数に回折させる(0次及び高次は、ここでは無関係であり、追加のビームストップによってシステム内で物理的に遮蔽されるか又は単に無視されるであろう)。単純にするために、照明は単一の光線として描かれている。現実には、(インコヒーレントな)照明はある範囲の角度及び位置を含んでおり、(様々な角度及び位置を有する)ある範囲の光線として示され得る。様々な波長が様々な角度で回折される。単純にするために、2つの波長λ1及びλ2のみが示されている。よって、格子は放射を、第1の波長750+λ1については+1回折次数に回折させ、第2の波長750+λ2については+1回折次数に回折させ、第1の波長750-λ1については-1回折次数に回折させ、第1の波長750-λ2については-1回折次数に回折させる。第1の光学コンポーネント(例えばレンズ)755は、回折された照明750+λ1,750+λ2,750-λ1,750-λ2を効果的にフーリエ変換する。フーリエ平面内にはくさび760a,760bが設置される。一方のくさび760aは、+1回折次数750+λ1,750+λ2を第1の方向に傾斜させる。他方のくさび760bは、-1回折次数750-λ1,750-λ2を第2の(例えば光軸Oを中心として対称的な)方向に傾斜させる。くさび760a,760bは対物瞳のフーリエ平面(後側焦点面)内にあるので、くさび760a,760bによって誘発される傾斜は、(フーリエ関係により)瞳面におけるビームの位置を決定する。第2の光学コンポーネント(例えばレンズ)765は、ビームを変換して瞳面に戻す。ビームは今や空間的に分離されている。この平面内には、次数をゼロ次に戻すために、第2の格子770(例えば位相格子)が設置される。これにより、異なる波長の同じ回折次数(例えば750+λ1と750+λ2、750-λ1と750-λ2)が空間的に重ならないことばかりでなく、同一の入射角を有することが保証される。レンズ755,765が等しい焦点距離を有する場合には、第1及び第2の格子740,770は等しいピッチを有するべきである。最後に、(ゼロ次に回折されない)高次の光漏れを除去するために、更に2つのレンズ775及び空間フィルタ780が設けられてもよい。
[0072] 図7(a)のオフアクシス照明ジェネレータは、2つの照明ビームに関して記載されている。4つのビームに一般化する簡単な手法は、2D格子と4倍くさび(4-fold wedge)とを用いることであろう。任意選択的には、例えば瞳内の左端及び右端のビームに対応するくさびセグメントに数ミリメートルのガラスを追加して、上端のビーム及び下端のビームに対する経路長差を誘発してもよい。この場合、ビームはペア単位でコヒーレントであろう。これは、いくつかの実施形態においては、4つのビーム全てをコヒーレントにするよりも好適であり得る。
[0073] 図7(b)(上面図)及び図7(c)(側面図)はオフアクシス照明ジェネレータの代替的な一実施形態を図示しており、このオフアクシス照明ジェネレータ700は、ビームスプリッタ705及びリフレクタ710コンポーネントの組み合わせを用いて、入力ビーム720から4つのオフアクシスビーム715を生成している。
[0074] 図7(d)は類似した配置のオフアクシス照明ジェネレータ725を示しているが、このオフアクシス照明ジェネレータは、レトロリフレクタ710’の位置の調節によって瞳内のビーム位置の調節を可能にしている。説明のために中間段730及び出力735の瞳が示される。x方向におけるレトロリフレクタ710’の位置は、瞳730における2つのビームの経路長が一致するようにチューニングされて、それらが(ペア単位で)コヒーレントになることを保証している。レトロリフレクタ710,710’の位置は、瞳730における2つのビームの位置をチューニングするために、入射ビームの方向に垂直にチューニングすることもできる。
[0075] 瞳730において、2つのビームは点単位でコヒーレントである。構成の下半分(特に下部リフレクタ710)は、瞳735に示される左上及び右下のビームを得るために、反転コピーを作成する。よって、これら左上及び右下のビームもまた点単位でコヒーレントになる。しかしながら、下部プリズム710及び下部ミラー736の側を通る経路は一般的には一致せず、したがって「斜め向かい」のビームのみがコヒーレントであり他はインコヒーレントである。よって、オフアクシス照明ジェネレータ725は、ペア単位でコヒーレントな照明ビームを提供するのに向いている。
[0076] レトロリフレクタ710,710’は、基本的に、様々な角度での反射を含む「キューブコーナー」、又は設置された(例えば、2つのビームが瞳730の対角線上にあることを保証するために、図面の平面に対して45度の角度で配向された)直角プリズムであってもよい。偏光がはっきりと定義されること及び全ての反射面において(偏光混合を防ぐべく)純粋に「s」又は純粋に「p」の偏光が存在することを保証するために、ビームスプリッタとプリズム710,710’との間に追加的な2分の1波長板が設置されてもよく、ビームスプリッタの前(例えば場所720)に追加的なポラライザが設置されてもよい。
[0077] なお、相互にコヒーレントであることが意図されるビームの光路長は、コヒーレンス長内で一致する必要がある。これには恐らく、いくつかのビームにおいて、追加的な素子、例えば追加的なガラス、あるいは遅延段が必要となるであろう。
[0078] 図7(b)から図7(d)の実施形態は、全ての波長が同じ照明角度を有するという、図7(a)に示される利点を共有している。
[0079] オフアクシス照明ジェネレータの更なる代替的な実装形態は、空間光変調器(SLM)のようなビーム整形コンポーネントを用い得る。しかしながら、そのような配置はスポットミラーとは相容れないものであろうから、スポットミラーを、例えばビームスプリッタ及び制御可能な瞳フィルタによって置き換えることが必要であろう。そのような配置は、アライメント以外のメトロロジ用途により向いている。
複数波長測定
[0080] よりプロセスロバストになる(測定の多様性を促進する)ためには、複数の波長(及び恐らくはより高次の回折次数)を測定できるのが望ましい。これにより、例えば、格子の非対称に対してロバストになるための、最適な色加重(optimal color weighing(OCW))などの技術の使用が可能になるであろう。特に、ターゲットの非対称は、波長毎に異なるアライメント済み位置をもたらす。これにより、様々な波長のアライメント済み位置(aligned position)の差を測定することによって、ターゲットにおける非対称を決定することが可能である。一実施形態においては、各々が異なる波長に対応する個々の画像のシーケンスを得るために、複数の波長に対応する測定が同じカメラで連続的にイメージングされ得る。代替的には、これらの波長は、ダイクロイックミラーなどの適当な光学コンポーネントを用いて分離された状態で、各々が別個のカメラ(又は同じカメラの別個の領域)で並行してイメージングされてもよい。
[0081] 別の一実施形態においては、単一のカメラ画像において複数の波長(及び回折次数)を測定することが可能である。様々な波長に対応する照明ビームが瞳内の同じ場所にあるとき、カメラ画像上の対応する縞は、様々な波長の様々な配向を有するであろう。ほとんどのオフアクシス照明ジェネレータ配置はこれに当てはまるであろう(例外は単一の格子であり、照明格子及びターゲット格子の波長依存性が相殺する傾向がある)。
[0082] 図8はそのような画像800の一例を示すものであり、各々が異なる波長に対応する第1の回折パターン810(黒色の線)と第2の回折パターン820(灰色の線)とを示している。観察される縞パターンの周期及び角度は、照明波長に直接関係する。そのような画像の適切な処理によって、複数の波長(及び次数)のアライメント位置を1度のキャプチャで決定することができる。これらの複数の位置は、例えば、OCWのようなアルゴリズムのための入力として用いることができる。
他のメトロロジ用途-位相ベースのもの
[0083] メトロロジデバイスには、アライメントセンシング以外の用途がある。例えば、メトロロジデバイスは、オーバーレイ(層のペア間の相対的アライメント)の測定に向いている。第1の実施形態においては、画像ベースのオーバーレイ技術を説明する。画像ベースのオーバーレイは、アライメントに非常に類似している。重要な相違は、アライメントの場合、絶対位置測定を確立するために、位相が固定基準、例えばTIS板に対して比較されるという点である。画像ベースのオーバーレイの場合には、条件はもっと緩やかであり、基準は同じスナップショット/測定領域においてキャプチャされる第2の格子によって形成される。2つの格子間の相対変位を用いてオーバーレイを決定することができる。この測定は、固定基準によるベンチマーキングを必要とせずに行うことができる。カメラと連携する画像ベースのオーバーレイセンサの場合、両方の格子が単一の画像内において同時にキャプチャされるのが好適である。しかしながら、これは不要であり、2つの画像は、例えば縫合されてもよい。
[0084] 図9は、(a)画像ベースのオーバーレイメトロロジのための提案されるオーバーレイターゲット900と、(b)ターゲット900の測定の結果もたらされる画像キャプチャ910とを示す。従来と同様、ターゲット900は、X方向のオーバーレイの測定のためのクアドラント920Xと、Y方向のオーバーレイの測定のためのクアドラント920Yとを備えている。各クアドラント920X,920Yは、2つの格子925a,925bを備えている。これらの2つの格子925a,925bは、一般的には異なる層にあるが、縫合されたダイの2つの部分の相対的アライメントを測定するためのスティッチングタイプのマークは、単一の層内に両方の格子を有し得る。オーバーレイは、格子925aに対応する干渉パターン930aと格子925bに対応する干渉パターン930bとの間のオフセットとして現れるであろう(このオフセットを2方向で図示するために基準線940X,940Yが示されている)。
[0085] メトロロジデバイスの設計は、小さなピッチを有する格子に最適化される。しかしながら、デバイスは、例えば現在使用されているであろうターゲットとの互換性を維持するべく、より大きな(例えば1μmよりも大きな)ピッチを有するターゲットを測定するために用いることが可能である。そのような大きなピッチの問題は、+1次、-1次よりも高次の回折次数、とりわけ+3及び-3回折次数がキャプチャされるであろうという点である。これらはそれぞれ+1次及び-1次に干渉し、強度パターン及びその解釈を複雑化する。もっとも、画像は、フーリエ解析及びフィルタリング技術を用いて分解することができる。代替的には、所望でない高次がカメラに到達するのを遮蔽するために、照明瞳及び検出瞳に好適に位置する空間フィルタが用いられてもよい。そのようなフィルタは、他の(所望の)ピッチからの回折は遮蔽しないように、ターゲット毎に最適化されなければならない。
[0086] 別のタイプの現在使用されているターゲットとの後方互換性を維持するための別のアプローチが提案される。これにより、提案されるメトロロジセンサを用いた通常のμDBOターゲットについてのオーバーレイの測定が可能になる。そのようなμDBOベースのオーバーレイターゲットは一般的には重なり合う2つの格子を備え、それによって「非対称格子」を作り出す。非対称の度合いは、オーバーレイ(2つの格子間のオフセット)に応じて決まる。(現行の方法を用いた)ターゲットの測定は、ターゲットの非対称及びひいてはオーバーレイに依存する正の回折次数と負の回折次数との間の強度不均衡を検出することを備える。
[0087] 提案されるアプローチは、ターゲットの非対称は波長毎に異なるアライメント済み位置をもたらすという事実に依るものである。それにより、様々な波長についてアライメント済み位置のこの相違を測定することによって、非対称を決定することができ、そこからオーバーレイ値を抽出することが可能である。クアドラント毎にバイアスの異なる一般的なμDBOを用いれば、μDBOメトロロジにおいて既に採用されているものに類似の方法を使用して、オーバーレイに起因する非対称を他の非対称(例えば格子のうち1つの格子非対称)と区別することが可能になるはずである。
他のメトロロジ用途-強度ベースのもの
[0088] カメラ上での干渉パターン及び干渉縞の位置のイメージングを備える位相ベースの測定に加え、提案されるメトロロジデバイスは、強度ベースのメトロロジにも用いることができる。これには2つの主な用途がある。1つ目の用途は、(説明したような)アライメント測定の一部としてアライメントマークの非対称を測定及び補正することである。2つ目の用途は、前項で説明したものに代わる、現在使用されているμDBOターゲット(又はμDBFターゲット)からオーバーレイを測定する方法を提供する。
[0089] これがどのようにして達成され得るのかを理解するために、まず、本明細書に記載のメトロロジデバイスを用いて、完全に対称のターゲット(例えば図4(a)に示される形のアライメントマーク)の測定について考える。各クアドラントの(例えば平均の)強度レベルは等しいことが示され得る。次に、同じ測定ではあるが、1つのクアドラント(例えばX方向のクアドラント)は非対称性を有し、他の全てのクアドラントは対称であるターゲットについて考える。この場合、非対称なターゲットクアドラントに対応する画像クアドラントは、縞パターンの位相シフト(異なるアライメント位置と解釈してもよい)及び縞コントラスト/縞視認性の低減を示すであろう。また、X方向のターゲットクアドラントに対応する2つの画像クアドラントは、強度不均衡を示し得る。これを利用して非対称を測定することが提案される。
[0090] オーバーレイマークは、強度及び位相の両方について+1と-1との間の非対称(すなわち強度非対称及び位相非対称)を課す(impose)。一般的に、DBOメトロロジにおいては、強度の非対称のみが測定される。本明細書に記載のメトロロジデバイスの利点は、強度非対称と位相非対称との両方を(同時に)測定できることである。なぜなら、位相の非対称は縞パターンのシフトをもたらすからである。強度非対称と位相非対称とは互いに相補的なものであるため、これは有用である。両方の非対称を測定することによって、波長とスタック厚さとの任意の組み合わせについてオーバーレイを効率的に測定することが可能になる。これに対し、オーバーレイに対する強度(のみの)非対称の感度は波長に大きく依存するものであり、したがって、スタックに依存する波長のサブセットに対してしか働かない。
[0091] 強度の非対称は、暗視野DBOメトロロジにおいて現在行われているのと同様に、様々な画像の+1次と-1次とを分離することによって測定することができる。代替的には、強度非対称は、干渉縞コントラストから推定され得る。強度非対称と干渉縞コントラストとは直接関係するからである。強度非対称が存在する場合には、完全な弱め合う干渉は存在せず、したがって縞コントラストは1未満である。
[0092] 第1の実施形態においては、任意の1回で単一の高次回折次数だけがキャプチャされるように、選択的照明モードを用いることが提案される(次数を分離するために、くさびも用いられてもよい)。図10(a)は第1の提案される照明モードを示しており、ここでは、たった1つのオフアクシスビーム1000がターゲットを照明し、したがって+1X方向次数1010のみがキャプチャされる。その結果もたらされる画像が図10(b)に示されている。2つのX方向クアドラント1020Xに強度の領域があり(+1回折次数しか存在していないので縞パターンは視認できない)、これらの2つのクアドラント間には明確な強度差が視認できる(ここでは濃淡の差で図示されており、淡い方がより大きい強度を示す)。Y方向の回折次数はキャプチャされなかったので、Y方向クアドラント1020Yは視認できない(実質的にゼロ強度)。図10(c)は第2の提案される照明モードを示しており、ここでは、-1X方向次数1030のみがキャプチャされるように、異なるオフアクシスビーム1020がターゲットを照明するべく選択される。その結果もたらされる画像が図10(d)に示されている。ここでも、2つのX方向クアドラント1020X’は明確な強度差を示し、2つのY方向クアドラント1020Y’は視認できない。Yクアドラント非対称を決定するために、同じ原理を用いて単一のY回折次数のみをキャプチャできることが理解されるであろう。すると、オーバーレイは、現在DBOにおいて行われているのと同様に、対向する+1回折次数と-1回折次数との間の差(例えば、クアドラントの対応するペアの一方又は両方の、クアドラント1020X及び1020X’の強度差)から推定できる。
[0093] 第2の実施形態においては、+1回折次数及び-1回折次数は、干渉してカメラ上に図4(d)及び図5に記載されているような干渉縞パターンを形成し、オーバーレイは縞コントラストから、又は好適には縞の位置(位置非対称)とオーバーレイによる縞コントラスト(強度非対称)との組み合わせから、1回の測定で推定される。そのような実施形態が、図10(e)及び図10(f)によって概略的に図示されている。図10(e)は、非対称がない(ゼロオーバーレイ)オーバーレイターゲットを測定することによってもたらされる干渉縞パターン1030を示す。図10(f)は、同じオーバーレイターゲットであるが非対称が存在する場合(例えば非ゼロオーバーレイ)のものを測定することによってもたらされる干渉縞パターン1050を示す。(例えば、両方の図面で同じ位置にある固定基準1040に対して)縞位置にシフトがあることが見て取れる。縞コントラストは干渉縞パターン1030よりも干渉縞パターン1050の方が低いことも見て取れる(例えば、縞パターン1050では縞がよりぼけている)。干渉縞のシフト及び/又は画像コントラストの変化の両方の測定は、ターゲットからオーバーレイを測定するために用いることができる(例えば、両者がオーバーレイによって単調に又は線形的に変化すると推測することができる)。
[0094] これらの非対称の実施形態の全てで、既知の手法でオーバーレイを他の処理非対称と区別するために、ターゲットにおいて意図的なオフセットが実装され得る。例えば、標準的なμDBOターゲット(すなわち、方向毎に2つのクアドラントを備え、各々が異なるバイアスを有する)を測定することによって、現在用いられているμDBO技術に似た手法で、オーバーレイ以外のターゲット非対称を補正しつつ決定された非対称に基づいてオーバーレイを測定することが可能である。
[0095] 上記のアプローチは、アライメント測定においてアライメントマーク非対称を決定及び/又は補正するためにも用いることができる。また、提案されるメトロロジツールは、この非対称補正がマーク自体の中で行われることを可能にする(関心領域(ROI)選択)。ROIは様々なフィッティングルーチンを適用するために特定され得る(ROI選択について更に詳しくは、可変関心領域選択と題された項を参照のこと)。このようにすれば、マーク自体の中で変形を補正することが可能である。
[0096] また、この方法はターゲット非対称を測定することを備えるので、焦点又はドーズ依存的な非対称を備えて設計されたターゲット(例えばDBF又はμDBFターゲット)を介する焦点又はドーズの測定など、他のターゲット非対称ベースのパラメータを測定するためにも用いられ得ることが理解されるであろう。
[0097] 戻って図10(a)を参照すると、(少なくとも図7(d)のプリズムベースのオフアクシス照明ジェネレータの場合)+1X方向次数は、ピッチ及び波長の関数として、矢印1025に沿って移動するように限定されることがわかる。したがって、+1X方向次数は瞳の上2つのクアドラントに沿った移動に限定されるであろう。同様に、-1X方向次数は、ピッチ及び波長の関数として、矢印1025’に沿って移動するように限定され、したがって瞳の下2つのクアドラントに沿った移動に限定される。位相格子ベースのオフアクシス照明ジェネレータの場合には、次数は、格子ピッチの関数として、表示された方向で(矢印1025又は1025’に沿って)のみ移動し、波長を変更すると、回折次数は瞳の中心に向かって又は瞳の中心から遠ざかるように移動するであろう。よって、位相格子ベースのオフアクシス照明ジェネレータの場合、移動は、波長の関数としては1つのクアドラントのみ(しかし、ピッチの関数としては依然として2つのクアドラント)に限定される。
[0098] 図10(g)は、X方向次数及びY方向次数を有する2Dマーク(例えばμDBOマーク又は類似のもの)に関する状況を示す。-1X方向次数は矢印1060aに沿った場所に制約され、+1X方向次数は矢印1060bに沿った場所に制約される。+1Y方向次数は矢印1060cに沿った場所(左のクアドラントのみ)に制約され、-1Y方向次数は矢印1060dに沿った場所(右のクアドラントのみ)に制約される。したがって、所与のピッチ及び波長については、(X方向格子とY方向格子とで同じピッチであると推測して)クアドラント毎に単一のX次又はY次しか存在しない。
[0099] このことは、一実施形態においては、瞳面(図2に示される平面P3)に4つの光学くさびを設置することによって利用することができる。スキャトロメトリメトロロジにおいて複数の次数を同時にイメージングするための光学くさびの構想は、例えば、米国特許出願公開第2011/0102753号明細書及び米国特許出願公開第2012/0206703号明細書に記載されている。両明細書は参照により本明細書に組み込まれる。両方向の+1次及び-1次回折ビームは、くさびによってカメラの様々な部分に向けられ、そこでイメージングされる。これの利点は、四等分された照明アパーチャを用いるときよりも無駄になる(遮蔽される)光が少なく、信号が改善されるという点である。
[00100] 図10(h)は、クアドラント毎にサブ画像を備える結果画像を概略的に図示している。ピッチ/照明の組み合わせに応じて、サブ画像1070a~1070dの全てが、第1のリストされた回折次数又は第2のリストされた回折次数から成るであろう。この特定の例においては、第1のピッチ/照明の組み合わせに関しては、第1のサブ画像1070aが+1Y方向次数から形成され、第2のサブ画像1070bが+1X方向次数から形成され、第3のサブ画像1070cが-1Y方向次数から形成され、第4のサブ画像1070dが-1X方向次数から形成される。第2のピッチ/照明の組み合わせ(例えばずっと小さいピッチ)に関しては、第1のサブ画像1070aが+1X方向次数から形成され、第2のサブ画像1070bが+1Y方向次数から形成され、第3のサブ画像1070cが-1X方向次数から形成され、第4のサブ画像1070dが-1Y方向次数から形成される。各次数の測定値を得るために、ROI選択がこの画像に適用され得る(以下を参照)。
[00101] また、各サブ画像は+1次又は-1次のみを含むので、マーク非対称を補正するために用いられ得る強度チャンネルを生成することが可能である。これは、キャプチャされた各回折次数に関係する強度チャンネルの別個の監視を備えるであろう。+1次と-1次との間の強度差は、(マーク)非対称のフィンガープリントとして用いることができる。このフィンガープリントに基づいて、この非対称を緩和するアライメント済み位置の補正を決定することが可能である。以前のフォトダイオードベースのアライメントセンサにおいては、検出された放射がマークに由来するのかそうでないのかを判定することができず、したがってスポットはマークをアンダーフィルしなければならなかった。本明細書に記載のカメラベースのメトロロジデバイスによれば、放射がどこに由来するのかを区別することが可能であり、したがって、マスクのオーバーフィル及びアンダーフィルの両方が可能である。
[00102] 別の一実施形態においては、4つの光学くさびは格子に置き換えられる。これらの格子は、全てのゼロ次及び全ての1次回折ビームのみをカメラ上に向けるように最適化され得る。そのような配置によれば、追加的なサブ画像(第5のサブ画像)が全てのゼロ次ビームから生成される。これらのゼロ次ビームは、既に説明した方法を用いて解釈することのできる干渉縞パターンをカメラ上に形成するように干渉し得る。この第5のサブ画像は、例えば、像面の中央に(例えば図10(g)のサブ画像1070a~1070dに対して中央に)位置していてもよい。したがって、この方法は、カメラ上の干渉縞パターンと対応する1次回折パターンとの同時検出を可能にする。これにより位相及び強度の同時検出が可能となる。ソース励起メトロロジ誤差は、能動的に監視され得ると共に測定されたデータから効果的に分離され得る。メトロロジシステムの精度はこうして改善することができる。
可変関心領域選択
[00103] 提案されるメトロロジデバイスが測定するように設計されているもののような小さなターゲットは、一般的にはその形成の際に(例えば処理に起因する)変形を免れない。こうした変形は、例えば、ランダムなエッジ効果、マークに対するくさび作用(wedging over the mark)、局所的な格子非対称のばらつき、局所的な厚さのばらつき及び/又は(局所的な)表面ラフネスにつながり得る。変形したマーク上で基板アライメントを行うとき、マーク全体又は固定された関心領域を平均することは、一般的にはアライメントエラーにつながるであろう。
[00104] したがって、精度/ロバスト性を高めるために、可変関心領域(ROI)選択及び可変画素加重を用いることが提案される。アライメント位置をマーク画像全体(例えばμDBO)又は(各クアドラントの中央領域全体又はターゲット全体など、すなわちエッジ領域を除く)固定された関心領域に基づいて決定する代わりに、ターゲット毎にROIを最適化することが提案される。最適化は、例えばクアドラント毎に行われてもよい。最適化は、任意の形状の1つのROI又は複数のROIを決定し得る。図11は、純粋な一例として、そのような任意の形状のROI1100の一例を示している。
[00105] また、更なるオプションとして、最適化されたROIの加重組み合わせを決定することも提案される。加重は1つ以上の品質メトリクス又は重要性能インジケータ(KPI)に従って割り当てられる。
[00106] よって、1つ以上のKPIに基づいて、最適化されたエリア(ROI)又は加重画素組み合わせをターゲット毎に選択することが提案される。例示的なKPIは、次のうち1つ以上を備えるであろう。
・画像の局所的な均一性
・画像から決定された(局所的な)アライメント位置の局所的な均一性
・最小の局所的な色毎の画像変動(color-to-color image variation)
・最小の局所的な色毎のアライメント位置変動(color-to-color alignment position variation)
・最小の局所的な次数間シフト(>1μmのピッチのみについて)
・最大の局所的な縞コントラスト
・選択されたROIの関数としての測定された又はモデル化されたアライメント/オーバーレイ(又はデバイス性能)
・アライメント、オーバーレイ又は他のメトリックの改善につながることが経験的にわかっている任意の他のKPI
複数ターゲットイメージング
[00107] 提案されるメトロロジデバイスは、既に述べたように、リソグラフィパターニングプロセスの際に基板の位置を測定するためのアライメントセンサとして用いることができる。一般的には、スキャナは、選ばれた単一の層に対してのみ位置合わせすることができる。すると、他の層に対するアライメントも許容可能であることが推測される(又は例えば先のオーバーレイ測定から既知である)。しかしながら、ある層(例えば層N)が関連する先の層のうちいくつか又は全てに対して直接的に位置合わせされ得るのであれば、アライメントはより直接的になるであろう。したがって、本明細書に記載されたメトロロジデバイスを用いて、1回の画像キャプチャで異なる層の複数のマークに位置合わせすることが提案される。例えば、層Nは、(最も重要なことには)層N-1に対してX方向の、そして同時に、層N-2に対してY方向のアライメントを必要とするであろう。層Nが複数の層に対して各方向で位置合わせされる必要がある場合さえあるかもしれない。例えば、層Nは、層N-1及びN-3に対してはX方向で、そして層N-2及びN-4に対してはY方向で位置合わせされる必要があるかもしれない。これはかなり複雑なアライメントツリーにつながり得る。
[00108] 図12は、各々が一般的に異なる層内に位置する4つのアライメントマークの画像の一例を示す。4つのマークは、単一画像の視野内で同時にキャプチャされる。4つのマークの応答は関心領域(ROI)の選択によって分離することができるので、各マークは別個に解析され得る。例えば、アライメント位置は、各マークについて(従って各層について)別個に決定することができる。その後、これらの複数のアライメント位置から最適な露光位置を決定することができる。最適な露光位置を決定するための戦略の一例は、アライメント位置の平均(関連する層の平均)をとることを備え得る。ある特定の層に対するアライメントが別の層に対するよりも重要である場合、加重平均がとられてもよく、より重要な層にはより大きな加重が割り当てられる。
[00109] アライメントの観点で複数イメージングの構想が説明されるが、これは、異なる層のペアに関する複数のオーバーレイ値を決定することなど、他のメトロロジ技術にも適用可能である。すると、オーバーレイ補正を複数の層のペアについて同時に最適化することができる。
偏光分解イメージング
[00110] 図13は、偏光分解画像を得るように動作可能な、本明細書に記載のメトロロジデバイス1300の一実施形態を示す。図2のデバイスと共通のコンポーネントを再び説明することはしない。また、簡潔にするため、光学コンポーネントのうちいくつかは図面から取り除かれている。(オーバーレイ及びアライメントの両方の)偏光分解信号を得る能力は、よりプロセスロバストな測定を可能にすることが知られている。本実施形態においては、照明源1310は既知の偏光状態(SoP)と空間的にインコヒーレントであると推測される。このSoPは、例えば、円、対角、又は(最も可能性が高いのは)無偏光であってもよい。2つのコヒーレントなオフアクシスビーム1330が、説明したように(例えば方向毎に)生成され、(例えば高NA)対物レンズ345に進入する。放射は基板350上のターゲットに合焦され、(方向毎に)+1次及び-1次回折次数1355+,1355-のみが対物系によって集光される。回折次数1355+,1355-は、光を直交するSoP成分であるSとPとに分離するウォラストン・プリズム1385(又は、ワイヤグリッドポラライザのような任意の他の適当な偏光分離素子)上に、通常軸と異常軸との間の分離角度によって与えられる角変位をもって入射する。ビームはその後、それぞれがターゲットの散乱照明のS成分及びP成分に関する2つの画像がカメラ365によってキャプチャされるように、撮像レンズ1360によって合焦される。
ポンプ・プローブ照明
[00111] 提案されるメトロロジデバイスの一実施形態は、一実施形態において、ポンプ・プローブ(音響)照明モードを実装し得る。そのようなモードは、例えば、アライメントマーク又は他のメトロロジターゲットが(例えば赤外線さえ通さない)非常に光学的に不透明な層の下に位置する場合に有用であろう。ポンプ・プローブ測定は、(非常に)不透明な層のない用途においても有用であり得る。なぜなら、より小さな格子ピッチの解像を可能にするからであり、これは精度及び処理の点で有益である。さらに、(例えば)層の厚さのばらつき、格子非対称、下地構造等のような変数に対するポンプ・プローブ測定の感度は、標準的な光学測定方法のそれと比較して非常に異なっている。これが測定精度を高めることを可能にし得る。
[00112] ポンプ・プローブ照明方法においては、アライメントマークは、1つ又は一連のプローブパルスの後で1つ又は一連のポンプパルスによって照明される。ポンプパルスは、まず(例えば10~100nmという一般的な音響波長を有する)音響衝撃波を励起するであろう。励起された音響平面波は、1)基板スタック内に伝搬し、2)埋没マーク格子によって反射され、3)マークを覆う不透明層及び/又は1つもしくは複数のレジスト層の表面に伝搬して戻り、測定可能な周期的な表面変形パターン及び/又は屈折率のばらつき(例えば効果的な音響格子)をもたらす。周期的な表面変形及び/又は屈折率のばらつきパターンは、「プローブ」パルス又は測定パルスを介して説明される技術のいずれを用いても測定することができる。
[00113] 図14は、そのような照明方法を4つの連続する時間インスタンスで概略的に図示している。図14(a)は、不透明層1410及び埋没マーク(格子)1415を備えるスタック1405に入射するポンプパルス1400を示す。ポンプパルス1400は音波1420を生成する。図14(b)は、後の時点でスタックを通って伝搬する際の音波1420’を示す。音波は埋没マーク1415で反射し、反射した音波1420’’は埋没格子によって整形される(図14(c))。最後に、図14(d)は、表面にある反射した音波1420’’’を、屈折率が周期的に変動する浅い表面変形として示している。周期的な表面変形パターンは、到来するプローブパルス1425に対して本質的に格子のように作用する。プローブパルス1425はその後、反射した音波1415’’’によって生成された変形パターンと相互作用し、回折されたプローブパルス1430がカメラによってキャプチャされイメージングされる。カメラ画像は、図5に図示されたものに類似の干渉パターンを示すであろうから、既に説明した基板アライメントを評価するための画像解析と同じものを行うことができる。
[00114] 図14(a)には、埋没マーク1415を均一に照明するオンアクシスポンプパルス1400が図示されている。オフアクシスプローブビーム1425は、図2に図示され先に説明したように構成される。この手法で分解することのできる最小の格子ピッチは、従来の(オンアクシス)センサより2倍小さい。なぜこのピッチ縮小が可能であるのかを理解するためには、モアレパターンが生成されることが理解されなければならない。すなわち、プローブパルスは基板上に格子パターンを形成する。この格子は、パルスによって生成される音響格子と相互作用する。周波数は混合し、したがって(2倍)小さいピッチを分解することができる。
[00115] 図14(e)は、オフアクシスポンプビームが用いられる別の一実施形態を図示している。上記で言及した実施形態において説明したようにアライメントマーク1435を均一に照明することに代えて、オフアクシスポンプビームは、基板の上面上に2D格子パターンを生成する。そのような配置においては、音波はポンプビームが建設的に干渉される場所でのみ励起される。励起された2D音響平面波は基板スタックを通って伝搬し、埋没アライメントマーク1435、例えばμDBO格子によって反射される。全ての反射された音波の波面は、格子によって整形され、表面変形パターン1440を形成する。本質的には2D格子パターンであるこの表面変形パターン1440と相互作用した後、回折されたオフアクシスプローブビーム1440は、カメラにおいて相互に干渉するであろう。すると干渉パターンがキャプチャされ、基板アライメントを評価するために解析される。表面変形パターン1440のピッチは埋没アライメントマーク1435のピッチの2倍の大きさであることが見て取れる。これは、ポンプが格子パターンによって音波を励起するからである。音響格子は埋没アライメント格子と相互作用する。周波数は混合し、表面に現れる音響格子は、例えば、埋没格子の2倍の大きさのピッチを有する。この効果は、先に説明したプローブパルスと音響格子との相互作用によって引き起こされるモアレ効果と組み合わされ、標準的な技術と比較して4倍小さいピッチが分解される可能性につながる。これは線形音響を想定している。音響励起及び/又は伝搬及び/又は検出がかなり非線形である場合には、理論上は、無限に小さいピッチ(例えば製品ピッチ)が分解され得る。
[00116] 全ての例は整数ピッチ比(例えば2倍及び4倍)を説明しているが、この方法は、非整数比にも、すなわち格子ピッチと照明ピッチとが互いに大きくかけ離れている場合にも、等しく適用可能である。
[00117] 一実施形態においては、ポンプパルス及び/又はプローブパルスの1つ以上の特性は、非線形の音響生成及び/又は伝搬及び/又は検出効果を高めるように最適化される。これにより、非常に小さな(通常は分解可能でない)ターゲットピッチについて測定するときに信号強度を向上させることができる。
強度バランス最適化のためのチューニング可能な非対称
[00118] 本明細書に記載のメトロロジデバイスを用いるアライメント済み位置の値又はオーバーレイ値は、ターゲット(例えば回折格子)における非対称(プロセス非対称など)を感知する。そのようなターゲット非対称は、一般論として、多色比較、又は(例えば角度分解メトロロジを介する)+1回折次数と-1回折次数との間の強度不均衡の測定などの方法によって推定され得る。しかしながら、そのような方法の性能は、センサが測定に追加的な非対称を課す場合、損なわれる。センサ非対称と格子非対称とのクロスタームは、分解するのが困難である。
[00119] この問題に対処するべく、照明の非対称のチューニングを可能にする非対称チューニング配置が提案される。そのような配置が、本明細書に記載のメトロロジツールのいずれかのために、より具体的にはオフアクシス照明ジェネレータが少なくとも1つの位相格子を備えるメトロロジツールのために、提案される。非対称チューニング配置は、第1の格子に対して移動することのできる第2の格子を更に備えるデュアル格子オフアクシス照明ジェネレータを用いて実装され得る。これは、第1の格子を可動にすること、第2の格子を可動にすること、又は第1及び第2の格子の両方を可動にすることによって行われるであろう。
[00120] 基本的な動作原理が図15(a)に示されている。第1の位相深さφ及び第1のフィーチャ幅又は線幅wを有する第1の格子G1が、説明したような回折次数(例えばオフアクシス照明ビーム)を生成する。第2の位相深さφ及び第2のフィーチャ幅又は線幅wを有する第2の格子G2が、第1の格子G1に近接して設置される。この文脈において「近接」とは、キルヒホッフマスク近似が有効であるのに十分なほど近いことを意味するものと解釈されるべきであり、したがって、近接する2つの格子によって形成される2つの位相マスクの和は個々の位相深さの和となる。
[00121] 第2の格子G2の位置を第1の格子G1に対してシフトさせると、位相プロファイルの全体φ1+φ2に非対称が生じる。図15(a)において、上のプロットは、位相プロファイルの全体φ+φが対称になるように対称的に位置合わせされた第1の格子G1及び第2の格子を示し、2番目のプロットは、位相プロファイルの全体φ+φが非対称になるように第1の格子G1に対して第2の格子G2をシフトさせた結果を示す。
[00122] 非対称の量は、相対的な位相深さ及び第2の格子G2の線幅wを通じた設計によって、並びに第1の格子G1に対する第2の格子G2のオフセットの調節によって、制御され得る。第2の格子G2の設計は、所望の強度不均衡範囲を提供するように、その一方で同時に大きな位置調節範囲を提供するように、(例えば位相深さ及び線幅の点で)最適化することができる。制御感度を大きくするために(非対称の小さな変化が大きな物理的移動になる)、格子G2の位相深さは、十分な範囲を許容する可能な限り小さなものとなるように選ばれてもよい。格子G2のシフトを介して非対称を達成するためには、格子G2は、次の2つの特性のうち少なくとも一方を備えるであろう。
・格子は異なる線幅を有する(例えば、wはwよりも小さい)、及び/又は
・格子は異なる位相深さを有する(例えば、φはφよりも小さい)。
[00123] 非対称チューニング配置は、センサにおける、次のうち一方又は両方によりもたらされる強度非対称を補正するために用いることができる。1)格子非対称によりもたらされる強度非対称、又は2)光学素子(センサ非対称)によって引き起こされる強度非対称。
[00124] 非対称チューニング配置は、センサのドリフト及び/又は特定の使用を補償するための強度バランスの周期的なチューニングを可能にするために、センサ内のアクチュエータによって実装されてもよい。
[00125] 補助的な最適化においては、第1の格子G1の位相深さφ及び第2の格子G2の位相深さφは、第2の格子G2があってもなくても回折効率が同じになるように、しかし位相深さφに対する回折効率eのスイング曲線のピークPの両側にあるように、選ばれてもよい。そのような曲線が図15(b)に示されている。これは、格子G2の追加的な位相深さがスイング曲線ピークの反対側になるように第1の格子G1の絶対位相を最適位相深さから離調することによって実現され得る。これにより、組み合わせられた格子の「重心」シフトの衝撃が最小化され、それによって回折次数の相対位相シフトが最小化される。この補助的な最適化の否定的な側面は、単一の波長しか最適化できないということであり、このことはその有用性を限定する。
[00126] 一方の格子(例えば第2の格子G2)の位置を他方(例えば第1の格子G1)に対してシフトさせることによって照明のチューニング可能な非対称を生成する代わりに、照明の非対称のチューニングは、照明ビームに対して2つの格子を一緒にシフトさせることによっても得ることができる。一実施形態によれば、第1の位相深さφ及び第1のフィーチャ幅又は線幅wを有する第1の格子G1が、説明したような回折次数(例えばオフアクシス照明ビーム)を生成する。第2の位相深さφ及び第2のフィーチャ幅又は線幅wを有する第2の格子G2が、第1の格子G1の上に近接して設置される。第2の格子G2は、第1の格子G1の上に直接印刷されてもよい。代替的には、2つの格子(G1及びG2)は2つの別個の光学素子(例えば薄いガラス)上に形成されてもよい。そのような2つの光学素子は、その後、第2の格子が第1の格子の上に近接して載るように、互いに接合される。
[00127] いずれの場合も、2つの格子は、入射照明ビームに垂直であり且つ互いに対して位置が固定されている(すなわち相対移動が可能でない)2つの平行な平面内に位置している。第2の格子G2の周期は、第1の格子G1の周期に近いがわずかに異なるように選ばれる。そのようにすれば、2つの格子の間に異なる横方向及び/又は角度オフセットを導入することによって、異なるモアレパターンを生成することができる。横方向オフセット及び角度オフセットはいずれも格子平面に導入され、2セットの格子構造(例えば格子線)間で評価される。横方向オフセットとは、2セットの格子構造の間の間隔が変更される一方で、その2セットの格子構造は互いに平行のままであることを意味する。これに対し、角度オフセットとは、2セットの格子構造の間に角度が形成されるように一方の格子が他方に対して回転されることを意味する。
[00128] 入射照明ビームのパラメータ、例えば照明ビームのサイズに応じて、適当なモアレパターンが、2つの格子の間に適切な量のオフセットを導入することによって、選択され得る。2つの格子が入射照明ビームに対して共に移動されると、照明ビームはモアレパターンの異なる部分を見て、その結果、異なる量の照明非対称が生成される。格子構造及びそれらの相対オフセットは予め決まっているので、2つの格子によって形成されるモアレパターンは、非対称マップを作成するために用いることができ、それによって照明の非対称の自動チューニングを達成することができる。なお、非対称マップのうち、照明ビームが有意な非対称変化を経験するエリアは、回避されるべきである。
[00129] 更なる一実施形態においては、非対称チューニング配置が、(例えば方向毎の)照明ビームペアの各々の一方のビームの経路内(例えば瞳内)に可変アテニュエータを設置することによって実現されてもよい。
機能性を向上したハードウェアの実施形態
[00130] 図16は、図2によって図示されるメトロロジデバイス300に対していくつかの追加的なフィーチャを有する、本明細書に記載のメトロロジデバイス1600の更なる一実施形態を備える。追加的なコンポーネント及びフィーチャの各々は、別個に実装可能である。図2のデバイスと共通のコンポーネントについては、再び説明することはしない。メトロロジデバイス1600はインコヒーレント照明源310の出力に照明チューニングコンポーネント1607を備えている。照明チューニングコンポーネント1607は、照明の波長特性(例えば中心波長、帯域幅、及び/又は波長の組み合わせ)が(例えば特定のターゲットについて最もプロセスロバストになるように)選択され得るように、照明波長又はスペクトルのチューニングを可能にする。よって、例えば、照明チューニングコンポーネント1607は音響光学可変波長フィルタ(AOTF)を備えていてもよい。全ての波長が1つのカメラ1665又は複数の波長分解カメラ(図示しない)上に投影されてもよい。入力照明のチューニングを可能にするために、チューニング可能なパワーコンポーネント1617を設けてもよい。
[00131] 光モジュール1605内には偏光コンポーネント1622,1627が提供される。偏光コンポーネント1622,1627は、例えば、入力ビームの偏光を(例えば線形、円形又はインコヒーレントに)チューニングするためのチューニング可能なポラライザ1622と、(例えば交差)偏光ディテクタ1627とを備えている。照明偏光と、ターゲットと、検出偏光との組み合わせは、検出されるマーク位置に影響を及ぼし得ることがわかっている。したがって、これらのコンポーネント1622,1627は、プロセスロバスト性を高めるためにこれらの偏光パラメータの変動を可能にする。
[00132] 瞳照明モードコンポーネント1632が測定のための照明瞳を定義及び構成する。これはオフアクシス照明ビームのうちいずれかをスイッチオン又はオフする能力を備えていてもよい。そのような機能性の1つの用途は、「他のメトロロジ用途-強度ベースのもの」という見出しの項において既に説明した。また、ピッチの大きいX方向ターゲットについては、もたらされる干渉パターンが例えば1次及び3次の干渉のみを備えるように、1つの角度から照明するのが望ましいであろう。これにより、干渉パターンを解析すること及び収差を低減することがより容易になる。代替的には、任意の1回でスイッチオンされるのを共役照明ペアのみとするのも有益であるかもしれない。瞳照明モードコンポーネント1632は、照明瞳において望まない散乱次数を遮蔽するようにも構成され得る。例えば瞳検出モードコンポーネント1652を用いて、検出瞳においても等しい機能性が(代替的に又は組み合わせて)提供されてもよい。
[00133] 代替的又は追加的には、瞳照明モードコンポーネント1632は、非共役オフアクシス照明ビーム間のコヒーレンスを変動させるように構成されていてもよい。例えば、X配向クアドラントの測定には、対応するX回折次数のみが必要とされる。Y配向クアドラントにも同じことが当てはまる。X回折次数及びY回折次数も干渉するのであれば、画像処理はより複雑になる。そのような干渉は、例えば次のことにより回避することができる。1)X回折次数に対応するオフアクシス照明ビームにY回折次数に対応するオフアクシス照明ビームとは異なる波面を課す(imposing different wavefronts)こと、又は2)X回折次数に対応するオフアクシス照明ビームとY回折次数に対応するオフアクシス照明ビームとの間にコヒーレンス長よりも長い経路長遅延を提供すること。
[00134] オフアクシス照明ジェネレータ1630の一部として又は別個に、基板照明モードコンポーネントが実装されてもよい。基板照明モードコンポーネントは、基板における照明モードを定義及び構成する。特に、基板上のチューニング可能な照明スポットサイズを規定する。そのようなチューニング可能な照明スポットサイズの1つの用途は、瞳メトロロジモードをより良く実装することであり、それによって、あるモードは(瞳座標を重ね合わせる際に望ましくない散乱を回避するべく)ターゲットをアンダーフィルする照明スポットを有することの恩恵を受け得る。よって、メトロロジデバイス1600は、対応する瞳カメラ1647を備える瞳イメージングブランチ1642も備えている。
[00135] 一実施形態においては、例えば、ウェーハ上のX格子セグメントが例えば瞳内の左端及び右端のビームによってのみ照明され、ウェーハ上のY格子セグメントが例えば瞳内の上端及び下端のビームのみによって照明されるように、照明モードが作成され得る。そのような配置は、サンプル加熱/損傷の点で利点を有するであろう。
[00136] 計算機合成ホログラムGCH又はパターンなどの基準ターゲット1657をイメージングすることによって、メトロロジデバイスの較正を行うことができる。このターゲットは、関連するゼルニケを扱うように且つ十分な情報をもってカメラ1665上に投影するように、慎重に設計されなければならない。対応する干渉パターンが解析され、それによって、光学素子の収差プロファイルが測定されるパターンと予想されるパターンとの比較に基づいて導出される。収差に対応する関連する瞳座標を扱うと共にこれらの収差をカメラ1665上にマッピングする基準ターゲット1657の設計が考案されなければならない。対応する干渉パターンは、画像から対応する収差マップを得るために、画像解析プロトコルを経る。そのような方法は、利用可能な波長が複数ある場合、より良好に作用することが想像される。カスタムターゲットの代替的な提案は、特定のゼルニケにマッピングする特定のターゲット(例えば格子)を用いることを備えるであろう。そのようなターゲットをTIS板上に設けることによって、ステージの位置合わせが行われる度に、全てのセンサ収差を較正することが可能である。この収差マップを様々な波長のアライメント済み位置の差と組み合わせれば、ターゲット欠陥を補正するのに十分な制御パラメータがあるはずである。
[00137] メトロロジデバイスは、比較的短い(例えばウェーハステージフィデューシャルの測定とウェーハ上の最後のターゲットの測定との間の時間よりも短い)時間の尺度で(例えばカメラセンサの)ドリフトの較正を可能にする内部基準構造(すなわちメトロロジデバイスそれ自体の内部)も備え得る。そのような内部基準構造は、(光学収差なしに)カメラドリフトを測定するためだけに、放射が基準構造からカメラまで可能な限り直接的に進むようなものであってもよい。ウェーハステージフィデューシャル/メトロフレームに対する内部基準構造の位置は、正確に分かる且つ信頼できる(例えばドリフトすることのない)ものでなくてはならない。例えば、内部基準構造は、カメラを含むどの放熱及び振動素子からも熱的且つ振動的に隔離され得る。
[00138] 図17は、そのような較正方法の一例を説明するフローチャートである。まず、較正フェーズ1700を考える。ステップ1710において、基準ターゲット(例えばCGH)がメトロロジデバイスによって測定される。ステップ1720において原画像が処理され、ステップ1730において投影光学素子の収差指紋が決定される。ターゲットを測定すること1750によって測定フェーズ1740が始まる。ステップ1760において、ステップ1730で決定された収差指紋を用いて、ステップ1750で取得される画像の収差を補正する。ステップ1770において、局所的なプロセスアーチファクトを補正するために、様々な波長のアライメント済み位置が比較される。出力は、補正されたアライメント済み位置1780である。
[00139] 先に言及したように、メトロロジセンサ1600の個々のフィーチャはそれぞれ、独立して実装可能な個々の実施形態を備える。よって、提案されるメトロロジデバイスは、以下の特徴のうちいずれか1つ以上を有する、請求項1のメトロロジデバイス及び/又は図2のメトロロジデバイス300を備え得る。
・複数波長機能性
・チューニング可能な波長/スペクトル機能性
・収差較正
・ターゲット内補正
・瞳メトロロジ及び/又はチューニング可能なスポットサイズ
・チューニング可能な偏光
・コヒーレンス最適化及び非共役照明スポット間のチューニング
・照明モード選択
・照明及び/又は検出瞳におけるチューニング可能なフィルタ
・チューニング可能なパワー特性
・偏光分解イメージング
・複数ターゲットイメージング
・可変関心領域選択
・位相ベースのメトロロジ
・強度ベースのメトロロジ
・アライメントメトロロジ
・オーバーレイ及び/又は焦点メトロロジ
・ポンプ・プローブ照明
・非対称チューニングのためのデュアル格子オフアクシス照明ジェネレータ
・同時複数1次検出
・同時複数1次検出及びゼロ次検出
[00140] 本明細書に記載されたメトロロジデバイスとの関係において用いられる「放射」及び「ビーム」という用語は、1000~3000nm波長などの赤外波長を含む任意の波長範囲のあらゆるタイプの電磁放射線を網羅する。
[00141] 上の記載はリソグラフィ装置/スキャナの補正を説明しているが、決定された補正は、どのプロセスにも、及び、層内に形成される構造の位置及び/又は寸法に対して影響を有する、例えばエッチング装置など、IC製造プロセスにおけるどの集積回路(IC)製造装置によっても、用いられ得る。
[00142] リソグラフィ装置に関係して用いられる「放射」及び「ビーム」という用語は、紫外線(UV)放射(例えば、365nm、355nm、248nm、193nm、157nmもしくは126nm、又はこれら辺りの波長を有する)及び極端紫外線(EUV)放射(例えば、5nm~20nmの範囲の波長を有する)、並びにイオンビーム又は電子ビームなどの粒子ビームを含む、あらゆるタイプの電磁放射を網羅する。
[00143] 「レンズ」という用語は、文脈が許す場合には、屈折、反射、磁気、電磁気及び静電光学コンポーネントを含む様々なタイプの光学コンポーネントのいずれか一つ又はその組み合わせを指すことができる。
[00144] 特定の実施形態に関する以上の説明は、本発明の全体的性質を十分に明らかにしているので、本技術分野の知識を適用することにより、過度の実験をせず、本発明の全体的構想から逸脱することなく、このような特定の実施形態を容易に修正する、及び/又はこれらを様々な用途に適応させることができる。したがって、このような適応及び修正は、本明細書に提示された教示及び案内に基づき、開示された実施形態の均等物の意味及び範囲内に入るものとする。本明細書の言葉遣い又は用語は例として説明するためのもので、限定するものではなく、したがって本明細書の用語又は言葉遣いは、当業者には教示及び案内の観点から解釈されるべきであることを理解されたい。
[00145] 本発明の幅及び範囲は、上述した例示的実施形態のいずれによっても限定されず、特許請求の範囲及びその均等物によってのみ規定されるものである。

Claims (37)

  1. 複数の照明ビームを備える測定照明を生成するように構成されたメトロロジデバイスであって、
    前記照明ビームの各々は、空間的にインコヒーレント又は偽空間的にインコヒーレントであると共に前記メトロロジデバイスの照明瞳に複数の瞳点を備えており、
    前記複数の照明ビームの一つ一つの各瞳点は、前記複数の照明ビームの他の照明ビームの少なくとも1つに対応する瞳点を有し、それによって対応する瞳点の複数のセットを定義し、
    対応する瞳点の各セットの前記瞳点は、互いに対して空間的にコヒーレントである、メトロロジデバイス。
  2. 各瞳点は、同じ照明ビームの他の全ての瞳点に対して実質的に空間的にインコヒーレントである、請求項1に記載のメトロロジデバイス。
  3. 瞳点の各セットは、少なくとも検討される測定方向に対応する前記照明ビームについては、前記照明瞳内の瞳点の他の全てのセットの幾何学的な平行運動である、請求項1又は2に記載のメトロロジデバイス。
  4. インコヒーレントな放射の単一のビームから前記測定照明の複数の照明ビームを生成するためのオフアクシス照明ジェネレータを備える、請求項1から3の何れか一項に記載のメトロロジデバイス。
  5. 前記オフアクシス照明ジェネレータは、各測定方向につき少なくとも1つの位相格子又は2D位相格子を備える、請求項4に記載のメトロロジデバイス。
  6. 前記オフアクシス照明ジェネレータは、各照明ビーム内の様々な波長が共通の入射照明角度を有するように配置された、各測定方向につき少なくとも1ペアの位相格子又は2D位相格子と、少なくとも1ペアのレンズと、前記少なくとも1ペアのレンズの一方のレンズによって定義されるフーリエ平面内の少なくとも1ペアの光学くさびと、を備える、請求項5に記載のメトロロジデバイス。
  7. 前記オフアクシス照明ジェネレータは、第1の位相プロファイルを有する第1の位相格子と第2の位相プロファイルを有する第2の位相格子とを備えると共に組み合わせた位相プロファイルがチューニング可能な非対称を備えるように前記第2の位相格子が前記第1の位相格子に対して移動可能なように配置される少なくとも1ペアの位相格子を備える、請求項5又は6に記載のメトロロジデバイス。
  8. 前記オフアクシス照明ジェネレータは、前記インコヒーレントな放射の単一のビームから4つの同一の照明ビームを生成するように配置された複数のビームスプリッタ及びリフレクタコンポーネントを備え、それによって各照明ビーム内の様々な波長が共通の入射照明角度を有する、請求項4に記載のメトロロジデバイス。
  9. 各照明ビームは、前記照明瞳に位置しており、それによって、周期構造による前記測定照明の散乱の後、対応する高次回折次数が各照明ビームについて前記メトロロジデバイスの検出瞳でキャプチャされる、請求項1からの何れか一項に記載のメトロロジデバイス。
  10. 前記複数の照明ビームは、検討される測定方向毎に1ペアの照明ビームを備え、
    キャプチャされる前記対応する高次回折次数は、各方向について相補的な高次回折次数を備える、請求項に記載のメトロロジデバイス。
  11. 対応する瞳点の各セットの前記瞳点は、前記複数の照明ビームの全てについて、互いに対して空間的にコヒーレントである、請求項10に記載のメトロロジデバイス。
  12. 対応する瞳点の各セットの前記瞳点は、前記検討される測定方向のうち1つに対応する照明ビームの各ペアについてのみ、互いに対して空間的にコヒーレントである、請求項10に記載のメトロロジデバイス。
  13. 前記メトロロジデバイスは、散乱線のゼロ次が検出されないように暗視野構成で動作可能である、請求項9又は10に記載のメトロロジデバイス。
  14. 前記照明瞳及び/又は検出瞳にチューニング可能なフィルタを備え、
    前記チューニング可能なフィルタは、色、偏光、空間分布及び角度分布のうち1つ以上をチューニングするためのものである、請求項9から13の何れか一項に記載のメトロロジデバイス。
  15. 前記高次回折次数の干渉の結果もたらされる干渉パターンをイメージングするように動作可能なディテクタを備える、請求項9から14の何れか一項に記載のメトロロジデバイス。
  16. 前記メトロロジデバイスは、前記干渉パターンの位置から位置情報を決定するように動作可能である、請求項15に記載のメトロロジデバイス。
  17. 前記メトロロジデバイスは、前記干渉パターンの前記位置から固定基準に対する基板上の周期構造の位置を測定するためのアライメントセンサとして動作可能である、請求項16に記載のメトロロジデバイス。
  18. 各々が異なる周期構造に対応する2つの干渉パターンの相対位置からオーバーレイを測定するように動作可能なオーバーレイメトロロジデバイスとして動作可能である、請求項16又は17に記載のメトロロジデバイス。
  19. 前記測定照明は、複数の波長又は波長帯を備える、請求項15から18の何れか一項に記載のメトロロジデバイス。
  20. 前記複数の波長又は波長帯の各々に対応する各干渉パターンの別々の画像を得るように動作可能であり、前記別々の画像は前記ディテクタの同じ領域で連続的に得られるか、又は各画像が前記ディテクタの異なる領域でもしくは異なるディテクタで同時に得られる、請求項19に記載のメトロロジデバイス。
  21. 前記複数の波長又は波長帯の各々に対応する前記干渉パターンを前記ディテクタの前記同じ領域で同時にイメージングするように動作可能であると共に、各干渉パターンの配向の異なる角度に基づいて前記干渉パターンを分離することによって前記画像を処理するように動作可能である、請求項19に記載のメトロロジデバイス。
  22. 各周期構造について、前記干渉パターンの1つ以上の最適化された関心領域を決定するように動作可能である、請求項15から21の何れか一項に記載のメトロロジデバイス。
  23. 前記1つ以上の最適化された関心領域は、前記干渉パターンの画像の1つ以上の特徴及び/又は前記最適化された関心領域の関数としての測定されたもしくはモデル化された性能パラメータ値に基づいて決定される、請求項22に記載のメトロロジデバイス。
  24. 前記1つ以上の最適化された関心領域は、複数の関心領域を備え、
    各関心領域は、前記干渉パターンの画像の1つ以上の特徴及び/又は前記最適化された関心領域の関数としての測定されたもしくはモデル化された性能パラメータ値に基づいて対応する加重を備える、請求項22又は23に記載のメトロロジデバイス。
  25. 前記測定照明は、既知の偏光状態を備え、
    前記メトロロジデバイスは、偏光分離素子を備え、
    前記メトロロジデバイスは、偏光分解干渉パターンを別々にイメージングするように配置される、請求項15から24の何れか一項に記載のメトロロジデバイス。
  26. 様々な照明モードで動作可能であり、前記測定照明は複数の照明ビームのサブセットのみを備える、請求項15から25の何れか一項に記載のメトロロジデバイス。
  27. 前記照明モードは、1つのビームモード又は単一ビームモードを含み、
    前記サブセットは、前記照明モードのうち1つのみを備える、請求項26に記載のメトロロジデバイス。
  28. 前記単一ビームモードのうち1つ以上を用いて検出された散乱線の検出された強度に基づいて前記周期構造における非対称を決定するように動作可能である、請求項27に記載のメトロロジデバイス。
  29. 前記検出された強度は、前記単一ビームモードのうちの2つを用いて得られる対向する高次回折次数間で検出された強度差を備え、
    各単一ビームモードは、前記高次回折次数のうち1つに対応する、請求項28に記載のメトロロジデバイス。
  30. 前記干渉パターンにおける干渉縞コントラストの測定に基づいて前記周期構造における非対称を決定するように動作可能である、請求項15から29の何れか一項に記載のメトロロジデバイス。
  31. 前記干渉パターンにおける干渉縞の位置の測定に基づいて前記周期構造における非対称を決定するように動作可能である、請求項15から30の何れか一項に記載のメトロロジデバイス。
  32. 空間的にインコヒーレントな放射を模倣する放射を生成する偽空間的にインコヒーレントな放射源を備える、請求項1から31の何れか一項に記載のメトロロジデバイス。
  33. 前記偽空間的にインコヒーレントな放射源は、レーザ源と、マルチモード放射を作成するためのマルチモードジェネレータと、を備え、
    前記偽空間的にインコヒーレントな放射源は、空間的にインコヒーレントな放射源を模倣するべくマルチモード放射の様々な実現をアンサンブル平均するように動作可能である、請求項32に記載のメトロロジデバイス。
  34. 前記マルチモードジェネレータは、スペックルパターンを作成するための回転ディフューザを備える、請求項33に記載のメトロロジデバイス。
  35. 前記マルチモードジェネレータは、レーザからの単一モードレーザビームを様々な角度にわたってスキャンするためのゴニオメータを備える、請求項33に記載のメトロロジデバイス。
  36. 1つ以上のポンプパルスが音波を励起するように動作可能であり、前記音波が測定中の周期構造から反射されて表面変形及び/又は屈折率のばらつきをもたらすところ、前記測定照明の1つ以上のパルスの前に前記基板に前記1つ以上のポンプパルスを伝送するように、及び、
    前記測定照明によって前記表面変形を測定するように、
    ポンプ・プローブ照明モードで動作可能な、請求項1から35の何れか一項に記載のメトロロジデバイス。
  37. 請求項1から36の何れか一項に記載のメトロロジデバイスを備える、リソグラフィ装置。
JP2021512561A 2018-09-19 2019-08-27 位置計測用メトロロジセンサ Active JP7169435B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP18195488.4 2018-09-19
EP18195488 2018-09-19
EP19150245 2019-01-03
EP19150245.9 2019-01-03
PCT/EP2019/072762 WO2020057900A1 (en) 2018-09-19 2019-08-27 Metrology sensor for position metrology

Publications (2)

Publication Number Publication Date
JP2022500685A JP2022500685A (ja) 2022-01-04
JP7169435B2 true JP7169435B2 (ja) 2022-11-10

Family

ID=67734679

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021512561A Active JP7169435B2 (ja) 2018-09-19 2019-08-27 位置計測用メトロロジセンサ

Country Status (9)

Country Link
US (1) US11360399B2 (ja)
EP (1) EP3853666B1 (ja)
JP (1) JP7169435B2 (ja)
KR (1) KR102571918B1 (ja)
CN (2) CN118330995A (ja)
IL (1) IL281502B2 (ja)
NL (1) NL2023709A (ja)
TW (1) TWI717019B (ja)
WO (1) WO2020057900A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7261903B2 (ja) 2019-05-06 2023-04-20 エーエスエムエル ネザーランズ ビー.ブイ. 暗視野顕微鏡
KR20220065872A (ko) 2019-11-01 2022-05-20 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 리소그래피 장치
WO2021094041A1 (en) 2019-11-11 2021-05-20 Asml Netherlands B.V. Calibration method for a lithographic system
CN114846412A (zh) 2019-12-05 2022-08-02 Asml荷兰有限公司 对准方法和相关联的对准和光刻设备
WO2021122016A1 (en) 2019-12-16 2021-06-24 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
WO2021249711A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Metrology method, metrology apparatus and lithographic apparatus
CN115803685A (zh) 2020-06-24 2023-03-14 Asml荷兰有限公司 量测方法及相关联的量测和光刻设备
JP2023549656A (ja) * 2020-11-17 2023-11-29 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジシステム及びリソグラフィシステム
WO2022122565A1 (en) 2020-12-10 2022-06-16 Asml Holding N.V. Intensity order difference based metrology system, lithographic apparatus, and methods thereof
CN116491034A (zh) * 2020-12-10 2023-07-25 西默有限公司 具有增加的波长分离的多焦点成像
EP4016186A1 (en) 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
US11300524B1 (en) * 2021-01-06 2022-04-12 Kla Corporation Pupil-plane beam scanning for metrology
EP4030237A1 (en) 2021-01-19 2022-07-20 ASML Netherlands B.V. Metrology method and system and lithographic system
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths
KR20240016967A (ko) * 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 연관된 메트롤로지 툴
EP4187321A1 (en) * 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
KR20240036031A (ko) * 2021-07-23 2024-03-19 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 계측 디바이스
EP4124911A1 (en) * 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
WO2023012338A1 (en) 2021-08-06 2023-02-09 Asml Netherlands B.V. Metrology target, patterning device and metrology method
WO2023030832A1 (en) * 2021-08-30 2023-03-09 Asml Netherlands B.V. Metrology system, lithographic apparatus, and method
EP4155822A1 (en) 2021-09-28 2023-03-29 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4167031A1 (en) 2021-10-18 2023-04-19 ASML Netherlands B.V. Method of determining a measurement recipe in a metrology method
WO2023126174A1 (en) 2021-12-29 2023-07-06 Asml Netherlands B.V. Enhanced alignment for a photolithographic apparatus
WO2023147951A1 (en) * 2022-02-07 2023-08-10 Asml Netherlands B.V. Inspection apparatus, motorized apertures, and method background
US12032300B2 (en) * 2022-02-14 2024-07-09 Kla Corporation Imaging overlay with mutually coherent oblique illumination
JP2023142214A (ja) * 2022-03-24 2023-10-05 株式会社Screenホールディングス 光学装置、露光装置および露光方法
WO2024115041A1 (en) 2022-11-30 2024-06-06 Asml Netherlands B.V. Apparatus for and method of combined display of optical measurement information
WO2024141216A1 (en) 2022-12-28 2024-07-04 Asml Netherlands B.V. Lithographic apparatus and inspection system for measuring wafer deformation
CN117804329B (zh) * 2024-03-01 2024-05-31 鹏城实验室 相位干涉显微成像系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528584A (ja) 2012-08-16 2015-09-28 エーエスエムエル ネザーランズ ビー.ブイ. 微細構造の非対称性を測定する方法及び装置、位置測定方法、位置測定装置、リソグラフィ装置及びデバイス製造方法
JP2016502134A (ja) 2012-11-05 2016-01-21 エーエスエムエル ネザーランズ ビー.ブイ. ミクロ構造の非対称性を測定する方法および装置、位置測定方法、位置測定装置、リソグラフィ装置およびデバイス製造方法
JP2018517933A (ja) 2015-06-05 2018-07-05 エーエスエムエル ネザーランズ ビー.ブイ. アライメントシステム

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0547628A (ja) 1991-08-09 1993-02-26 Canon Inc 像投影方法及びそれを用いた半導体デバイスの製造方法
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
CN101165597B (zh) * 2007-10-11 2010-04-14 上海微电子装备有限公司 对准系统及使用该系统的光刻装置
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036476A1 (nl) 2008-02-01 2009-08-04 Asml Netherlands Bv Alignment mark and a method of aligning a substrate comprising such an alignment mark.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
DE102011082156A1 (de) * 2010-12-16 2012-06-21 Dr. Johannes Heidenhain Gmbh Optische Positionsmesseinrichtung
US9223227B2 (en) 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
KR101759608B1 (ko) 2012-05-29 2017-07-20 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 기판, 리소그래피 시스템 및 디바이스 제조 방법
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9091650B2 (en) * 2012-11-27 2015-07-28 Kla-Tencor Corporation Apodization for pupil imaging scatterometry
CN105143986B (zh) 2013-03-20 2017-04-26 Asml荷兰有限公司 用于测量微结构的非对称性的方法和设备、位置测量方法、位置测量设备、光刻设备和器件制造方法
JP6488298B2 (ja) * 2013-08-09 2019-03-20 ケーエルエー−テンカー コーポレイション 改善した検出感度のマルチスポット照明
JP6342486B2 (ja) 2013-10-09 2018-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 偏光非依存干渉計
CN106662824B (zh) * 2014-07-09 2018-07-24 Asml荷兰有限公司 检查装置、检查方法和设备制造方法
KR101994385B1 (ko) * 2014-12-19 2019-06-28 에이에스엠엘 네델란즈 비.브이. 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
KR102047429B1 (ko) * 2015-12-07 2019-11-21 에이에스엠엘 홀딩 엔.브이. 대물렌즈 시스템
CN108604065B (zh) 2015-12-23 2021-10-26 Asml荷兰有限公司 量测方法、目标和衬底
US10048132B2 (en) * 2016-07-28 2018-08-14 Kla-Tencor Corporation Simultaneous capturing of overlay signals from multiple targets
CN106872038B (zh) * 2017-03-10 2019-01-22 中国科学院西安光学精密机械研究所 一种高通量高稳定相干色散光谱成像装置
WO2018219639A1 (en) * 2017-06-02 2018-12-06 Asml Netherlands B.V. Metrology apparatus
JP7110407B2 (ja) * 2018-07-04 2022-08-01 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ測定のためのセンサ装置及び方法
JP7261903B2 (ja) * 2019-05-06 2023-04-20 エーエスエムエル ネザーランズ ビー.ブイ. 暗視野顕微鏡

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528584A (ja) 2012-08-16 2015-09-28 エーエスエムエル ネザーランズ ビー.ブイ. 微細構造の非対称性を測定する方法及び装置、位置測定方法、位置測定装置、リソグラフィ装置及びデバイス製造方法
JP2016502134A (ja) 2012-11-05 2016-01-21 エーエスエムエル ネザーランズ ビー.ブイ. ミクロ構造の非対称性を測定する方法および装置、位置測定方法、位置測定装置、リソグラフィ装置およびデバイス製造方法
JP2018517933A (ja) 2015-06-05 2018-07-05 エーエスエムエル ネザーランズ ビー.ブイ. アライメントシステム

Also Published As

Publication number Publication date
TWI717019B (zh) 2021-01-21
CN112740109B (zh) 2024-04-30
TW202028874A (zh) 2020-08-01
EP3853666A1 (en) 2021-07-28
WO2020057900A1 (en) 2020-03-26
CN112740109A (zh) 2021-04-30
IL281502B1 (en) 2023-07-01
NL2023709A (en) 2020-04-30
CN118330995A (zh) 2024-07-12
US20220035257A1 (en) 2022-02-03
EP3853666B1 (en) 2022-08-10
KR20210043661A (ko) 2021-04-21
IL281502A (en) 2021-04-29
KR102571918B1 (ko) 2023-08-28
US11360399B2 (en) 2022-06-14
IL281502B2 (en) 2023-11-01
JP2022500685A (ja) 2022-01-04

Similar Documents

Publication Publication Date Title
JP7169435B2 (ja) 位置計測用メトロロジセンサ
TWI578113B (zh) 度量衡方法及裝置、基板、微影系統及器件製造方法
KR102221714B1 (ko) 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 메트롤로지 장치, 리소그래피 시스템, 및 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 방법
JP2012169617A (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
JP7261903B2 (ja) 暗視野顕微鏡
US7969577B2 (en) Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
JP6275834B2 (ja) 検査装置及び方法、リソグラフィ装置、リソグラフィ処理セル並びにデバイス製造方法
KR20060050488A (ko) 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치
US11428925B2 (en) Position metrology apparatus and associated optical elements
TW201819889A (zh) 檢測基板之方法、度量衡設備及微影系統
KR102388682B1 (ko) 계측 방법 및 디바이스
TW202105079A (zh) 度量衡方法及相關的度量衡及微影設備
TW201826040A (zh) 量測系統、微影系統及量測目標的方法
TWI662375B (zh) 可撓式照明器
EP3715951A1 (en) Position metrology apparatus and associated optical elements
TW202416058A (zh) 度量衡中之焦距量測及控制及相關之楔形配置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210415

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221019

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221028

R150 Certificate of patent or registration of utility model

Ref document number: 7169435

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150