KR20040004401A - 실온 저압 마이크로- 및 나노- 임프린트 리소그래피용템플릿 - Google Patents

실온 저압 마이크로- 및 나노- 임프린트 리소그래피용템플릿 Download PDF

Info

Publication number
KR20040004401A
KR20040004401A KR10-2003-7005130A KR20037005130A KR20040004401A KR 20040004401 A KR20040004401 A KR 20040004401A KR 20037005130 A KR20037005130 A KR 20037005130A KR 20040004401 A KR20040004401 A KR 20040004401A
Authority
KR
South Korea
Prior art keywords
template
substrate
light
patterned
alignment mark
Prior art date
Application number
KR10-2003-7005130A
Other languages
English (en)
Other versions
KR101031528B1 (ko
Inventor
최병진
서리니바산에스.브이.
베일리토드
콜번매튜
윌슨씨.그랜트
에커트존
Original Assignee
보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 filed Critical 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템
Publication of KR20040004401A publication Critical patent/KR20040004401A/ko
Application granted granted Critical
Publication of KR101031528B1 publication Critical patent/KR101031528B1/ko

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/005Compensating volume or shape change during moulding, in general
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0053Moulding articles characterised by the shape of the surface, e.g. ribs, high polish
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Toxicology (AREA)
  • Oral & Maxillofacial Surgery (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)

Abstract

임프린트 리소그래피 템플릿, 상기 템플릿을 형성하고 사용하는 방법, 및 템플릿 홀더 디바이스가 설명되어 있다. 임프린트 리소그래피 템플릿은 몸체의 표면상에 다수의 오목부를 갖는 몸체를 포함할 수 있다. 몸체는 활성광에 실질적으로 투명인 재료일 수 있다. 상기 다수의 오목부의 적어도 일부는 약 250㎚보다 작은 피쳐 크기를 갖는 피쳐를 규정할 수 있다. 활성광에 실질적으로 투명인 재료를 얻고 또한 템플릿의 표면상에 다수의 오목부를 형성함으로써, 템플릿이 형성될 수 있다. 일부 실시예에서, 템플릿은 갭 센싱 영역을 더 포함할 수 있다. 임프린트 리소그래피 템플릿은 기판상에 배치된 광경화 액체에 임프린트된 층을 형성하기 위해 사용될 수 있다. 사용 동안, 템플릿은 템플릿 홀더내에 배치될 수 있다. 템플릿 홀더는 템플릿을 수용하도록 구성된 개구를 갖는 몸체, 지지 플레이트, 및 몸체에 연결된 적어도 하나의 압전 액츄에이터를 포함할 수 있다. 압전 액츄에이터는 사용동안 템플릿의 물리적 디멘젼을 변경하도록 구성될 수 있다.

Description

실온 저압 마이크로- 및 나노- 임프린트 리소그래피용 템플릿{TEMPLATE FOR ROOM TEMPERATURE, LOW PRESSURE MICRO- AND NANO- IMPRINT LITHOGRAPHY}
대부분의 마이크로전자 디바이스를 만들기 위해 광학 리소그래피 기술이 현재 사용된다. 그러나, 이들 방법은 분해능(resolution)에서 그들의 한계에 이르렀다고 생각되고 있다. 서브-마이크론(sub-micron) 스케일 리소그래피는 마이크로전자 산업에서 중요한 공정이었다. 서브-마이크론 스케일 리소그래피의 사용으로 인해 제조자들은 더 작고 칩상에 더 밀도있게 패키지된 전자소자에 대한 증가된 요구를 충족시켰다. 다가오는 수년내에, 마이크로전자 산업은 약 50㎚보다 더 작은 구조를 추구할 것이라고 예상되고 있다. 또한, 광-전자(opto-electronic) 및 마그네틱 저장매체 분야에서 나노미터 스케일 리소그래피에 대한 최근의 새로운 응용이 있다. 예를 들어, 1입방 인치당 테라바이트 차수의 포토닉 크리스탈(photonic cystal) 및 고밀도 패터닝된 마그네틱 메모리는 나노미터 스케일 리소그래피를 필요로 한다.
서브-50㎚ 구조를 만들기 위해, 광학 리소그래피 기술은 매우 짧은 파장의 빛(예컨대, 대략 13.2㎚)을 필요로 할 수 있다. 이런 짧은 파장에서, 많은 대부분의 재료들은 광학적으로 투명이 아닐 수 있고, 따라서 전형적으로 이미징 시스템은 복잡한 반사 광학을 이용하여 구성되어야 한다. 또한, 이러한 파장에서 충분한 출력강도를 갖는 광원이 어려울 수도 있다. 그러한 시스템은 엄청나게 고가인 매우 복잡한 장비와 공정을 초래할 수 있다. 고분해능 e-빔 리소그래피 기술은, 비록 매우 정확하지만, 고용량의 상업적 응용에는 너무 느리다고 생각된다.
임프린트 리소그래피 공정은, 그들의 표면상의 지형(topography)대로 이미지를 갖는 템플릿을 사용하여 기판상에 고분해능 (서브-50㎚) 이미지를 복제(replicate)할 수 있는 능력을 보여주었다. 임프린트 리소그래피는 마이크로전자 디바이스, 광학 디바이스, MEMS, 광-전자공학, 저장 응용을 위한 패터닝된 마그네틱 매체 등을 제조에 있어서 기판을 패터닝할 때 사용하는 광학 리소그래피에 대한 대안이 될 수 있다. 임프린트 리소그래피 기술은 마이크로 렌즈 및 T-게이트 구조와 같은 3차원 구조를 제작함에 있어서 광학 리소그래피보다 더 우수할 수 있다.
제품-스케일(production-scale) 임프린트 리소그래피에 대해, 패터닝된 영역을 후속하는 임프린트를 간섭하지 않고 가능한 서로 가깝게 위치시키는 것이 바람직하다. 이것은 기판상의 패턴가능한 부분을 효과적으로 극대화한다. 이 목적을 달성하기 위해, 패터닝된 부분으로부터 방출되는 임의의 과잉 유체의 위치가 잘 제한되고 반복가능해야 한다. 템플릿, 기판, 유체, 그리고, 표면에너지, 계면 에너지, 하마커(Hamacker) 상수, 반데어발스 힘, 점성도, 밀도, 불투명도 등을 포함하는 시스템의 물리적 특성에 영향을 줄 수 있는 다른 재료들을 포함하는 개별적인 구성성분은, 반복가능한 공정을 수용하기 위해 그 자체로서 적절히 설계되어야 한다. 따라서, 제품-스케일 임프린트 리소그래피를 용이하게 할 수 있는 소정 패터닝된 영역 외부의 과잉 유체의 확산을 제어하는 방법에 대한 요구가 존재한다.
본 발명은 임프린트 리소그래피 템플릿에 관한 것이고, 더욱 구체적으로, 마이크로- 및 나노- 임프린트 리소그래피 공정에 사용되는 임프린트 리소그래피 템플릿에 관한 것이다.
본 발명의 다른 목적 및 장점은 다음의 상세한 설명 및 첨부된 도면을 참조하여 명확해질 것이다.
도1A 및 1B는 템플릿 및 기판 사이의 갭을 나타내는 단면도,
도2A 내지 도2E는 임프린트 리소그래피 공정의 단면도,
도3은 임프린트 리소그래피 공정 단계의 순서를 나타내는 공정 흐름도,
도4는 패터닝된 템플릿의 저면도,
도5는 기판상에 위치한 템플릿의 단면도,
도6은 다중 깊이를 갖는 템플릿을 만드는 공정의 단면도,
도7은 임프린트 리소그래피 템플릿을 형성하는 공정의 단면도,
도8은 패터닝된 템플릿의 단면도,
도9는 대안적인 패터닝된 템플릿 설계의 단면도,
도10은 경화 유체를 기판상에 도포하기 위한 공정의 상면도,
도11은 임프린트 리소그래피 공정동안 유체를 분배(dispense)하는 장치의 개략도,
도12는 임프린트 리소그래피 공정에 사용되는 바람직하지 않은 유체 분배 패턴을 나타내는 도면,
도13은 갭을 메운 후 공기 거품을 트랩하지 않을 수 있는 복수의 방울을 포함하는 유체 패턴을 나타내는 도면,
도14는 임프린트 리소그래피 공정동안 유체를 분배하는 대안적인 장치의 개략도,
도15는 복수의 실질적으로 평행한 라인을 포함하는 유체 패턴을 나타내는 도면,
도16은 기판 지지 시스템의 투영도,
도17은 대안적인 기판 지지 시스템의 투영도,
도18은 휨 조인트에 의해 연결되고 X 방향으로 이동하는 블록에 결합된 3-링크 체인의 개략도,
도19는 휨 조인트에 의해 연결되고 X 방향으로 이동하는 블록에 결합된 대안적인 3-링크 체인의 개략도,
도20은 마그네틱 선형 서보 모터의 투영도,
도21은 다중 임프린트의 전체 공정의 공정 흐름도,
도22는 다중 임프린트의 필드-투-필드(field-to-field) 정렬 공정의 공정 흐름도,
도23은 기판에 대한 템플릿의 회전축의 투영도,
도24는 템플릿과 기판위에 위치한 측정 디바이스를 도시하는 도면,
도25는 템플릿과 기판위에 위치한 광학 정렬 측정 디바이스의 개략도,
도26은 정렬 마크를 사용하여 기판에 대한 템플릿의 정렬을 결정하는 개략도,
도27은 편광필터를 이용하는 정렬 마크를 사용하여 기판에 대한 템플릿의 정렬을 결정하는 개략도,
도28은 용량성 템플릿 배치측정 디바이스의 개략도,
도29는 레이저 간섭계-기반 템플릿 배치측정 디바이스의 개략도,
도30은 템플릿과 기판 사이의 갭이 유체에 의해 부분적으로 채워질 때 이 갭을 갖는 정렬을 결정하는 개략도,
도31은 복수의 에칭된 라인을 포함하는 정렬 마크를 도시하는 도면,
도32는 방향설정 스테이지의 투영도,
도33은 방향설정 스테이지의 분해도,
도34는 갭 측정기술의 공정 흐름도,
도35는 물질 사이의 갭을 결정하는 기술의 단면도,
도36은 갭의 국부 최소 및 최대를 결정하는 그래픽 표현,
도37은 갭 측정 오목부를 갖는 템플릿을 나타내는 도면,
도38은 템플릿과 분광계 사이의 갭을 측정하는 분광계를 이용하는 개략도,
도39는 프로브를 사용하여 템플릿과 기판 사이의 갭을 프로브하는 개략도,
도40은 선행-존재하는 지형을 포함하는 임프린트 리소그래피 공정의 단면도,
도41은 템플릿과 기판 사이의 쐐기의 존재를 판단하기 위해 템플릿을 조명하기 위한 공정의 개략도,
도42는 휨 부재의 투영도,
도43은 사용을 위해 조립된 제1 및 제2 휨 부재를 나타내는 도면,
도44는 방향설정 스테이지의 바닥을 나타내는 투영도,
도45는 템플릿을 유지하는 휨 암(arm)의 개략도,
도46은 한 쌍의 휨 암 및 관련된 정밀 액츄에이터의 단면도,
도47은 진공 처크(chuck)를 형성하기 위한 개략도,
도48은 기판을 유지하기 위한 진공 처크를 나타내는 도면,
도49는 경화후 기판으로부터 템플릿을 제거하는 것을 나타내는 개략도,
도50은 경화후 기판으로부터 템플릿을 제거하는 대안적인 방법의 단면을 나타내는 도면,
도51은 템플릿 지지 시스템의 개략도, 및
도52는 템플릿과 기판 사이의 갭을 나타내는 측면도.
본 발명의 다양한 변형과 대안적인 형태가 가능하지만, 이하에서는 예시적으로 특정 실시예가 도시되고 설명될 것이다. 그러나 도면 및 상세한 설명이 본 발명을 개시된 특정 형태로 제한하는 것이 아니라, 그와 반대로, 본 발명은 첨부된 청구범위에 정의된 본 발명의 정신과 범위 내에 속하는 모든 변형, 등가물, 및 대안들을 포함하고 있음을 이해하여야 한다.
여기에 기술된 실시예는 임프린트 리소그래피 템플릿, 임프린트 리소그래피 템플릿을 형성하고 사용하는 방법, 및 템플릿 홀더를 포함한다.
일 실시예에서, 임프린트 리소그래피 템플릿은 실질적으로 활성광(예를 들어, 자외선광)에 투명하다. 그러한 템플릿은 제1 표면을 갖는 몸체를 포함한다. 템플릿은 제 1 표면에 복수의 오목부를 더 포함한다. 다양한 실시예에서, 제1 표면은 실질적으로 평면, 포물선형, 또는 구형일 수 잇다. 오목부의 적어도 일부는 250㎚ 이하의 피쳐 크기를 가진다. 어떤 실시예에서, 템플릿은 상기 몸체에 적어도 하나의 정렬 마크를 더 포함한다. 어떤 실시예어서, 템플릿은 갭 센싱 영역을 더 포함한다.
다양한 실시예에서, 몸체는 전체적으로 또는 부분적으로 실리콘, 이산화 실리콘, 실리콘 게르마늄 카본, 갈륨 질화물, 실리콘 게르마늄, 사파이어, 갈륨 비소, 에픽텍셜 실리콘, 폴리실리콘, 게이트 산화물, 석영, 인듐 주석 산화물 또는 이들의 조합으로 형성된다. 어떤 실시예에서, 몸체의 적어도 일부는 SiOx로 형성되고, 여기서, X는 2이하이다. 예를 들어 X는 약 1.5이다.
일 실시예에서, 제1 표면의 복수의 오목부는 제1 깊이를 가지는 제1 오목부와 제2 깊이를 가지를 제2 오목부를 포함한다. 제2 깊이는 제1 깊이보다 깊다. 예를 들어, 제1 깊이는 250㎚ 이하이다. 제1 표면의 복수의 오목부에 부가하여, 템플릿은 제1 표면의 대향하는 제2 표면상에 적어도 하나의 오목부를 포함한다. 일 실시예에서, 오목부의 적어도 일부는 제1 표면에 수직방향에서 변화하는 폭을 가진다. 그런 오목부는 임프린트 리소그래피 프로세스에서 템플릿에 사용되는 광경화 액체의 재료 특성에서의 변화를 수용하도록 구성된다. 예를 들어, 광경화 액체는 경화시에 수축하거나 팽창할 수 있다.
일 실시예에서, 템플릿은 몸체의 일부에 형성된 초과 유체 양각(relief) 구조를 포함한다. 예를 들어 그런 구조는 템플릿의 커프(Kerf) 부분에 형성된다.
몇몇 실시예에서, 템플릿의 제1 표면의 적어도 일부는 25℃에서 측정한 40dyne/㎝ 이하의 표면 자유 에너지를 가진다. 몇몇 실시예에서는 템플릿의 제1 표면의 일부는 25℃에서 측정한 20dyne/㎝ 이하의 표면 자유 에너지를 가진다. 예를 들어 제1 표면의 적어도 일부는 표면 처리층을 가진다. 표면 처리층은 물과 알킬실란, 플루오로알킬실란, 또는 플루오로알킬트리콜로실란의 반응 생성물을 포함한다. 예를 들어, 표면 처리층은 물과 트리디카플루오로-1,1,2,2-테트라하이드록틸트리콜로실란의 반응 생성물을 포함한다. 표면처리층은 25℃에서 측정한 제1표면의 표면 자유 에너지를 40dyne/㎝ 이하, 어떤 경우에는 20dyne/㎝ 이하로 감소할 수 있다.
몇몇의 실시예에서, 템플릿상의 정렬 마크는 실질적으로 활성광에 투명하다. 정렬 마크는 실질적으로 분석광에 불투명할 수 있다. 그런 실시예에서, 분석광은 가시광선이나 적외선을 포함한다. 정렬 마크는 몸체 재료와는 다른 재료로 형성될 수 있다. 예를 들어 정렬 마크는 SiOx를 포함하는데, 여기서 x는 2이하이다. 예를 들어 x는 약 1.5이다. 대안적으로, 정렬 마크는 몸체의 표면에 에칭된 복수의 라인을 포함할 수 있다. 그 라인은 활성광을 실질적으로 분산하도록 구성되고, 그러나 분석광하에서 분석가능한 마크를 생산한다.
몇몇 실시예에서, 템플릿은 500㎚이하의 평면성(planarity)을 가진다. 이러한 실시예의 일부에서, 템플릿은 250㎚이하의 평면성을 가진다.
몇몇 실시예에서, 템플릿은 몸체의 적어도 에지상에 도전 코팅 또는 반사 코팅을 포함한다. 다른 실시예에서, 템플릿은 몸체의 적어도 에지에 결합된 미러를 포함한다.
일 실시예에서, 템플릿은 몸체에 결합된 템플릿 블랭크를 포함한다.예를 들어, 몸체는 접합제를 사용하여 템플릿 블랭크에 접합된다. 템플릿 블랭크 및 접합제는 실질적으로 활성광에 투명하다. 몇몇 실시예에서, 갭 센싱 영역은 공지의 깊이를 가지는 적어도 하나의 오목부를 포함한다. 갭 센싱 영역은 제1 표면에 있거나 제2 표면에 있다. 일 실시예에서, 갭 센싱 영역은 약 100㎚보다 큰 깊이를 가진다.
일 실시예에서, 임프린트 리소그래피 템플릿은, 상술한 바와 같이, 활성광에실질적으로 투명한 재료를 획득하고 재료의 제1 표면상에 복수의 오목부를 형성함으로써 형성된다. 템플릿을 형성하는 방법은 상기 재료상에 적어도 하나의 정렬 마크를 형성하는 것을 더 포함한다. 복수의 오목부는 재료를 에칭하는 것으로써 형성된다. 복수의 오목부는 이것에 한정되지는 않지만, 광학 리소그래피, 전자빔 리소그래피, 이온빔 리소그래피, x-레이 리소그래피, 극자외선 리소그래피, 스캐닝 프로브 리소그래피, 포커스 이온빔 밀링(milling), 간섭계 리소그래피, 에픽텍셜 성장, 박막 증착, 화학 에칭, 플라즈마 에칭, 이온 밀링 또는 반응 이온 에칭을 포함하는 프로세스를 사용하여 형성될 수 있다. 유사하게, 정렬 마크는 이것에 한정되지는 않지만 광학 리소그래피, 전자빔 리소그래피, 이온빔 리소그래피, x-레이 리소그래피, 극자외선 리소그래피, 스캐닝 프로브 리소그래피, 포커스 이온빔 밀링, 간섭계 리소그래피, 에픽텍셜 성장, 박막 증착, 화학 에칭, 플라즈마 에칭, 이온 밀링 또는 반응 이온 에칭을 포함하는 프로세스를 사용하여 형성될 수 있다. 예를 들어, 몇몇의 실시예에서, 정렬 마크는 템플릿상에 형성된 복수의 라인을 포함한다. 다른 실시예에서, 정렬 마크는 템플릿을 형성하는 데 사용된 재료상에 제2 재료를 증착함으로써 형성된다.
상술한 표면처리는 템플릿의 제1 표면의 적어도 일부에 적용될 수 있다. 몇몇의 실시예에서, 표면 처리층은 기상 반응 프로세스를 사용하여 형성된다. 예를 들어, 재료는 반응 챔버내에 배치된다. 반응 챔버는 정화된다. 적어도 하나의 반응 화학물이 반응 챔버내로 주어진다. 적어도 하나의 반응 화학물이 제1 표면의 적어도 일부상에 표면 처리층을 형성하기 위해 물과 반응한다고 생각된다. 그러나, 반응 화학물은 제1 표면에 존재하는 다른 화학물과 또는 그 자체로 표면 처리층을 형성하기 위해 직접 템플릿의 표면과 반응할 수 있다.
몇몇 실시예에서, 상기 방법은 재료의 적어도 하나의 에지에 전도성 코팅 또는 반사 코팅을 적용하는 것을 또한 포함한다. 다른 실시예에서, 상기 방법은 재료의 적어도 하나의 에지에 미러를 결합하는 것을 포함한다.
기판상에 패턴을 형성하기 위해, 템플릿은 템플릿 홀더내에 배치된다. 템플릿 홀더는 몸체, 지지 플레이트, 및 적어도 하나의 압전 액츄에이터를 포함한다. 몸체는 임프린트 리소그래피 템플릿을 수용하도록 구성된 개구(opening)를 가진다. 몸체는 임프린트 리소그래피 시스템의 템플릿 지지부에 부착되도록 구성되어 진다. 지지 플레이트는 몸체에 결합되고, 활성광에 실질적으로 투명하다. 지지 플레이트는 몸체의 개구에 적어도 한 방향으로 걸쳐있다. 지지 플레이트는 이것에 한정되지는 않지만 석영, 사파이어, SiO2를 포함하는 재료로 형성된다. 지지 플레이트는 임프린트 리소그래피 공정에 존재하는 힘에 의해 템플릿 홀더내에 배치된 템플릿의 변형을 방지하도록 구성된다.
적어도 하나의 압전 액츄에이터가 몸체와 결합될 수 있고, 사용하는 동안 임프린트 리소그래피 템플릿의 물리적 치수를 변경하도록 구성될 수 있다. 예컨대, 압전 액츄에이터는 압축력 또는 신장력을 개구 내에 배치된 템플릿에 가하도록 구성될 수 있다. 지지판 및/또는 몸체는 진공을 개구내에 배치된 템플릿 및/또는 지지판과 몸체의 경계면에 가하도록 구성되어 있는 적어도 하나의 진공 개구를 포함할 수 있다. 부가적으로, 미러 또는 반사 코팅이 개구 내측과 면하고 있는 몸체의 표면에 가해질 수 있다.
상술된 바와 같이, 임프린트 리소그래피 템플릿이 패터닝된 템플릿을 사용하여 기판상에 패턴을 형성하는 방법에서 사용될 수 있다. 일반적으로, 패턴을 기판상에 형성하는 방법은 광경화 액체(예컨대, 포토레지스트 재료)를 기판에 도포함으로써 달성될 수 있다. 임프린트 리소그래피 템플릿은 광경화 액체가 도포되는 기판의 일부 위에 위치되어진다. 템플릿과 기판의 상대 위치는 패터닝된 템플릿과 기판사이에 갭이 생성될 수 있도록 조절될 수 있다. 활성광은 템플릿을 통하여 액체에 가해질 수 있다. 실질적으로 활성광을 가하여 액체를 경화시킨다. 따라서, 템플릿의 패턴은 경화된 액체내에 형성된다. 그 다음, 템플릿은 경화된 액체로부터 분리될 수 있다.
상기 방법은 패터닝된 템플릿과 기판사이의 정렬을 결정하는 단계를 더 포함한다. 그러한 경우에, 기판은 기판 정렬 마크를 포함할 수 있다. 템플릿 정렬 마크와 기판 정렬 마크는 대칭적인 기하학적 모양이다. 정렬 마크의 정렬을 결정하는 단계는 기판 정렬 마크와 템플릿 정렬 마크의 중심을 결정하는 단계를 포함할 수 있다. 정렬 마크의 중심의 위치는 정렬 마크의 정렬 여부를 결정하기 위하여 비교될 수 있다.
제 1 실시예에서, 패터닝된 템플릿과 기판사이의 정렬은 패터닝된 템플릿을 통하여 제1 파장의 광을 가함으로써 결정될 수 있다. 제1 파장의 광은 기판 정렬 마크가 촛점 맞춰지게 하고, 템플릿 정렬 마크가 분석 툴에 대하여 촛점 빗나가게할 수 있다. 그 다음, 제2 파장의 광이 패터닝된 템플릿을 통하여 가해질 수 있다. 제2 파장의 광은 템플릿 정렬 마크가 촛점 맞춰지게 하고, 기판 정렬 마크가 분석 툴에 대하여 촛점 빗나가게 할 수 있다. 제 2 실시예에서, 패터닝된 템플릿과 기판사이의 정렬은 편광 정렬 툴을 사용함으로써 결정될 수 있다. 편광 필터 시스템은 편광 정렬 툴과 템플릿 사이에 위치될 수 있다. 편광 필터 시스템은 기판 정렬 마크위에 실질적으로 방향설정된 제1 편광 필터와 템플릿 정렬 마크위에 실질적으로 방향설정된 제2 편광 필터를 포함할 수 있다. 제1 편광 필터를 통과할 수 있는 편광은 제2 편광 필터를 통과할 수 있는 편광과 실질적으로 다르다. 제 3 실시예에서, 정렬을 결정하는 단계는 모이레(Moire) 패턴 검출기를 사용하여 수행될 수 있다. 제 4 실시예에서, 템플릿과 기판사이의 정렬을 결정하는 단계는 분석광을 템플릿에 가하는 단계를 포함할 수 있다. 템플릿은 적어도 두개의 재료, 제1 재료 및 제2 재료를 포함할 수 있다. 정렬 마크는 제2 재료로 형성될 수 있다. 제1 및 제2 재료는 액체를 경화하기 위하여 사용되는 활성광에 실질적으로 투과된다. 그러나, 제2 재료는 분석광이 템플릿에 가해질 때 상당한 콘트라스트(contrast)를 가진 분석가능 마크를 생성할 수 있다. 제 5 실시예에서, 템플릿 정렬 마크는 분석광에 대하여 회절격자로서 기능하는 복수의 에칭 라인을 포함할 수 있다. 패터닝된 템플릿과 기판사이의 정렬을 결정하는 방법은 분석광을 패터닝된 기판에 가하는 단계를 포함할 수 있다. 템플릿 정렬 마크는 활성광에 실질적으로 투과될 수 있지만, 분석광이 템플릿에 가해질 때 분석가능 마크를 생성할 수 있다.
패터닝된 템플릿을 사용하여 패턴을 기판상에 형성하는 방법은 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함할 수 있다. 오버레이 배치를 조정하는 단계는 템플릿 정렬 마크가 기판 정렬 마크와 실질적으로 정렬되도록 기판을 이동시키는 단계를 포함한다. 예컨대, 오버레이 배치를 조정하는 단계는 기판에 대하여 패터닝된 템플릿의 각을 변경시키는 단계 또는 패터닝된 기판의 치수를 변경시키는 단계를 포함할 수 있다. 템플릿의 치수는 템플릿의 온도를 변경시키거나 압축력 내지 신장력을 템플릿에 가함으로써 변경될 수 있다. 예컨대, 적어도 하나의 압전 액츄에이터가 패터닝된 템플릿에 결합될 수 있다. 적어도 하나의 압전 액츄에이터는 힘을 템플릿에 가함으로써 패터닝된 템플릿의 치수를 변경할 수 있다.
활성광 경화가능 액체는 유체 디스펜서에 의해 기판의 일부에 가해질 수 있다. 액체는 기판을 유체 디스펜서에 대하여 이동시킴으로써 소정의 패턴을 생성하도록 분배될 수 있다. 소정의 패턴은 템플릿이 액체와 접촉할 때 액체내에 거품이 형성되는 것을 억제하도록 구성될 수 있다. 소정의 패턴은 액체가 템플릿의 표면적과 실질적으로 동일한 영역에서 갭을 채우도록 또한 선택될 수 있다.
실시예에서, 패터닝된 템플릿과 기판을 일정 간격으로 위치시키는 단계는 패터닝된 템플릿을 기판에 걸쳐 위치시키는 단계 및 그 패터닝된 템플릿을 기판쪽으로 요구되는 간격이 성취될 때까지 이동시키는 단계를 포함할 수 있다. 패터닝된 템플릿이 기판을 향하여 이동함에 따라 기판상의 액체는 갭을 실질적으로 채우게 된다. 그 간격은 약 200nm 이하의 거리일 수 있다. 일부 실시예에서, 패터닝된템플릿과 기판은 실질적으로 평행 방향으로 위치될 수 있다. 다른 실시예에서, 템플릿은 실질적으로 평행이 아닌 방향으로 기판에 걸쳐 위치될 수 있다. 템플릿이 기판에 대하여 실질적으로 평행이 아닌 방향으로 놓여져 있는 동안 템플릿은 기판쪽으로 이동될 수 있다. 그 다음, 템플릿이 기판에 대하여 요구되는 간격으로 존재할 때, 템플릿은 기판과 실질적으로 평행한 방향으로 방향설정될 수 있다.
실시예에서, 경화된 액체로부터 패터닝된 템플릿을 분리시키는 단계는 템플릿을 실질적으로 평행이 아닌 방향으로 이동시키는 단계와 템플릿을 기판으로부터 이동시켜 떼어내는 단계를 포함할 수 있다. 패터닝된 템플릿을 경화 액체로부터 분리시킨 후, 경화된 액체는 그 크기가 약 250 nm 이하인 약간의 피쳐를 포함할 수 있다.
패터닝된 템플릿을 사용하여 패턴을 기판상에 형성하는 방법은 또한 패터닝된 템플릿과 기판사이의 거리를 측정하는 단계를 포함할 수 있다. 광-기반 측정 디바이스는 이러한 목적으로 사용될 수 있다. 상기 방법은 광을 템플릿과 기판에 가하는 단계를 포함할 수 있다. 광은 복수의 파장을 포함할 수 있다. 템플릿과 기판의 표면으로부터 반사된 광은 모니터링될 수 있다. 템플릿과 기판사이의 거리는 그 모니터링된 광에 기초하여 측정될 수 있다. 게다가, 에러 신호가 발생될 수 있다. 에러 신호는 템플릿과 기판사이에서 요구되는 거리 및 템플릿과 기판 사이에서 결정된 거리 사이의 차이와 일치한다. 부가적으로, 3개 이상의 비-동일선상(non-collinear) 위치에서 템플릿과 기판사이의 거리를 측정하는 것이 템플릿과 기판이 실질적으로 평행한지 여부를 측정하기 위하여 사용될 수 있다.이러한 측정은 또한 실질적으로 평행한 구성을 가지도록 요구되는 템플릿과 기판사이의 상대 이동에 대응하는 에러 신호를 발생하기 위하여 사용될 수 있다.
기판은 유전체 재료, 실리콘, 갈륨, 게르마늄, 인듐, 수정, 사파이어, 이산화 실리콘, 또는 폴리실리콘을 포함하지만, 이에 제한되는 것이 아니다. 기판은 기판의 표면상에 하나 이상의 층을 포함할 수 있다. 그러한 경우에, 상기 방법은 기판의 표면에서 적어도 하나의 층 두께를 결정하는 단계를 더 포함할 수 있다. 기판은 또한 기판의 표면상에 형성된 전사층을 포함할 수 있다. 그러한 경우에, 그러한 방법은 템플릿을 경화 액체로부터 분리한 후에 전사층을 에칭하는 단계를 더 포함할 수 있다. 전사층을 에칭하는 단계가 패턴을 전사층에 부여할 수 있다.
템플릿과 상술된 방법은 예컨대 반도체 디바이스, 광 디바이스, 포토닉 디바이스, 마그네틱 저장 디바이스 또는 박막 헤드, 디스플레이 디바이스 등을 형성하기 위하여 사용될 수 있다.
본 명세서에 개시된 실시예는 일반적으로 소규모 디바이스를 제조하는 관련 공정, 시스템, 및 디바이스에 관련된다. 더 구체적으로, 본 명세서에 개시된 실시예는 임프린트 리소그래피의 관련 공정, 시스템, 및 디바이스에 관한 것이다. 예를 들면, 이러한 실시예들은 반도체 웨이퍼와 같은 기판상에 매우 작은 피쳐(feature)를 임프린트하는 응용을 가질 수 있다. 이들 실시예들은 또한 예컨대 비용효과적인 마이크로 전자기계시스템(즉, MEMS)의 제조와 같은 다른 작업에의 응용을 가질 수 있다. 실시예들은 또한 데이터 저장을 위한 패터닝된 자기매체, 마이크로-광학 디바이스, 생화학 디바이스, X-레이 광학 디바이스 등을 포함하는 다른 종류의 디바이스 제조에 응용될 수 있고, 이것들에 한정되지 않는다.
도면, 특히 도1A 및 도1B를 참조하면, 요구되는 피쳐가 임프린트 리소그래피를 사용하여 그 위에 임프린트되는 기판(20)에 대해 미리 배치된 템플릿(12)의 배열이 도시되어 있다. 특히, 템플릿(12)은, 기판(20)으로 전사될 수 있는 소정 피쳐의 형상을 갖도록 제작된 표면(14)을 포함할 수 있다. 몇몇 실시예에서, 전사층(18)이 기판(20)과 템플릿(12) 사이에 위치할 수 있다. 전사층(18)은 임프린트된 층(16)을 통해 템플릿(12)으로부터 요구되는 피쳐를 받는다. 당업계에 공지된 바와 같이, 전사층(18)은 낮은 애스펙트비의 임프린트된 피쳐로부터 높은 애스펙트비 구조(또는 피쳐)를 얻을 수 있도록 한다.
임프린트 리소그래피를 위해, 템플릿(12)과 기판(20)을 가능한 서로 가깝고 거의 평행으로 유지시키는 것이 중요하다. 예를 들면, 약 100㎚ 폭과 약 100㎚ 깊이의 피쳐를 위해서는, 기판(20)의 임프린팅 영역에 걸쳐서 약 50㎚ 이내의 변화를 갖는 약 200㎚ 이하의 평균 갭을 가지는 것이, 성공적인 임프린트 리소그래피 공정에 요구된다. 본 명세서에 개시된 실시예들은 주어진 엄격하고 정확한 갭 요건 하에서의 성공적인 임프린트 리소그래피를 위해 템플릿(12)과 기판(20) 사이의 간격을 제어하는 방법을 제공한다.
도1A 및 도1B는 임프린트 리소그래피에서 만날 수 있는 두 가지 형태의 문제를 도시한다. 도1A에서, 쐐기모양의 임프린트된 층(16)은 템플릿(12)이 임프린트된 층(16)의 한쪽 끝단에서 기판(20)에 더 가깝기 때문에 발생한다. 도1A는 패턴 전사 동안 템플릿(12)과 기판(20)을 실질적으로 평행하게 유지하는 것의 중요성을 보여준다. 도1B는 임프린트된 층(16)이 너무 두꺼운 것을 나타낸다. 이들 두가지 상태는 매우 바람직하지 않은 것이다. 본 명세서에 개시된 실시예는 선행기술의 리소그래피 기술에 관련된 문제뿐만 아니라 도1A 및 도1B에 도시된 상태를 제거할 수 있는 관련 디바이스, 시스템, 및 공정을 제공한다.
도2A 및 도2E는 임프린트 리소그래피 공정의 실시예를 나타내고, 일반적으로 30으로 표기한다. 도2A에서 갭(31)이 템플릿(12)과 기판(20)을 분리하면서 공간에형성되도록, 템플릿(12)이 공간적 관계에서 기판(20)을 향하도록 위치할 수 있다. 템플릿(12)의 표면(14)은, 템플릿 표면 에너지를 낮추고 기판(20)으로부터 템플릿(12)의 분리를 도와주는 얇은 층(13)으로 처리될 수 있다. 템플릿(12)과 기판(20) 사이의 갭(31)을 제어하는 디바이스 및 방향설정(orientation)의 방법은 이하에서 설명된다. 다음, 갭(31)은 처리된 표면(14)의 모양과 일치하는 물질(40)로 채워질 수 있다. 대안적으로, 일 실시예에서, 템플릿(12)을 기판(20)에 대한 소정 위치로 이동시키기 전에 물질(40)이 기판(20)상에 분배될 수 있다.
물질(40)은 도1A 및 도1B에 도시된 임프린트된 층(16)과 같은 임프린트된 층을 형성할 수 있다. 바람직하게, 고온을 사용하지 않고서도 갭(31)의 공간이 보다 쉽고 신속하게 채워질 수 있도록 물질(40)이 액체일 수 있고, 갭은 고압의 필요없이 메워질 수 있다. 물질(40)의 적절한 선택에 대해서는 이하에서 더욱 상세히 설명한다.
경화제(32)가 템플릿(12)에 가해짐으로써 물질(40)이 굳어져서 갭(31)에 의해 정의된 공간의 형상을 가지게 한다. 이 방법에 의해, 요구되는 피쳐(44)(도2D)가 템플릿(12)으로부터 기판(20)의 상면으로 전사된다. 전사층(18)은 기판(20)의 상면위에 직접 제공될 수 있다. 높은 애스펙트비 피쳐를 만들기 위해, 전사층(18)이 템플릿(12)으로부터 전사된 피쳐의 확대를 용이하게 할 수도 있다.
도2D에 도시된 바와 같이, 템플릿(12)은 소정 피쳐(44)를 남기고 기판(20)으로부터 제거된다. 템플릿(12)의 기판(20)으로부터의 분리는, 소정 피쳐(44)가 기판(20)의 표면으로부터 떨어지거나 변형되는 일없이 손상되지 않고 남겨지도록 행해져야 한다. 본 명세서에 개시된 실시예는 임프린팅 이후에 기판(20)으로부터 템플릿(12)을 벗겨서 잡아당기는 것(이하, "필링-풀링"(peel-and-pull) 방법이라 함)과 관련된 시스템 및 방법을 제공하여, 소정 피쳐(44)를 손상시키지 않고 남긴다.
마지막으로, 도2E에서, 템플릿(12)으로부터 물질(40)로 전사된 피쳐(44)는, 이중층(bilayer) 레지스트 공정에서 공지된 것처럼, 전사층(18)의 작용에 의해 수직 크기가 확대될 수 있다. 결과적인 구조는 공지된 기술을 사용하는 제조공정을 완료하기 위해 계속 처리될 수 있다. 도3은, 50으로 표기되어 있듯이, 임프린트 리소그래피 공정의 실시예를 흐름도로 요약하였다. 처음에, 단계(52)에서, 템플릿과 기판의 거친(coarse) 방향설정이 수행되어 템플릿과 기판의 대강의 정렬이 얻어진다. 단계(52)에서의 거친 방향설정의 장점은, 많은 디바이스들이 제조될 환경을 효과적이고 높은 생산율로 제조하는데 있어서 선행-교정(pre-calibration)을 가능하게 한다는 것이다. 예를 들면, 기판이 반도체 웨이퍼상의 많은 다이(die)중 하나를 포함하고 있을 때, 거친 정렬(단계52)이 첫번째 다이에 대해 한번 수행되고 그리고 단일 생산 실행동안 다른 모든 다이에 적용된다. 이러한 방법으로, 생산 사이클 시간이 감소될 수 있고 생산량이 증가될 수 있다.
단계(54)에서, 물질이 기판상에 분배될 수 있다. 물질은 활성화 빛에 노출되면 고체가 될 수 있는 경화 유기실리콘 용해 또는 다른 유기 액체가 될 수 있다. 액체가 사용된다는 사실은, 종래기술의 리소그래피 기술에 관련되었던 고온 및 고압의 필요성을 제거할 수 있다. 다음, 단계(56)에서, 템플릿과 기판 사이의 간격을 제어함으로써, 성공적인 임프린팅에 요구되는 정확한 방향설정을 가능하게 하면서 상대적으로 균일한 갭이 두 층 사이에 생성될 수 있다. 본 명세서에 개시된 실시예는 단계(56)에서 요구되는 (거친 및 미세(fine) 모두의) 방향설정을 달성하는 디바이스 및 시스템을 제공한다.
단계(58)에서, 갭이 기판과 물질에 대한 템플릿의 미세 수직운동으로 메워질 수 있다. 물질은 경화되어(단계59), 결국에는 물질이 템플릿의 피쳐를 갖는 형태로 굳어진다. 그 다음, 단계(60)에서, 템플릿이 기판으로부터 분리되어, 템플릿으로부터의 피쳐가 기판상에 임프린트되거나 또는 전사된다. 마지막으로, 단계(62)에서, 잔여 물질을 제거하기 위한 예비 에칭 및 전사층을 에칭하기 위한 공지된 산소 에칭기술을 사용하여, 구조가 에칭될 수 있다.
다양한 실시예에서, 템플릿은, ⅰ) 템플릿 표면의 평면에서, ⅱ) 템플릿의 오목부에서, ⅲ) 템플릿으로부터의 돌출부에서, 또는 ⅳ) 이들의 조합에서, 패터닝되지 않은 영역과 결합할 수 있다. 템플릿은 딱딱하게 될 수 있는 돌출부를 갖도록 제조될 수 있다. 이러한 돌출부는 회절격자, 홀로그램 등과 같은 광학 디바이스 및 입자 공차에 유용한 균일 스페이서 층을 제공할 수 있다. 대안적으로, 템플릿이 압축가능한 돌출부를 갖도록 제조될 수 있다.
일반적으로, 템플릿은, ⅰ) 측면으로부터, ⅱ) 후방으로부터, ⅲ) 전방으로부터, 또는 ⅳ) 이들의 조합으로부터, 표면 접점을 통해 이것을 지지하는 강체를 가질 수 있다. 템플릿 지지는 인가된 압력하에서 템플릿의 변형이나 왜곡을 제한하는 이점을 가질 수 있다. 몇몇 실시예에서, 템플릿은 일부 영역에 반사 코팅재로 코팅될 수 있다. 몇몇 실시예에서, 빛이 템플릿내로 또는 템플릿을 통과하여지나도록 템플릿이 반사 코팅재에서 홀과 통합할 수 있다. 이러한 코팅재는 간섭계를 사용하는 오버레이 보정을 위해 템플릿을 위치지정하는데 있어서 유용할 수 있다. 이러한 코팅재는, 상부가 아니라 템플릿의 측면을 통해 발광하는 경화제 소스와 함께 경화되도록 할 수 있다. 이것은 다른 무엇보다도 템플릿 홀더, 갭 센싱 기술, 및 오버레이 마크 검출 시스템의 설계시 융통성을 줄 수 있다. 템플릿의 노광은 ⅰ) 템플릿으로의 수직 입사로, ⅱ) 템플릿에 경사진 각도로, 또는 ⅲ) 템플릿의 옆표면을 따라, 수행될 수 있다. 몇몇 실시예에서, 딱딱한 템플릿이 연성 기판과 함께 사용될 수 있다.
템플릿은, 광학 리소그래피, 전자 빔 리소그래피, 이온-빔 리소그래피, x-레이 리소그래피, 극자외선(extreme ultraviolet) 리소그래피, 스캐닝 프로브 리소그래피, 포커스 이온빔 밀링(milling), 간섭 리소그래피, 에피텍셜 성장, 박막 증착, 화학적 에칭, 플라즈마 에칭, 이온 밀링, 반응성 이온 에칭 또는 이들의 조합을 사용하여 제조될 수 있다. 템플릿은 평판, 포물선, 구형, 또는 다른 표면 형상을 갖는 기판상에 형성될 수 있다. 템플릿은 평판, 포물선, 구형, 또는 다른 표면 형상을 갖는 기판과 함께 사용될 수도 있다. 기판은 이전에 패터닝된 형상 및/또는 복합 물질의 필름 스택을 포함할 수 있다.
도4에 도시된 실시예에서, 템플릿은 패턴닝 영역(401), 반출(entrainment) 채널(402), 및 에지(403)를 포함할 수 있다. 템플릿 에지(403)는 템플릿 홀더 내에 템플릿을 유지하기 위해 사용될 수 있다. 반출 채널(402)은, 아래에서 상세히 설명되는 바와 같이, 과잉 유체가 인접 패터닝 지역으로 퍼지지 않도록 반출하기위해 구성된다. 몇몇 실시예에서, 템플릿의 패터닝된 영역은 편평할 수 있다. 이러한 실시예는 기판을 평면화하는데 유용하다.
몇몇 실시예에서, 템플릿은 다중-깊이 설계로 제작될 수 있다. 즉, 템플릿의 다양한 피쳐들이 템플릿의 표면에 대해 서로 상이한 깊이에 있을 수 있다. 예를 들면, 반출 채널(402)은 패터닝 영역(401)보다 더 큰 깊이를 가질 수 있다. 이러한 실시예의 장점은 템플릿과 기판 사이의 갭을 센싱할 때의 정확성이 향상될 수 있다는 것이다. (예컨대, 약 100㎚보다 작은) 매우 작은 갭은 센싱하기 어렵다; 따라서, 템플릿에의 공지된 깊이의 단계를 부가하는 것은 더 정확한 갭 센싱을 가능하게 한다. 여기 사용된 것과 같이, "갭 센싱 영역"은, 대략 100㎚보다 더 큰 공지된 깊이를 갖는 템플릿의 표면상의 피쳐를 일반적으로 일컫는다. 이중-깊이(dual-depth) 설계의 장점은, 이러한 설계가 다양한 크기의 다이를 포함할 수 있는 주어진 크기의 임프린트 템플릿을 유지하는 표준화된 템플릿 홀더를 사용가능하게 한다는 것이다. 이중-깊이 설계의 세번째 장점은, 템플릿을 유지하기 위해 주변 영역을 이용할 수 있다는 것이다. 이러한 시스템에서, 기능적 구조를 갖는 템플릿과 기판 접촉면의 모든 부분이 경화제에 노출될 수 있다. 도5에 도시된 것처럼, 주변 영역(501)의 깊이가 적절히 설계된 템플릿(500)은 인접한 임프린트(502,503)에 접할 수 있다. 또한, 임프린트 템플릿(500)의 주변 영역(501)은 임프린트(503)와 떨어진 안전 수직 거리를 유지한다.
상기 설명과 같이, 이중-깊이 임프린트 템플릿은 다양한 방법을 사용하여 제작될 수 있다. 도6에 도시된 실시예에서는, 두꺼운 단일 기판(601)이, 고분해능의얕은 깊이의 다이 패턴(602) 및 저분해능의 깊은 깊이의 주변 영역(603)와 함께 형성될 수 있다. 도7에 도시되었듯이, 일 실시예에서 얇은 기판(702)(예를들면 석영 웨이퍼)이 고분해능의 얕은 깊이의 다이 패턴(701)으로 형성될 수 있다. 그후 다이 패턴(701)은 기판(702)으로부터 잘려진다. 그후 다이 패턴(701)은 더 두꺼운 기판(703)에 부착될 수 있다. 여기에 사용되는 바와 같이, 더 두꺼운 기판(703)은 일반적으로 "템플릿 블랭크(blank)"라고 언급될 수 있다. 템플릿 블랭크는 임프린트 장치상의 임프린트 템플릿 홀더에 알맞은 크기로 될 수 있다. 이러한 부착은 템플릿 물질의 굴절율과 유사한 경화제(예를들어 UV광선)의 굴절율을 갖는 접착제(704)를 사용하여 바람직하게 달성된다.
부가적인 임프린트 템플릿 설계가 도8A, 8B, 및 8C에 도시되어 있고, 도면번호 801, 802, 및 803으로 각각 언급되어 있다. 템플릿 설계(801, 802, 및 803)의 각각은 갭 측정 및/또는 과잉 유체의 반출을 위해 사용될 수 있는 오목한 영역을 포함할 수 있다.
일 실시예에서, 템플릿은 템플릿의 기하학 뿐만 아니라 물질의 물리적 성질에도 기반을 둔 유체의 폭을 제어하기 위한 메커니즘을 포함할 수 있다. 기판 영역의 손실을 초래하지 않으면서 허용될 수 있는 과잉 유체의 양은 다양한 물질의 표면 에너지, 유체 밀도, 및 템플릿 기하학에 의해 제한될 수 있다. 따라서, 소정의 몰딩 또는 패터닝 영역 내의 유체를 제한하기 위해 양각(relief) 구조가 사용될 수 있다. 이 영역은 일반적으로 "커프(kerf)"로 언급될 수 있다. 커프에서의 양각 구조는, 상기 설명한 것처럼, 패턴 또는 몰드 양각 구조를 구성하기 위해 사용되는 표준 공정기술을 이용하여, 템플릿 표면으로 오목하게 될 수 있다.
종래의 포토리소그래피에서, 포토마스크 설계에서의 광학적 근접 보정의 사용은 설계된 디멘젼의 정확한 패턴을 만들기 위한 표준이 되고 있다. 유사한 개념이 마이크로 및 나노 몰딩 또는 임프린트 리소그래피에 적용될 수 있다. 임프린트 리소그래피 공정에서의 실질적인 차이는, 에러가 회절이나 광학적 간섭 때문이 아니라 공정중에 일어날 수 있는 물리적 성질의 변화 때문이라는 것이다. 이러한 변화는 템플릿의 기하학에서 양각 보정을 처리할 필요 또는 특성을 결정할 수 있다. 광학 리소그래피에서 사용되는 광학 근접 보정의 개념과 유사하게, 임프린팅 동안 (수축 또는 팽창과 같은) 물질 변화를 수용하기 위해 패턴 양각 구조가 설계될 템플릿은, 물리적 성질에서의 이러한 변화 때문에 에러를 제거할 수 있다. 체적 확장 또는 수축과 같은 물리적 성질의 변화를 고려함으로써, 양각 구조가 정확하게 복제된 소정 피쳐를 생성하기 위해 조절될 수 있다. 예를 들면, 도9는 물질의 성질 변화(901)를 고려하지 않고 형성된 임프린트, 및 물질 성질 변화(902)를 고려하여 형성된 임프린트의 예를 도시한다. 실시예에서는, 실질적으로 사각형의 프로파일(904)을 갖는 피쳐를 가진 템플릿이 경화 동안 물질의 수축으로 인해 변형되기 쉽다. 이러한 물질의 수축을 보상하기 위해, 템플릿 피쳐에 각을 이루는 프로파일(905)이 제공될 수 있다.
임프린트 리소그래피 공정에 있어서, 템플릿의 내구성 및 그의 릴리스 특성은 중요할 수 있다. 내구성있는 템플릿은 실리콘 또는 이산화 실리콘 기판으로 형성될 수 있다. 다른 적당한 물질은 실리콘 게르마늄 카본, 갈륨 질화물, 실리콘게르마늄, 사파이어, 갈륨 비소, 에피텍셜 실리콘, 폴리-실리콘, 게이트 산화물, 석영 또는 이들의 조합을 포함하고, 이들에 한정되지는 않는다. 템플릿은 또한 정렬 마킹과 같은 검출가능한 피쳐를 형성하기 위해 사용되는 물질을 포함할 수 있다. 예를 들면, 검출가능한 피쳐가 SiOx로 형성될 수 있고, 여기서 x는 2보다 작다. 실시예에서 x는 약 1.5일 수 있다. 이 물질은 분석광(analyzing light)에 불투명일 수 있지만, 활성광의 파장에서는 투명이다. 여기에 사용된 것처럼, "분석광"은 여기에 설명된 측정 공정(예컨대, 갭 센싱, 정렬의 결정 등)에 사용되는 광을 일반적으로 일컫는다. 다양한 실시예에서, 분석광은 가시광선이나 적외선을 포함할 수 있다.
실험을 통해, 템플릿의 표면상에 얇은 층을 형성하도록 템플릿을 처리함으로써 템플릿의 내구성이 향상될 수 있다는 것이 발견되었다. 예를 들면, 알킬실란(alkylsilane), 플루오로알킬실란, 또는 플루오로알킬트리콜로실란 (fluoroalkyltricholosilane) 층이 표면상에 형성될 수 있고, 특히 트리디카플루오로-1,1,2,2-테트라하이드록틸트리콜로실란(C5F13C2H4SiCl3)이 사용될 수 있다. 이러한 처리는 템플릿의 표면상에 자체(self)-조합된 모노층(SAM)을 형성할 수 있다.
표면처리 공정은 낮은 표면에너지 코팅을 산출하는데 최적화될 수 있다. 이러한 코팅은 임프린트 리소그래피를 위한 임프린트 템플릿을 준비하는데 사용될 수 있다. 처리된 템플릿은 처리되지 않은 템플릿과 비교할 때 바람직한 릴리스 특성을 가질 수 있다. 예를 들면, 새롭게 처리된 템플릿은 약 14dynes/㎝의 표면 자유에너지(λtreated)를 가질 수 있다. 처리되지 않은 템플릿 표면은 약 65dynes/㎝의 표면 자유에너지(λuntreated)를 가질 수 있다. 본 명세서에 개시된 처리절차는 고레벨의 내구성을 보여주는 필름을 산출할 수 있다. 내구성은, 제조 세팅에서 수많은 임프린트를 견딜 수 있는 템플릿을 만들기 때문에, 매우 바람직하다.
템플릿 표면을 위한 코팅은 액상 공정 또는 증기-상(相) 공정중 어느 하나를 사용하여 형성될 수 있다. 액상 공정에서, 기판이 프리커서 및 솔벤트의 용액에 침전될 수 있다. 증기-상 공정에서는, 프리커서가 불활성 캐리어 기체를 통해 분출될 수 있다. 액상 처리에 사용되는 순수하게 무수(anhydrous)인 솔벤트를 얻는 것은 어렵다. 처리동안 벌크-상(相)의 물은 클럼프 증착을 초래하고, 이것은 최종 품질 또는 코팅의 범위에 불리하게 영향을 미친다. 증기-상 공정의 실시예에서, 템플릿이 진공 챔버내에 위치할 수 있고, 그 후 챔버는 과잉 물을 제거하기 위해 주기적으로 정화될 수 있다. 약간의 흡수된 물은 템플릿의 표면상에 남을 수 있다. 코팅을 형성하는 표면 반응을 완결하기 위해 소량의 물이 필요할 수도 있다. 이 반응은 다음의 공식에 의해 설명될 수 있다고 생각된다.
R-SiCl3 + 3H2O ⇒ R-Si(OH)3 + 3HCl
반응을 촉진하기 위해, 템플릿이 온도-제어된 청크를 통해 소망하는 반응 온도로 될 수 있다. 그 후 프리커서가 규정된 시간동안 반응 챔버내로 들어갈 수 있다. 템플릿 온도, 프리커서 밀도, 흐름 형태 등의 반응 파라미터는 특정 프리커서 및 템플릿 기판 조합에 알맞게 맞추어질 수 있다.
상기한 바와 같이, 물질(40)은 갭(31)의 공간을 채우기 위해 액체일 수 있다. 예를 들어 물질(40)은 저점성도의 액체 모노머 용액일 수 있다. 적당한 용액은 약 0.01 cps 내지 약 100 cps (25℃에서 측정) 범위의 점성도를 가질 수 있다. 저점성도는 특히 고분해능(예를 들어 서브-100㎚) 구조에 바람직하다. 또한 저점성도는 더 빠른 갭 메움을 일으킨다. 부가적으로, 저점성도는 저압력에서 갭 영역의 신속한 액체 충전을 야기할 수 있다. 특히, 50㎚ 이하 영역에서, 용액의 점성도는 대략 25 cps 이거나 그 이하여야 하고, 더 바람직하게는 대략 5 cps (25℃에서 측정) 이하여야 한다. 실시예에서, 적당한 용액이 중량에서 50% n-부틸 아크릴레이트 및 50% SIA 0210.0(3-아크리올록시프로필트리스트리메틸실록신(3-aryoloxypropyltristrimethylsiloxane))실란의 혼합물을 포함할 수 있다. 이 용액에 소량 비율의 중합화 이니시에이터(예를들면, 포토이니시에이터)가 첨가될 수 있다. 예를 들어, 중량에서 3%의 1:1 Irg 819 및 Irg 184 용액 및 5%의 SIB 1402.0가 적당할 수 있다. 이 혼합물의 점성도는 대략 1 cps 이다.
일 실시예에서, 임프린트 리소그래피 시스템은 유체를 기판(예컨대, 반도체 웨이퍼)의 표면상에 분배하기 위한 자동 유체 분배 방법 및 시스템을 포함할 수 있다. 분배 방법은 하나 이상의 뻗어있는 디스펜서 팁을 가진 모듈러 자동화 유체 디스펜서를 사용할 수 있다. 분배 방법은 디스펜서 팁과 기판 사이의 상대적인 측면이동을 발생하기 위해 X-Y 스테이지를 사용할 수 있다. 이 방법은 저점성도 유체를 사용하는 임프린트 리소그래피의 몇가지 문제를 제거할 수 있다. 예를 들어, 이 방법은 임프린팅 영역의 국부적 변형 및 공기 거품 트랩핑을 제거할 수 있다.실시예는 또한 과잉 유체의 불필요한 소모없이, 임프린팅 템플릿과 기판 사이의 전체 갭에 걸쳐 유체를 분포시키는 동안 낮은 임프린팅 압력을 달성하는 방법을 제공할 수 있다.
일 실시예에서, 분배된 체적은 1 제곱인치의 임프린트 영역에 대해 전형적으로 약 130 nl (나노-리터) 이하일 수 있다. 분배 후, 후속 공정이 템플릿과 기판 어셈블리를 경화제(예컨대, 활성광)에 노출시키는 단계를 포함할 수 있다. 템플릿의 기판으로부터의 분리는, 임프린트된 표면의 상부에 전사된 이미지를 남겨둘 수 있다. 전사된 이미지는 남겨진 노출된 물질의 얇은 층상에 놓여질 수 있다. 남겨진 층은 "기저층"으로 언급된다. 기저층은 제조가능한 임프린트에 대해 얇고 균일해야 한다.
임프린트 공정은 템플릿과 기판 접촉면에 고압 및/또는 고온을 포함할 수 있다. 그러나, 고분해능 오버레이 정렬을 포함하는 제조가능 임프린트 리소그래피 공정을 위해, 높은 압력 및 온도는 피해야 한다. 여기에 개시된 실시예는 저점성도 광-경화 유체를 사용함으로써 높은 온도에 대한 필요성을 회피한다. 더욱이, 유체를 전체 임프린팅 영역에 걸쳐 퍼트리는데 필요한 압착력을 감소시킴으로써 임프린팅 압력을 최소화할 수 있다. 따라서, 유체-기반 임프린트 리소그래피를 위해서, 유체 분배 공정은 다음 성질을 만족해야 한다:
1. 템플릿과 기판 사이에 어떠한 공기 거품도 트랩되어서는 안된다;
2. 입자 발생을 최소화하기 위해 디스펜서 팁과 기판 사이의 직접 접촉은 피해야 한다;
3. 템플릿과 기판 사이의 갭을 채우는데 필요한 압력은 최소화되어야 한다;
4. 템플릿-기판 접촉면의 불균일한 국부적 변형을 감소하기 위해 불균일 유체 빌드업 및/또는 압력 변화도가 최소화되어야 한다; 및
5. 분배된 유체의 소비가 최소화되어야 한다.
몇몇 실시예에서, 임프린팅 영역상에 실질적으로 연속적인 라인을 갖는 패턴을 형성하기 위해 디스플레이스먼트-기반 유체 디스펜서 팁과 기판 사이의 상대적 이동이 사용될 수 있다. 라인 단면의 크기와 라인의 모양은 분배 속도와 상대적 이동을 균형잡음으로써 제어될 수 있다. 분배 공정동안, 디스펜서 팁이 기판 가까이에(예컨대 수십 마이크론의 크기로) 고정될 수 있다. 라인 패턴을 형성하는 두가지 방법이 도10A 및 도10B에 도시되어 있다. 도10A 및 도10B에 도시된 패턴은 삼각함수 패턴이다; 그러나 다른 패턴도 가능하다. 도10A 및 도10B에 도시되었듯이 단일 디스펜서 팁(1001) 또는 다중 디스펜서 팁(1002) 중 어느 하나를 사용함으로써 연속된 라인 패턴이 그려질 수 있다.
분배 속도(vd), 및 기판의 상대적 측면 속도(vs)는 다음과 관계가 있다:
vd= Vd/td(분배 체적/분배 주기)(1)
vs= L/td(라인 길이/분배 주기)(2)
Vd= a L (여기서, 'a'는 라인 패턴의 단면적)(3)
따라서,
vd= a vs(4)
최초의 라인 패턴의 폭은 통상 디스펜서의 팁 크기에 의존할 수 있다. 팁 디스펜서는 고정될 수 있다. 일 실시예에서, 유체 분배 제어기(1111)(도11에 도시된 바와 같이)가, 분배된 유체의 부피(Vd) 및 유체를 분배하기 위해 취해진 시간(td)을 제어하기 위해 사용될 수 있다. 만약 Vd와 td가 고정된다면, 라인 길이의 증가는 패터닝된 라인의 단면을 더 낮은 높이로 만들 것이다. 패턴 길이를 증가시키는 것은 주기적인 패턴의 공간적 빈도를 증가시킴으로써 달성될 수 있다. 패턴의 더 낮은 높이는 임프린트 공정 동안 디스플레이스되는 유체의 양의 감소를 가져올 수 있다. 동일한 분배 라인에 연결된 다중 팁을 사용함으로써, 단일 디스펜서 팁의 경우와 비교하여, 긴 길이를 갖는 라인 패턴이 더 빨리 형성될 수 있다. 일 실시예에서, 디스플레이스먼트-기반 유체 공급 시스템은 다음을 포함할 수 있다: 유체 컨테이너(1101), 입구 튜브(1102), 입구 밸브(1103), 출구 밸브(1104), 주사기(1105), 주사 액츄에이터(1106), 디스펜서 팁(1107), X 스테이지 액츄에이터(1109), Y 스테이지 액츄에이터(1110), 디스펜서 제어기(1111), XY 스테이지 제어기(1112), 및 주 제어 컴퓨터(1113). 적당한 디스플레이스먼트-기반 디스펜서가 해밀튼 컴퍼니로부터 이용가능할 수 있다.
도12는 저점성도 유체에 바람직하지 않은 몇가지 유체 패턴 또는분배 방법을 도시한다. 이들 분배 패턴은 하나이상의 문제를 야기할 수 있는데, 다음을 포함한다: 공기 거품의 트랩핑, 국부적 변형, 및 유체의 소비. 예를 들어, 임프린팅 영역(1201)의 중심에 하나의 방울을 분배하는 것, 또는 불규칙적 라인(1205)을 분배하는 것은 템플릿 및/또는 기판의 국부적 변형을 초래한다. 몇 방울(1202) 또는 원주형 패턴의 라인(1206)을 분배하는 것은 공기 거품의 트랩핑을 초래할 수 있다. 거의 폐쇄된 원주형 패턴(1204)을 갖는 다른 분배 패턴도 유사하게 공기 거품 트랩핑을 초래할 수 있다. 마찬가지로, 작은 방울들의 무작위 배열 또는 스프레이는 공기 거품의 트랩핑을 초래할 수 있다. 저점성도 유체를 갖는 기판의 스핀-코팅은 박막의 불안정성으로 인한 "디웨팅(dewetting)" 문제를 초래할 수 있다. 디웨팅은, 유체의 얇고 균일한 층 대신, 기판상의 유체의 수많은 작은 방울의 형성을 초래할 수 있다.
일 실시예에서, 유체 분배 방법은, 이들이 확장되면서 나중에 연속체를 형성할 수 있는 다수의 작은 액체 방울을 분배할 수 있다. 도13은 다섯 개의 액체 방울을 사용한 경우를 도시한다. 여기서, 다섯 개의 방울은 단지 예시적인 목적으로 사용되었다. 이 방법을 사용하여 삼각함수, 'W', 또는 'X'와 같은 다른 비-거품(non-bubble) 형성 패턴도 구현될 수 있다. 템플릿-기판 갭이 감소함에 따라, 원형 방울(1301)이 이웃하는 방울들과 서로 합쳐지면서 더 얇아지고 더 넓게 될 수 있다(1302). 따라서, 비록 최초의 분배는 연속된 형태를 갖지 못하지만, 확장하는 액체는 템플릿과 기판 사이의 갭으로부터 공기를 방출시킬 수 있다. 이 방법에 사용되기에 효과적인 패턴은, 작은 방울들이 확장됨에 따라 이들이 템플릿과 기판 사이의 어떠한 공기도 트랩하지 않는 방법으로 분배되어야 한다.
그 부피가 정확히 특정될 수 있는 작은 액체 방울은 압력-지지 유닛을 갖는마이크로-솔레노이드 밸브를 사용하여 분배될 수 있다. 또다른 형태의 액체 분배 액츄에이터는 압전-작동 디스펜서를 포함할 수 있다. 디스플레이스먼트-기반 유체 디스펜서와 비교할 때 마이크로-솔레노이드 밸브를 갖는 시스템의 이점은 더 빠른 분배 시간 및 더 정확한 체적 제어를 포함한다. 이러한 이점은 특히 보다 큰 크기의 임프린트(예를들면, 지름 수 인치의)에 바람직할 수 있다. 마이크로-솔레노이드 밸브를 포함하는 시스템의 실시예가 도14에 도시되어 있다. 이 시스템은 다음을 포함한다: 유체 컨테이너(1401), 입구 튜브(1402), 입구 밸브(1403), 펌프(1404), 출구 밸브(1405), 펌프 제어기(1406), 마이크로-솔레노이드 밸브(1407), 마이크로-솔레노이드 밸브 제어기(1408), X-Y 스테이지(1409), X-Y 스테이지 제어기(1410), 및 주 컴퓨터(1412). 기판(1411)은 X-Y 스테이지(1409) 상에 위치할 수 있다. 마이크로-밸브 디스펜서 및 압전 드랍-온-디멘드(drop-on-demand) 디스펜서는 다양한 프린트헤드 제조자로부터 이용가능하다.
(예컨대 수 제곱인치보다 더 큰) 대규모 임프린트 영역에 적당한 분배 패턴이 도15에 도시되어 있다. 이 실시예에서, 평행선의 유체(1503)가 분배될 수 있다. 팽행선의 유체(1503)는 템플릿(1501)이 기판(1502)에 접근함에 따라 공기가 갭으로부터 방출될 수 있도록 확장될 수 있다. 소정 방법으로 라인(1503)을 확장하는 것을 촉진하기 위해, 템플릿(1501)은 의도적으로 쐐기모양의 구조(도15B에 도시됨)에서의 갭이 메워질 수 있다. 즉, 템플릿/기판 갭이 라인(1503)을 따라 메워질 수 있다(예컨대 쐐기의 각도가 라인(1503)에 평행일 수 있다).
잘 분포된 최초의 유체층을 제공하는 것의 이점은, 템플릿과 기판 사이의 방향설정 에러가 보정될 수 있다는 것이다. 이것은 유체의 얇은 층의 수력동력학 및 방향설정 스테이지의 추종성(compliance) 때문이다. 템플릿의 더 낮은 부분은 템플릿의 다른 부분보다 더 빨리, 분배된 유체와 접촉할 수 있다. 템플릿과 기판 사이의 갭이 더 작아질수록, 템플릿의 더 낮은 부분과 더 높은 부분 사이의 반응력의 불균형이 증가한다. 이 힘의 불균형은 템플릿과 기판에 대한 보정 이동을 야기하고, 이들이 실질적으로 평행 관계가 되도록 한다.
성공적인 임프린트 리소그래피는, 템플릿과 기판 사이의 갭을 제어하기 위해 기판에 대한 템플릿의 정확한 정렬과 방향설정을 필요로 할 수 있다. 여기에 개시된 실시예는 제품제작 공정시 정확한 정렬과 갭 제어를 달성가능하게 하는 시스템을 제공할 수 있다. 일 실시예에서, 이 시스템은 고분해능 X-Y 이동 스테이지를 포함할 수 있다. 일 실시예에서, 이 시스템은 미세 이동 방향설정 스테이지의 이동범위 내에서의 상대적 정렬을 가져오기 위해 템플릿과 기판 표면 사이의 예비 및 거친 정렬 동작을 수행하기 위한 선행-교정 스테이지를 제공할 수 있다. 이 선행-교정 스테이지는 새로운 템플릿이 장치(또한 때때로 스테퍼로 알려져 있다)로 인스톨될 때에만 필요할 수 있다. 선행-교정 스테이지는 베이스 플레이트, 휨 구성요소, 및 베이스 플레이트와 휨형 구성요소를 연결하는 복수의 마이크로미터 또는 고분해능 액츄에이터를 포함할 수 있다.
도16은 조합된 구성의 X-Y 이동 스테이지의 실시예를 도시하고 있고, 일반적으로 도면번호 1600으로 참조된다. 전체 접지면은 대략 20인치 곱하기 20인치 이하이고, 높이는 (웨이퍼 처크를 포함하여) 대략 6인치이다. 이러한 실시예는 대략12인치의 운동의 X 및 Y-축 이동범위를 제공할 수 있다.
X-Y 이동 스테이지의 제2 실시예가 도17에 도시되어 있고, 일반적으로 도면번호 1700으로 참조된다. X-Y 스테이지(1600)의 그것과 유사한 운동범위를 제공하기 위해, 스테이지(1700)는 대략 29인치 곱하기 29인치의 접지면과 (웨이퍼 처크를 포함하여) 대략 15인치의 높이를 가질 수 있다. 스테이지(1600) 및 스테이지(1700)는 주로 부가적인 링키지(linkage)(1701)가 수직으로 방향설정된다는 점에서 서로 상이하다.
X-Y 스테이지(1600) 및 X-Y 스테이지(1700)는 굴곡-기반(flexure based) 시스템이다. 굴곡은 마찰없는 파티클-프리(particle-free) 및 낮은 유지 동작을 제공할 수 있으므로 정밀 기계에 널리 사용된다. 굴곡-기반 시스템의 실시예는 룻슨(Routson)의 미국특허 제4,694,703호 및 와이스(Wyse)의 미국특허 제4,062,600호에 개시되어 있고, 이 둘은 여기에 충분히 기재되어 있는 것처럼 참조로서 포함되어 있다. 그러나, 대부분의 굴곡-기반 시스템은 제한된 범위의 운동(예컨대, 서브 ㎜ 범위의 운동)을 제공할 수도 있다. 여기에 설명된 실시예는 12인치 이상의 운동범위를 가질 수 있다. 이러한 스테이지는, 특히 진공에서, 리소그래피 응용에 비용-효과적일 수 있다고 생각된다. 또한, 임프린트 리소그래피 기술에 대해, 임프린트 힘의 존재가 여기에 설명된 실시예에 중요한 장점을 제공할 수 있다.
일반적으로, X-Y 스테이지는 두 형태의 구성소자를 포함할 수 있다: 액츄에이션 구성소자 및 부하-운반(load-carrying) 구성소자. 위치결정 정확도가 그다지 중요한 요소가 아닐 경우 납(lead) 스크류 어셈블리 장치가 널리 사용되어 왔다.높은 정밀도의 응용에 대해, 볼(ball) 스크류 어셈블리가 액츄에이팅 및 부하-운반 구성소자 모두에 대해 사용되어 왔다. 이들 모두의 설계는 백래시(backlash) 및 스틱션(stiction)의 문제를 일으키기 쉽다. 또한, 윤활(lubrication)의 필요성으로 인해 이들 설계는 진공이나 입자에 민감한(particle-sensitive) 응용(예컨대, 임프린트 리소그래피)에 바람직하지 않을 수 있다.
부가적으로, 몇몇 설계는 공기 베어링을 이용할 수 있다. 공기 베어링은 스틱션과 백래시의 문제를 상당히 제거할 수 있다. 그러나, 공기 베어링은 제한된 부하 베어링 용량을 제공한다. 또한, 공기 베어링은 진공 환경에서는 적당하지 않을 수 있다.
도18은 기본 링키지(1800)의 일부의 개략도이다. 링크1(1804) 및 링크3(1805)는 동일 길이일 수 있다. 이동체(1801)가 X 축을 따라 움직일 때, 링키지(1800)의 모든 조인트들은 동일한 절대 각도만큼 회전한다. 운동 범위는 링크2(1803)의 길이에는 무관함에 유의해야 한다. 운동 제약으로 인해, 링크2(1803)는 조인트1(1806)과 조인트4(1807) 사이의 라인에 평행하게 유지될 수 있다. 링키지(1800)에서, 운동의 범위(lm)는 다음과 같이 주어진다:
lm= 2d1[cos(θ0max/2) - cos(θ0max/2)]
= 4d1sin(θ0)sin(αmax/2)(5)
여기서, θ0는 모든 휨(flexure) 조인트들이 그들의 평형상태에 있을 때의조인트1(1806)의 각도이고, αmax는 휨 피봇의 최대 회전범위 이고, d1은 링크1 및 링크3(1804 및 1805)의 길이이다. 식(5)에서 보여지듯, 주어진 d1에 대해 θ0=90°각도일 때 운동범위가 최대가 된다. 따라서, 링크 길이는 다음과 같다:
d1= lm/[4sin(αmax/2)](6)
따라서,60°의 αmax를 사용할 때, 12인치 운동범위에 대한 최대 링크 길이는 6인치이다.
도19는 링키지(1800)와 유사한 기본 링키지의 실시예이지만, 두개의 실린더형 디스크(1902)가 부가되어 있다. 운동학적으로 볼 때, 도19의 조인트2(1904)와 조인트3(1905)가 동일 각도만큼 반대방향으로 회전한다면, 스테이지는 X 축을 따르는 순수한 이동 운동을 발생할 것이다. 휨 조인트2(1904)와 조인트3(1905)에 실린더형 디스크(1902)를 부가함으로써, 결과적인 롤링 접점은 링크1(1908)과 링크2(1906)를 반대 방향으로 회전시킬 수 있다. 일 실시예에서, 실린더형 디스크(1902)가 링크(1908 및 1906)에 연결되지 않을 수 있으므로, 어떠한 부가적인 조인트나 베어링도 필요하지 않을 수 있다. 디스크(1902)가 미끄러져 빠지는 것을 방지하기 위해, 적당한 선행-부하(pre-load)가 두개의 디스크 사이에 인가될 수 있다. 직접 구동식 메커니즘 또는 베어링이 사용될 수 있는 종래의 스테이지와 비교할 때, 여기에서의 접점 표면이 상대적으로 작고, 상대적으로 유지하기 쉽다. 비록 디스크(1902)가 X-Y 스테이지(1600, 1700)에 대해서는 도시되지 않았지만, 디스크(1902)가 일부 실시예에 존재할 수도 있다. 도16의 링크(1602 및 1601)는도19의 링크(1908 및 1906)에 대응할 수 있다. 따라서, 디스크(1902)가 (도16에서는 보이지 않는 다른 위치에서 뿐만 아니라) 위치(1603)에 존재할 수 있다. 도17을 참조하면, 디스크(1902)가 (도17에서는 보이지 않는 다른 위치에서 뿐만 아니라) 위치(1702)에 존재할 수도 있다.
스테이지(1600 또는 1700)중 어느 하나에 대한 액츄에이션 시스템에 대해, 두개의 선형 서보 모터(도20에 도면번호 2000으로 참조됨)가 적당할 수 있다. 한개의 선형 서보 모터가 각각의 이동축에 사용될 수 있다. 적당한 선형 서보 모터는 트릴로지 시스템즈(Trilogy Systems) 코오퍼레이션으로부터 이용가능할 수 있다. 이러한 선형 서보 모터의 장점은 마찰 접촉이 없다는 것일 수 있다. 이러한 선형 서보 모터의 다른 장점은 이들이 100파운드보다 더 큰 액츄에이션 힘을 신속히 만들 수 있다는 사실이다. 따라서, 액츄에이션 구성요소는 단지 X 및 Y 방향으로의 이동운동 제어를 제공할 수 있다. 일부 실시예에서, 보다 낮은 스테이지의 액츄에이터가 보다 높은 스테이지의 액츄에이터보다 더 힘있을 것이 요구될 수 있음에 유의해야 한다. 일부 실시예에서, X-Y 스테이지의 X 및 Y 위치결정을 제어하기 위해 레이저 간섭계가 피드백 신호를 제공할 수 있다. 레이저 간섭계는 ㎜ 레벨의 위치결정 제어를 제공할 수 있다고 생각된다.
배치 에러는 레이저 간섭계와 (도17에 도시된 X-Y 스테이지(1700)와 같은) 고분해능 X-Y 스테이지를 사용하여 보상될 수 있다. 만약 템플릿과 기판 사이의 방향설정 정렬이 X-Y 이동으로부터 독립된다면, 배치 에러는 전체 기판 웨이퍼에 대해 단지 한번만 보정될 필요가 있다(즉, "전체 오버레이"). 만약 템플릿과 기판사이의 방향설정 정렬이 X-Y 이동과 결합되어 있고 기판상의 초과 국부 방향설정 변동이 존재한다면, 기판에 상대적인 템플릿의 X-Y 위치변화가 보정될 필요가 있다(즉, 필드-투-필드(field-to-field) 오버레이). 오버레이 정렬 이슈는 오버레이 정렬 섹션에서 더 논의된다. 도21 및 도22는 전체 및 필드-투-필드 오버레이 에러보정 알고리즘을 각각 나타낸다.
일 실시예에서, 템플릿과 기판의 방향설정은 선행-교정 스테이지(자동적으로, 액츄에이터를 사용하거나 또는 수동으로, 마이크로미터를 사용하여) 및 미세 방향설정 스테이지에 의해 달성될 수 있고, 이것은 능동 또는 수동이 될 수 있다. 이들 스테이지의 어느 하나 혹은 양쪽 모두는 다른 메커니즘을 포함할 수 있지만, 입자를 피하기 위해 휨-기반 메커니즘이 더 바람직하다. 교정 스테이지는 프레임에 장착될 수 있고, 미세 방향설정 스테이지가 선행-교정 스테이지에 장착될 수 있다. 그것에 의하여 이러한 실시예는 직렬 메커니즘 배열을 형성한다.
미세 방향설정 스테이지는 하나 이상의 수동 추종성(compliant) 부재를 포함할 수 있다. "수동 추종성 부재"는 일반적으로 추종성으로부터 그 움직임을 얻는 부재를 가리킨다. 추종성 부재 장치는 파지오(Fazio)의 미국특허 제4,414,750호; 파지오의 미국특허 제4,337,579호; 드라케(Drake) 등의 미국특허 제4,155,169호; 네빈스(Nevins) 등의 미국특허 제4,355,469호; 왓슨(Watson)의 미국특허 제4,202,107호; 및 왓슨의 미국특허 제4,098,001호에 개시되어 있고, 이들 각각은 여기에 충분히 기재된 것처럼 참조로서 포함되어 있다. 즉, 움직임이 액체와의 직접 또는 간접 접촉에 의해 활성화된다. 미세 방향설정 스테이지가 수동이라면, 두개의 방향설정축에 대해 가장 우세한 추종성을 갖도록 설계될 수 있다. 두 개의 방향설정 축이 직교일 수 있고 또한 (도43과 관련하여 설명되는 것처럼) 템플릿의 하부 표면에 놓일 수 있다. 두 개의 직교하는 비틀림 추종성 값은 전형적으로 직사각형 템플릿에 대해 동일할 수 있다. 미세 방향설정 스테이지는, 템플릿이 기판에 대해 비평행일 때 액체와 접촉함에 따라 결과적인 불균일한 액체 압력이 방향설정 에러를 신속히 보정할 수 있도록 설계된다. 일 실시예에서, 보정이 최소한의 오버슈트로, 또는 전혀 오버슈트 없이, 영향받을 수 있다. 또한, 상기의 미세 방향설정 스테이지는, 액체의 경화를 위한 충분히 오랜 주기동안 템플릿과 기판 사이의 실질적으로 평행인 방향설정을 유지할 수 있다.
일 실시예에서, 미세 방향설정 스테이지는 하나 이상의 액츄에이터를 포함할 수 있다. 예를 들어, 압전 액츄에이터(도46에 도시된 것과 같이)가 적당할 수 있다. 이러한 실시예에서, 선행-교정 스테이지에 결합된 미세 방향설정 스테이지의 효과적인 수동 추종성은 두 개의 방향설정 축에 대해 여전히 실질적이 될 것이다. 모든 구조적 및 능동적 요소의 기하학적 및 물질적 파라미터들은 이러한 효과적이고 수동적인 엄격성에 기여를 한다. 예를 들어, 압전 액츄에이터는 압축과 신장에서 추종성일 수 있다. 두 개의 직교하는 방향설정 축에 대한 바람직한 비틀림 추종성을 얻기 위해 기하학적 및 물질적 파라미터들이 합성될 수 있다. 이러한 합성에의 간단한 접근법은, 나머지의 스테이지 시스템에서의 구조적 추종성보다 더 높은 미세 방향설정 스테이지에서의 그들의 액츄에이션 방향을 따르는 액츄에이터의 추종성을 만드는 것이다. 이것은 평행하지 않은 템플릿이 기판상에서 액체와 접촉할 때 수동 자체-정정 능력을 제공할 수 있다. 또한, 이 추종성은 최소한의 오버슈트 또는 전혀 오버슈트 없이 신속한 정정 방향설정 에러를 고려하도록 선택되어야 한다. 미세 방향설정 스테이지는 액체의 경화를 위해 충분히 오랜 주기동안 템플릿과 기판 사이에 실질적으로 평행한 방향설정을 유지할 수 있다.
오버레이 정렬 구성은, 임프린트 템플릿의 정확한 정렬과 기판상에서의 바람직한 임프린트 위치를 달성하기 위해, 에러의 보정이 뒤따르는 정렬 에러의 측정을 포함할 수 있다. 근접 리소그래피, x-레이 리소그래피, 및 포토리소그래피에 사용되는 측정 기술(예를 들면, 레이저 간섭계, 커패시턴스 센싱, 마스크와 기판상의 오버레이 마크의 자동화된 이미지 프로세싱 등)은 적절한 변형을 거쳐 임프린트 리소그래피 공정에 채용될 수 있다. 저장된 이미지를 사용하는 오버레이 정렬 시스템 및 방법은 미국특허 제5,204,739호에 개시되어 있고, 여기에 충분히 기재된 것처럼 참조로서 포함되어 있다.
리소그래피 공정에 대한 오버레이 에러의 유형은 배치 에러, 세타 에러, 확대 에러, 및 마스크 왜곡 에러를 포함할 수 있다. 여기에 개시된 실시예의 이점은, 개시된 공정들이 상대적으로 낮은 온도(예컨대 실온)에서 작동할 수 있기 때문에 마스크 왜곡 에러가 존재하지 않을 수 있다는 점이다. 따라서, 이들 실시예는 심각한 왜곡은 포함하지 않을 수 있다. 또한, 이들 실시예는 상대적으로 두꺼운 기판으로 만들어진 템플릿을 사용할 수 있다. 이것은, 상대적으로 얇은 기판으로 만들어진 마스크가 사용되는 다른 리소그래피 공정과 비교하여 훨씬 더 작은 마스크(또는 템플릿) 왜곡 에러를 일으킨다. 또한, 임프린트 리소그래피 공정에서의템플릿의 전체 면적이 경화제(예컨대, UV 광선)에 투명할 수 있고, 이것은 경화제로부터의 에너지 흡수 때문에 가열을 최소화할 수 있다. 감소된 가열은, 마스크의 하부 표면의 상당 부분이 금속성 코팅의 존재로 인해 불투명한 포토리소그래피 공정과 비교하여, 열-유도성 왜곡의 발생을 최소화할 수 있다.
배치 에러는 일반적으로 템플릿과 기판 사이의 X-Y 위치 에러를 설명한다(즉, X 및/또는 Y 축을 따르는 이동). 세타 에러는 일반적으로 Z 축에 대한 상대적인 방향설정 에러를 설명한다(즉, Z 축에 대한 회전). 확대 에러는 일반적으로 템플릿상의 원래의 패터닝된 영역과 비교할 때 임프린트된 영역의 열적으로 또는 물질적으로 유도된 수축 또는 팽창을 설명한다.
임프린트 리소그래피 공정에서, 도23에서 각 α와 β에 대응하는 템플릿과 기판 사이의 갭 제어 목적을 위한 방향설정 정렬은, 초과하는 필드-투-필드 표면 변화가 기판상에 존재한다면, 자주 수행될 필요가 있다. 일반적으로, 임프린팅 영역에 걸친 변화에 대해 임프린트된 피쳐 높이의 대략 절반보다 더 작게 되는 것이 바람직하다. 방향설정 정렬이 템플릿과 기판 사이의 X-Y 위치와 결합되어 있다면, 필드-투-필드 배치 에러 보정이 필요할 수 있다. 그러나, 배치 에러를 포함하지 않고 방향설정 정렬을 수행할 수 있는 방향설정 스테이지의 실시예가 여기에 제시되어 있다.
포커싱 렌즈 시스템을 사용하는 포토리소그래피 공정은, 두 개의 정렬 마크(하나는 마스크상에, 다른 하나는 기판 상에)의 이미지를 동일한 초점 평면상에 위치시킬 수 있도록 하기 위해, 마스크와 기판을 위치시킬 수 있다. 정렬 에러는 이들 정렬 마크의 상대적 위치를 볼 때 발생될 수 있다. 임프린트 리소그래피 공정에서, 템플릿과 기판은 오버레이 에러 측정동안 상대적으로 작은 갭(마이크로 미터 또는 그 이하의 크기를 갖는)을 유지한다. 따라서, 오버레이 에러 측정 기구는 서로상이한 평면의 두 개의 오버레이 마크를 동일한 초점 평면상으로 초점맞출 필요가 있다. 이러한 필요성은 상대적으로 큰(예컨대, 대략 0.5㎛) 피쳐를 갖는 디바이스에 대해서는 중요하지 않다. 그러나, 100㎚ 이하 영역에서의 중요한 피쳐에 대해서는, 고분해능 오버레이 에러 측정을 달성하기 위해, 두 개의 오버레이 마크의 이미지가 동일 초점 평면상에서 포착되어야 한다.
따라서, 임프린트 리소그래피 공정에 대한 오버레이 에러측정 및 에러보정 방법은 다음 조건을 만족해야 한다:
1. 오버레이 에러측정 기구는 동일 평면상에 있지 않은 두 개의 오버레이 마크에 대한 초점을 맞출 수 있어야 한다;
2. 오버레이 에러정정 기구는 템플릿과 기판 사이 유체의 얇은 층의 존재하에 템플릿과 기판을 X 및 Y로 상대적으로 움직일수 있어야 한다;
3. 오버레이 에러정정 기구는 템플릿과 기판 사이 유체의 얇은 층의 존재하에 세타 에러를 보정할 수 있어야 한다;
4. 오버레이 에러정정 기구는 확대 에러를 보정할 수 있어야 한다.
상기 제시된 첫 번째 요건은 ⅰ) (미국특허 제5,204,739호에서처럼) 광학 이미징 기구를 상하로 움직임으로써, 또는 ⅱ) 두 개의 상이한 파장을 갖는 발광 소스를 사용함으로써 만족될 수 있다. 이들 양쪽의 접근법에 대해, 템플릿과 기판사이의 갭 측정의 지식이, 특히 두 번째 방법에 대해, 유용하다. 템플릿과 기판 사이의 갭은, 광대역 간섭계, 레이저 간섭계, 및 커패시턴스 센서를 포함하는 기존의 비접촉 필름 두께 측정기구 중 하나를 사용하여 측정될 수 있다.
도24는 템플릿(2400), 기판(2401), 유체(2403), 갭(2405), 및 오버레이 에러측정 기구(2402)의 위치를 나타낸다. 측정 기구의 높이(2406)는 동일 이미지 평면상의 두 개의 오버레이 마크를 얻기 위한 갭 정보에 따라 조절될 수 있다. 이 접근을 완성하기 위해 이미지 저장 디바이스(2407)가 필요할 수 있다. 부가적으로, 템플릿과 웨이퍼의 위치 디바이스가 측정 디바이스(2402)의 상하 이동으로부터 진동하며 격리되어야 한다. 또한, 템플릿과 기판 사이의 X-Y 방향으로의 스캐닝 이동이 고분해능 오버레이 정렬에 필요하다면, 이 접근은 오버레이 마크의 연속적인 이미지를 산출할 수 없다. 따라서, 이 접근은 임프린트 리소그래피 공정에서의 상대적으로 낮은 분해능의 오버레이 정렬 구성에 적용될 수 있다.
도25는 서로 상이한 평면으로부터의 두 개의 정렬 마크를 단일 초점 평면에 초점맞추기 위한 장치를 도시한다. 장치(2500)는 발광 소스로서 사용되는 별개의 파장을 갖는 광으로부터 얻어지는 초점 길이의 변화를 이용할 수 있다. 장치(2500)는 이미지 저장 디바이스(2503), 및 발광 소스(도시생략), 및 초점 디바이스(2505)를 포함할 수 있다. 별개의 파장을 갖는 광은, 개별적인 광원 소스를 사용함으로써, 또는 단일 광대역 광원을 사용하고 이미징 평면과 정렬 마크 사이에 광학적 대역통과필터를 삽입함으로써, 발생될 수 있다. 템플릿(2501)과 기판(2502) 사이의 갭에 의존하여, 초점 길이를 조절하기 위해 두 파장의 서로 상이한 세트가 선택될 수 있다. 각 발광하에서, 각 오버레이 마크는 도26에 도시된 것처럼 이미징 평면상에 두 개의 이미지를 만들어낼 수 있다. 첫번째 이미지(2601)는 명확하게 초점맞추어진 이미지일 수 있다. 두번째 이미지(2602)는 초점이 빗나간 이미지일 수 있다. 각각의 초점이 빗나간 이미지를 제거하기 위해 몇가지 방법이 사용된다.
제1 방법에서, 제1 파장의 광을 갖는 발광하에서, 두 이미지가 이미징 어레이(예를들어, CCD 어레이)에 의해 수신될 수 있다. 수신된 이미지는 도26에 도시되고 일반적으로 번호 2604로 언급된다. 이미지(2602)는 기판상의 오버레이 정렬 마크에 대응할 수 있다. 이미지(2601)는 템플릿상의 오버레이 정렬 마크에 대응할 수 있다. 이미지(2602)가 초점맞추어 질 때, 이미지(2601)는 초점이 빗나갈 수 있고, 그 반대로 될 수 있다. 일 실시예에서, 이미지(2602)와 관련된 픽셀에 대응하는 기하학상의 데이터를 지우기 위해 이미지 처리기술이 사용될 수 있다. 따라서, 이미지(2603)를 남겨두고, 기판 마크의 초점이 빗나간 이미지가 제거될 수 있다. 동일한 절차 및 제2 파장의 광을 사용하여, 이미지(2605 및 2606)가 이미징 어레이에 형성될 수 있다. 이 절차는 초점이 빗나간 이미지(2606)를 제거할 수 있다. 따라서 이미지(2605)가 남겨진다. 남겨진 두 개의 초점이 맞추어진 이미지(2601 및 2605)는 그 후 오버레이 에러측정을 마크하기 위해 단일 이미징 평면(2603)상에서 결합될 수 있다.
제2 방법은 도27에 도시된 것처럼 두 개의 코플레너(coplanar) 편광 어레이 및 편광된 발광 소스를 사용할 수 있다. 도27은 오버레이 마크(2701) 및 직각으로편광된 어레이(2702)를 도시한다. 편광 어레이(2702)는 템플릿 표면상에 만들어질 수 있고 또는 그 위에 놓일 수 있다. 두 개의 편광된 발광 소스 하에서, 단지 초점이 맞추어진 이미지(2703)(각각은 별개의 파장과 편광에 대응)만이 이미징 평면상에 나타날 수 있다. 따라서, 초점이 빗나간 이미지는 편광 어레이(2702)에 의해 필터링된다. 이 방법의 이점은 초점이 빗나간 이미지를 제거하기 위한 이미지 처리기술이 필요치 않다는 것이다.
템플릿과 기판 사이의 갭이 오버레이 측정 동안 너무 작다면, 얇은 유체층의 스틱션 또는 증가된 전단력으로 인해 에러정정이 어렵게 될 수 있다는 점에 유의해야 한다. 부가적으로, 갭이 너무 크다면, 템플릿과 기판 사이의 비-이상적인 수직이동에 의해 오버레이 에러가 야기될 수 있다. 따라서, 템플릿과 기판 사이의 최적의 갭이 결정되어야 하고, 여기에서 오버레이 에러측정과 정정이 수행될 수 있다.
광학 리소그래피 공정에 대해 모이레 패턴기반의 오버레이 측정이 사용되어 왔다. 모이레 패턴의 두 층이 동일 평면상에 있지 않지만 이미징 어레이에서 여전히 오버래핑되는 임프린트 리소그래피 공정에 있어서, 두 개의 개별적인 초점맞춰진 이미지를 획득하는 것은 매우 얻기 어렵다. 그러나, 템플릿과 기판 사이의 직접 접촉없이 광학 측정도구의 초점의 깊이내에서 템플릿과 기판 사이의 갭의 조심스러운 제어를 함으로써, 모이레 패턴의 두 층이 최소의 포커싱 문제로 동시에 얻어진다. 모이레 패턴에 기반을 둔 다른 표준 오버레이 구성도 임프린트 리소그래피 공정으로 직접적으로 구현될 수 있다고 생각된다.
배치 에러는 기판을 고분해능 X-Y 스테이지에 위치시키는 커패시턴스 센서 또는 레이저 간섭계, 및 이들 X-Y 스테이지의 고분해능 운동을 이용하여 보정될 수 있다. 템플릿과 기판 사이의 방향설정 정렬이 X-Y 이동으로부터 독립된 실시예에서, 배치 에러는 전체 기판(즉, 반도체 웨이퍼)에 대해 단 한번만 보정될 필요가 있다. 이러한 방법은 "전체 오버레이"로 언급된다. 만약 템플릿과 기판 사이의 방향설정 정렬이 X-Y 이동과 결합되어 있고 또한 초과하는 국부 방향설정 변화가 기판상에 존재하면, 템플릿의 X-Y 위치 변화는 커패시턴스 센싱 및/또는 레이저 간섭계를 사용하여 보정될 수 있다. 이러한 방법은 "필드-투-필드 오버레이"로 언급된다. 도28 및 도29는 적절한 센서 구현을 나타낸다. 도28은 커패시턴스 센싱 시스템의 일 실시예를 도시한다. 커패시턴스 센싱 시스템은 커패시턴스 센서(2801), 컨덕티브 코팅(2802), 및 템플릿(2803)을 포함할 수 있다. 따라서, 커패시턴스의 차이를 센싱함으로써, 템플릿(2803)의 위치가 결정될 수 있다. 유사하게, 도29는 반사 코팅(2901), 레이저 신호(2902), 수신기(2903)를 포함하는 레이저 간섭계 시스템의 일 실시예를 도시한다. 템플릿(2904)의 위치를 결정하기 위해 수신기(2903)에 의해 수신된 레이저 신호가 사용될 수 있다.
확대 에러는, 만약 존재한다면, 기판과 템플릿의 온도를 조심스럽게 제어함으로써 보정될 수 있다. 기판과 템플릿의 열팽창 특성의 차이를 이용하여, 기판상에 미리 존재하는 패터닝된 영역의 크기가 새로운 템플릿의 그것으로 조절될 수 있다. 그러나, 임프린트 리소그래피 공정이 실온과 낮은 압력에서 수행된다면 확대 에러는 크기측면에서 배치 에러 또는 세타 에러보다 훨씬 더 작다고 생각된다. 또한 확대 에러는 여기에 개시된 스트레스-기반(stress-based) 방법에 의해 보상될 수 있다.
세타 에러는 포토리소그래피 공정에서 널리 사용되어 왔던 세타 스테이지를 사용하여 보정될 수 있다. 고분해능 세타 에러 평가를 제공하기 위해 충분히 큰 거리만큼 분리된 두 개의 분리된 정렬 마크를 사용함으로써 세타 에러가 보정될 수 있다. 템플릿이 액체를 경화시키기 전에 기판으로부터 수 마이크론 떨어져 위치할 때 세타 에러가 보정될 수 있다.
UV 경화제 물질을 사용하는 임프린트 리소그래피 공정에 대한 오버레이 정렬에 관한 또 다른 고려는, 정렬 마크의 투명도가 될 수 있다. 오버레이 에러측정에 있어서, 두 오버레이 마크(하나는 템플릿상에 다른 하나는 기판상에)가 사용될 수 있다. 그러나 템플릿이 경화제에 대해 투명한 것이 바람직하기 때문에, 템플릿 오버레이 마크는 통상 불투명 라인을 포함하지 않는다. 그 대신, 템플릿 오버레이 마크는 템플릿 표면의 지형적 특징이 될 수 있다. 일부 실시예에서, 마크는 기판과 동일한 물질로 만들어질 수 있다. 부가적으로, UV 경화 액체가 템플릿 물질(예컨대, 석영)의 그것과 유사한 굴절 지수를 가지는 경향이 있다. 따라서, UV 경화 액체가 템플릿과 기판 사이의 갭을 채울 때, 템플릿 오버레이 마크는 인식하기 매우 어렵게 될 수 있다. 만약 템플릿 오버레이 마크가 불투명 물질(예컨대, 크롬)로 만들어진다면, 오버레이 마크 아래의 UV 경화 액체는 UV 광에 적절히 노출될 수 없고, 이것은 매우 바람직하지 않다.
액체의 존재하에서 템플릿 오버레이 마크를 인식하는 문제를 극복하는 두 방법이 개시되어 있다. 제1 방법은 고분해능 갭 제어 스테이지와 함께 정확한 액체 분배 시스템을 사용한다. 적당한 액체 분배 시스템 및 갭 제어 스테이지가 여기에 개시된다. 설명을 위해, 오버레이 정렬의 세단계가 도30에 도시되어 있다. 오버레이 마크와 유체의 패턴의 위치가 단지 설명을 위해 도30에 도시되어 있지만, 제한하는 의미로 해석되어서는 안된다. 다양한 다른 오버레이 마크, 오버레이 마크 위치, 및/또는 액체 분배 패턴도 또한 가능하다. 먼저, 단계(3001)에서, 액체(3003)가 기판(3002)상에 분배될 수 있다. 그 후 단계(3004)에서, 고분해능 방향설정 스테이지를 사용하여, 템플릿(3005)과 기판(3002) 사이의 갭이 조심스럽게 제어되어, 분배된 유체(3003)가 템플릿과 기판 사이의 갭을 완전히 채우지 않도록 한다. 단계(3004)에서, 갭은 최종 임프린팅 갭보다 단지 조금 더 크다고 생각된다. 대부분의 갭이 유체로 채워지기 때문에, 마치 갭이 유체로 완전히 채워진 것처럼 오버레이 정정이 수행될 수 있다. 오버레이 마크는, 액체가 이러한 제1 위치에서 이들을 덮지 않도록 위치할 수 있다. 오버레이 정정이 완료되면, 갭은 최종 임프린팅 갭으로 메워질 수 있다(단계 3006). 이것은, 정렬 마크를 덮는 것을 포함하여, 남아있는 임프린트 영역내로 액체가 퍼지는 것을 가능하게 한다. 단계(3004)와 단계(3006) 사이의 갭 변화가 매우 작기 때문에(예컨대, 약 10㎚), 갭 메움 동작이 심각한 오버레이 에러를 초래할 것 같지는 않다.
제2 방법은, 오버레이 측정도구에 의해서는 보일 수 있지만 경화제(예컨대, UV 광선)에는 불투명하지 않은 템플릿 상에 특별한 오버레이 마크를 만드는 것이다. 이 접근의 일 실시예가 도31에 도시되어 있다. 도31에서, 완전하게 불투명한라인 대신, 템플릿상의 오버레이 마크(3102)가 미세 편광 라인(3101)으로 형성될 수 있다. 예를 들면, 적당한 미세 편광 라인이, 경화제로 사용되는 활성광의 파장의 대략 1/2 내지 1/4의 폭을 가질 수 있다. 라인 아래의 모든 액체를 경화시키도록 두 라인 사이를 통과하는 활성광이 충분히 회절되기에 충분할 정도로, 편광 라인(3101)의 라인 폭이 작아야 한다. 이 실시예에서, 활성광은 오버레이 마크(3102)의 편광에 따라서 편광될 수 있다. 활성광을 편광하는 것은, 오버레이 마크(3102)를 갖는 영역을 포함하는 모든 템플릿 영역에 상대적으로 균일한 노출을 제공할 수 있다. 템플릿상에 오버레이 마크(3102)를 위치하는데 사용되는 분석광은, 액체 물질을 경화시킬 수 없는 특정 파장 또는 광대역 광일 수 있다. 이 광은 편광될 필요가 없다. 편광 라인(3101)은 측정 광에 대해 실질적으로 불투명이고, 따라서 확립된 오버레이 에러측정도구를 이용하여 오버레이 마크를 눈에 보이도록 한다. 미세 편광된 오버레이 편광은 전자빔 리소그래피와 같은 기존 기술을 이용하여 템플릿상에서 제작될 수 있다.
제3 실시예에서, 오버레이 마크는 템플릿과는 다른 물질로 형성될 수 있다. 예를 들면, 템플릿 오버레이 마크를 형성하기 위해 선택된 물질은 실질적으로 분석광(예컨대, 가시광선)에는 불투명일 수 있지만, 경화제(예컨대, UV 광선)로 사용되는 활성광에는 투명일 수 있다. 예를 들어, x가 2이하인 SiOx는 그러한 물질을 형성할 수 있다. 특히, x가 대략 1.5인 SiOx로 형성된 구조는 가시광선에 실질적으로 불투명이지만 UV 광선에는 투명일 수 있다.
도32는, 기판(20)과 같은 임프린트되어야 할 기판에 대해 템플릿(12)과 같은 템플릿을 교정하고 방향설정하기 위한, 일반적으로 100으로 표시된 시스템의 어셈블리를 도시한다. 시스템(100)은 여기에서 설명한 것과 같이 임프린트 리소그래피 공정을 사용하는 생산환경에서 디바이스의 대규모 제작을 위한 스테퍼와 같은 장치에서 사용될 수 있다. 도시된 바와 같이, 시스템(100)은 하우징(120)을 지지할 수 있는 상부 프레임(110)에 장착될 수 있다. 하우징(120)은 기판(도32에는 도시생략) 주위에 템플릿(150)의 거친 정렬을 위한 선행-교정 스테이지를 포함할 수 있다.
하우징(120)은 하우징(120)에 대향하는 중간 프레임(114)에 부착된 가이드 샤프트(112a, 112b)와 함께 중간 프레임(114)에 결합될 수 있다. 일 실시예에서, 템플릿(150)의 수직이동 동안 상하로 슬라이드할 때 하우징(120)에 지지를 제공하기 위해, 세 개의 가이드 샤프트가 사용될 수 있다(후면 가이드 샤프트는 도32에는 보이지 않는다). 중간 프레임(114) 주위의 대응 가이드 샤프트(112a, 112b)에 부착된 슬라이더(116a,116b)는 하우징(120)의 이러한 상하 이동을 용이하게 할 수 있다.
시스템(100)은 하우징(120)의 하면 부분에 부착된 디스크형상의 베이스 플레이트(122)를 포함할 수 있다. 베이스 플레이트(122)는 디스크형상의 휨 링(124)에 결합될 수 있다. 휨 링(124)은, 제1 휨 부재(126) 및 제2 휨 부재(128)이 포함된 더 낮은 위치의 방향설정 스테이지를 지지할 수 있다. 휨 부재(126,128)의 동작 및 구성이 아래에서 상세히 설명된다. 도33에 도시된 것처럼, 제2 휨 부재(128)는템플릿 지지부(130)를 포함할 수 있고, 이것은 임프린팅 공정동안 템플릿(150)을 제자리에 유지시킬 수 있다. 전형적으로, 템플릿(150)은, 그 위에 임프린트된 소정 피쳐를 갖는 석영 조각을 포함할 수 있다. 템플릿(150)은 또한 공지된 방법에 따라 다른 물질을 포함할 수도 있다.
도33에 도시된 것처럼, 액츄에이터(134a, 134b, 134c)가 하우징(120) 내에 고정될 수 있고, 베이스 플레이트(122) 및 휨 링(124)에 작동적으로 연결될 수 있다. 작동중에는, 액츄에이터(134a, 134b, 134c)가 휨 링(124)의 이동이 달성되도록 제어될 수 있다. 액츄에이터의 이동은 거친 선행-교정을 허락한다. 일부 실시예에서, 액츄에이터(134a, 134b, 134c)는 고분해능 액츄에이터를 포함할 수 있다. 이러한 실시예에서, 액츄에이터는 하우징(120) 주위에 동등하게 떨어져 있을 수 있다. 이러한 실시예는 갭을 정확히 제어하기 위해 수직방향으로의 링의 매우 정확한 이동을 허락할 수 있다. 따라서, 시스템(100)은 임프린트되어야 할 기판에 대해 거친 방향설정 정렬 및 템플릿(150)의 정확한 갭 제어를 달성가능할 수 있다.
시스템(100)은 템플릿(150)의 정확한 제어를 가능하게 하는 메커니즘을 포함하고 있어서, 정확한 방향설정 정렬이 달성될 수 있고 기판 표면에 대해 균일한 갭이 템플릿에 의해 유지될 수 있다. 부가적으로, 시스템(100)은, 기판 표면으로부터 피쳐의 전단없이 임프린팅하는 뒤를 따라, 기판의 표면으로부터 템플릿(150)을 분리하는 방법을 제공할 수 있다. 정확한 정렬 및 갭 제어는 제1 및 제2 휨 부재(각각 126 및 128)의 구성에 의해 용이하게 될 수 있다.
일 실시예에서, 템플릿(5102)은, 도51에 도시된 것과 같은 경화제에 투명한,분리되고 고정된 지지 플레이트(5101)를 사용하여, 제자리에 유지될 수 있다. 다양한 실시예에서, 지지 플레이트는 석영, 사파이어, 또는 SiO2로 형성될 수 있다. 템플릿(5102) 뒤의 지지 플레이트(5101)가 임프린팅 힘을 지지하는 반면, 고정된 지지 플레이트(5101) 및 템플릿(5102) 사이에 진공을 가하는 것은 분리력을 지지할 수 있다. 측면 힘에 대해 템플릿(5102)을 지지하기 위해, 압전 액츄에이터(5103)가 사용될 수 있다. 측면 지지력은 압전 액츄에이터(5103)를 사용하여 조심스럽게 제어될 수 있다. 이러한 설계는 임프린트 리소그래피 공정에서의 층-대-층 정렬에 대해 확장 및 왜곡 정정능력을 또한 제공할 수 있다. 왜곡 정정은, 전자빔 리소그래피에 의해 만들어진 템플릿 구조에 존재하는 배치 에러 및 스티칭(stitching)을 극복하기 위해, 그리고 기판상에 존재하는 이전의 구조에서 왜곡을 보정하기 위해, 매우 중요할 수 있다. 확대 정정은 템플릿의 각 측면상에 하나의 압전 액츄에이터만을 필요로 할 수 있다(즉, 네 측면의 템플릿에 대해 전체 4개의 압전 액츄에이터). 액츄에이터는, 균일한 힘이 전체 표면에 인가될 수 있는 방식으로, 템플릿 표면에 연결될 수 있다. 반면, 왜곡 정정은 템플릿의 각 측면상에 독립적으로 제어된 힘을 가할 수 있는 몇 개의 독립된 압전 액츄에이터를 필요로 할 수 있다. 요구되는 왜곡 제어의 레벨에 의존하여, 독립된 압전 액츄에이터의 개수가 특정될 수 있다. 더 많은 압전 액츄에이터는 더 좋은 왜곡 제어를 제공할 수 있다. 확대 및 왜곡 에러 정정은 템플릿의 상부 표면을 속박하기 위하여 진공을 사용하기 이전에 완료되어야만 한다. 이는 확대 및 왜곡 교정은 템플릿의 상면과 바닥면이속박되지 않을 때에만 적절하게 제어될 수 있기 때문이다. 일부 실시예에서는, 도 51의 템플릿 홀더 시스템은 템플릿(5102) 아래의 일부 영역으로 경화제의 유입에 장애가 될 수 있는 기계적 고안을 가질 수 있다. 이는 템플릿(5102) 아래의 액체 일부가 경화할 수 없기 때문에 바람직하지 않을 수 있다. 이러한 액체는 템플릿에 고착되어 템플릿의 추가적인 사용에 문제를 유발할 수 있다. 템플릿 홀더와 관련한 이러한 문제점은 템플릿(5102)의 하나의 에지 아래의 영역으로 향한 경화제가 템플릿(5102)의 다른 에지 아래의 장애부를 경화하기 위하여 굽어질 수 있는 방식으로, 장애된 경화제를 왜곡하기 위하여 일련의 미러를 템플릿 홀더내로 통합시킴으로써 피할 수 있다.
일 실시예에서, 고분해능 갭 센싱은 기판과 템플릿 사이의 최소갭이 센싱 기법의 이용가능한 범위에 있도록 템플릿을 디자인함으로써 이루어질 수 있다. 측정된 갭은 실제의 패터닝된 표면과 독립적으로 조작될 수 있다. 이것은 갭 제어가 센싱 기법의 이용가능한 범위내에서 수행되어지도록 해준다. 예를 들어, 약 150nm 내지 20 마이크론의 유용한 센싱 범위를 가진 스펙트럼의 반사 분석 기술이 갭을 분석하는데 사용되어 진다면, 템플릿은 약 150nm 또는 그 이상의 깊이를 가지는 템플릿내로 패터닝될 수 있는 피쳐를 가진다. 이것은 센싱될 수 있는 최소한의 갭이 150nm 보다 큰 것을 보장한다.
템플릿이 기판쪽으로 낮추어짐에 따라, 유체는 기판과 템플릿사이의 갭으로부터 배출될 것이다. 기판과 템플릿 사이의 갭은 점성력이 적용된 압축력과 평형상태에 접근할 때 더 낮은 실제 한계에 도달할 수 있다. 이것은 템플릿의 표면이기판에 거의 근접한 때에 일어난다. 예를 들어, 반경 1cm의 템플릿에 1초간 14kPa를 적용시켰을 때 1cP 유체에 대하여 약 100nm의 갭 높이에서 이 형태가 있을 수 있다. 따라서, 일정하고 평행한 갭이 유지된다면 갭은 자체 제한일 수 있다. 또한, 예측가능한 양의 유체가 배출(또는 반출)될 것이다. 반출된 유체의 부피는 면밀한 유체 역학 및 표면 현상 계산을 바탕으로 예측될 수 있다.
생산 규모의 임프린트 패턴닝에 대하여, 기판에 대하여 템플릿의 기울기 및 갭을 제어하는 것이 요구되어진다. 방향설정 및 갭 제어를 이루기 위해, 레티클(reticle) 제조 기법으로 제조된 템플릿이 i) 단일 파장 간섭계, ii) 다중 파장 간섭계, iii) 타원계, iv) 용량 센서, 또는 v) 압력 센서 등과 같은 갭 센싱 기술의 조합에서 사용되어 질 수 있다.
일 실시예에서, 템플릿과 기판사이의 갭을 감지하는 방법이 기판상의 막의 두께를 계산하는데 사용되어 질 수 있다. 광대역 분광계로부터 획득된 반사 데이터의 고속 푸리에 변환(FFT)에 기초한 기법의 상세내용이 여기에 기술된다. 이 기법은 막의 두께를 측정하는 것 뿐만 아니라 템플릿과 기판사이의 갭을 측정하는 데 사용되어 질 수 있다. 다층막에 대해, 이 기법은 각 박막의 평균 두께와 두께의 변동을 제공할 수 있다. 또한, 임프린트 리소그래피 공정의 템플릿-기판과 같은 거의 근접한 상태의 두 면사이의 평균 갭 및 방향설정 정보는 하나의 표면을 통하여 최소한의 3개의 다른 점에서 갭을 측정하는 것에 의해 획득될 수 있다.
일 실시예에서, 갭 측정 공정는 광대역 간섭계와 고속 푸리에 변환(FFT)의 조합에 기초할 수 있다. 현재 산업계에서 여러 가지 활용은 단층막 두께를 측정하기 위해 광대역 간섭계에 대한 다양한 곡선 조정 기법을 활용했다. 그러나, 그런 기법은 특히 임프린트 리소그래피 공정에 대한 다층막의 경우에는 실시간 갭 측정을 제공하지 못할 것으로 예측된다. 그런 문제를 극복하기 위해, 우선 반사율이 1/λhigh에서 1/λlow사이의 파수 영역에서 디지털화될 수 있다. 그리고, 디지털화된 데이터는 FFT 알고리즘을 이용하여 처리될 수 있다. 이 새로운 접근 방법은 측정된 갭에 정확히 대응하는 FFT 신호의 명확한 피크를 산출할 수 있다. 2층의 경우에는, FFT 신호는 각 층의 두께에 선형적으로 관련되는 2개의 명확한 피크를 산출할 수 있다.
광학 박막에 대해, 반사율에서의 진동의 다음식에 의해 단일 광학 박막에서의 반사율에서 보여지는 것과 같이 파장(λ)이 아니라 파수(w)에 주기적이다.
(7)
여기서, ρi, i+1는 i-1 및 i의 접촉면사이의 반사계수, n은 굴절률, d는 측정하기 위한 막(도52의 물질2)의 두께, α는 막(도52의 물질2)의 흡수 계수, w=1/λ.
이런 특성에 기인하여, 푸리에 해석은 w에 의해 표현되는 함수 R의 주기를 결정하는데 유용한 기법이 될 수 있다. 단일 박막에 있어서, 명확히 특정된 단일 피크(p1)는 R(w)의 푸리에 변환이 얻어졌을 때 나올 수 있다. 막 두께(d)는 다음과 같은 이 피크의 위치 함수일 수 있다.
d=p1/(△w×2n) (8)
여기서, △w=wf-ws; wf=1/λmin, ws=1/λmax.
FFT는 이산 신호의 주파수가 연산적으로 효율적인 방법에 의해 계산될 수 있는 기법이다. 따라서, 이 기법은 인시튜(insitu) 해석 및 실시간 응용에 대해 유용할 수 있다. 도34는 반사 신호의 FFT 공정를 통해 박막 또는 갭 측정의 공정 흐름의 일실시예를 도시한다. 다른 반사율을 가지는 다층막에 대해서, FFT 공정의 피크의 위치는 각각의 막 두께의 선형 조합에 대응할 수 있다. 예를 들어, 2층막은 FFT 분석에서 2개의 다른 피크 위치로 될 것이다. 도35는 2개의 피크 위치에 기초하여 2막의 두께를 결정하는 방법을 도시한다.
여기에 기술된 실시예들은 반사율 데이터의 진동이 측정하는 파수 범위내에 하나의 완전한 주기 이하를 포함하는 경우에도 갭 또는 막 두께를 측정할 수 있게 한다. 그런 경우에, FFT는 부정확한 피크 위치를 산출할 수 있다. 이런 문제를 극복하고, 측정가능한 막 두께의 하한치를 확장하기 위해, 새로운 방법이 개시된다. 진동의 주기를 계산하기 위해 FFT알고리즘을 사용하는 대신, ws와 wf사이의 반사율의 국부적인 최소(w1) 또는 최대 포인트(w2)를 알아내는 알고리즘이 주기정보를 계산하는데 사용될 수 있다: w1및 w2에서 dR/dw=0. 식 7의 반사율(R(w))는 w=0에서 최대치를 가진다. 게다가, 전형적인 분광계의 파수 범위(△w)가 ws보다 클 수 있다. 200nm-800nm 파장 범위를 가지는 분광계에 대해서, △w=3/800 이고, 반면에 ws=1/800이다. 따라서, 0-ws 사이의 반사율 데이터의 진동 길이는 △w보다 작을 수있다. 도36에 도시한 바와 같이, R(w)의 최대 포인트가 w=0에서 주어진 경우, △w 범위에서 2가지 경우의 최소 및 최대의 위치가 있을 수 있다. 따라서, 막 두께는 다음과 같이 계산된다:
ㆍ케이스 1 WW0: 국부 최소치가 w1에 존재한다. 따라서, w1=주기적인 진동의 2분의 1이고, d=0.5/(w1×2n)이다.
ㆍ케이스 2 WW1: 국부 최소치가 w2에 존재한다. 따라서, w2=주기적인 진동의 1주기이고, d=1/(w2×2n)이다.
측정툴의 실제적인 구성은 광대역 광원, 화이버 옵틱스(fiber optics)를 가진 분광계, 데이터 획득 보드, 및 프로세싱 컴퓨터를 포함할 수 있다. 여러 존재하는 신호 처리 기법은 FFT 데이터의 감도를 향상시킬 수 있다. 예를 들어, 이것에 한정되지는 않지만 상기 기법은 다음을 포함한다: 필터링, 확대, 증가된 수의 데이터 포인트, 다른 범위의 파장 등이 여기에 기술된 갭 또는 막 두께 측정 방법에 활용되어질 수 있다.
여기에 기술된 실시예는 두 개의 플랫(예를 들어, 템플릿과 기판)사이의 고정밀 갭 및 방향설정 측정 방법을 포함한다. 여기에 개시된 갭 및 방향설정 측정방법은 광대역 간섭계 및 프린지(fringe) 기반 간섭계의 사용을 포함한다. 간섭계를 사용하는 갭 센싱 방법 및 시스템은 렛저(Ledger) 등의 미국특허 제5,515,167호; 찰머스(Chalmers)의 미국특허 제6,204,922호; 버만(Buermann) 등의 미국특허 제6,128,085호; 및 리(Li) 등의 미국특허 제6,091,485호에 개시되어 있고, 여기에충분히 기재되어 있는 것처럼 참조로서 포함되어 있다. 일 실시예에서, 광대역 간섭계를 사용하는 방법은 이름하여 광대역 신호의 평균 파장의 약 1/4보다 작은 갭을 정확히 측정할 수 없다는 광대역 간섭계의 단점을 극복할 수 있다. 간섭 프린지 기반 간섭계는 장착된 후 곧바로 템플릿의 방향설정에 있어서 에러를 센싱하는데 사용되어 질 수 있다.
임프린트 리소그래피 공정은 단일 및 다중 층 디바이스를 제조하기 위해 구현될 수 있다. 마이크론 크기 광학 미러와 같은 단일 층 디바이스, 고분해 광필터, 광 가이드는 기판상에 어떤 기하학적인 형태로 박막물질을 형성함으로써 제조될 수 있다. 이러한 디바이스의 임프린트된 층의 두께는 광대역 신호의 평균 파장의 1/4이하일 수 있고, 활성 영역에 걸쳐 일정할 수 있다. 광대역 간섭계의 단점은 광대역 신호의 평균 파장의 약 1/4이하(예를 들어, 180nm)의 갭을 정확히 측정할 수 없다는 것이다. 일 실시예에서, 정확히 측정될 수 있는 마이크로미터 크기 계단이 템플릿의 표면내로 에칭될 수 있다. 도37에 도시된 바와 같이, 계단이 측정될 수 있는 연속적인 라인(3701) 또는 다중의 분리된 점(3702)의 형태로 아래로 에칭될 것이다. 분리된 점(3702)은 템플릿상의 유용한 활성영역을 최대화한다는 관점에서 바람직하다. 패터닝된 템플릿 표면이 기판으로부터 단지 수 나노미터 떨어져 있다면, 광대역 간섭계는 최소 갭 측정 문제로 곤란을 겪지 않고 갭을 정확히 측정할 수 있다.
도38은 여기에 기술된 갭 측정의 개략도를 도시한다. 프로브(3801)는 도39에 도시된 바와 같이 기울어진 구성으로 사용되어 질 수 있다. 3개 이상의 프로브가사용된다면, 잉여 정보를 이용하여 갭 측정 정확성이 향상된다. 단순화하기 위해, 이하의 기술에서는 3개의 프로브를 사용하는 것으로 한다. 계단 크기(hs)는 예시를 위해 확대된다. 패터닝된 영역에서 평균 갭(hp)는 다음과 같이 주어진다:
hp=[(h1+h2+h3)/3]-hs(9)
프로브의 위치가 알려져 있다면((xi,yi), 여기서 x와 y축은 기판 표면에 있다.), 기판에 대하여 템플릿의 상대적인 방향설정은 그 x-y축이 기판의 상부 표면에 있는 프레임에 대해 템플릿 표면에 법선인 유닛 벡터(n)로 표현될 수 있다.
n= r/ ∥r∥(10)
여기서, r=[(x3,y3,h3)-(x1,y1,h1)]×[(x2,y2,h2)-(x1,y1,h1)]이다. 두 개의 플랫사이에서 정확한 방향설정 정렬은 n=(0 0 1)T, 또는 h1=h2=h3일 때 이루어진다.
측정된 갭 및 방향설정은 임프린트 액츄에이터에 피드백 정보로 사용된다. 측정하는 광대역 간섭계 빔의 크기는 약 75㎛만큼 작을 수 있다. 실제적인 임프린트 리소그래피 공정에 대해, 패턴이 클리어 영역에 에칭될 수 없기 때문에 갭을 특정하는 데에만 사용되는 클리어 영역을 최소화하는 것이 바람직하다. 게다가, 측정 툴의 존재에 기인하는 경화제의 방해도 최소화되어야 한다.
도40은 기판상의 다층 물질의 개략도이다. 예를 들어, 기판(4001)은 층(4002, 4003), 및 기판(4001)과 템플릿(4004)사이의 유체(4005)를 가지고 있다. 이 물질 층은 수직적으로 하나 하나씩 다중 패턴을 기판 표면상에 전사하는데 사용된다. 각각의 두께는 광 빔(4006)을 사용하여 갭 측정이 이루어지는 클리어 영역상에서 일정하다. 광대역 간섭계를 사용하여, 다층막에서 상부 층의 두께가 정확히 측정될 수 있는 것이 알려져 있다. 광학 특성과 하부 층막의 두께가 정확히 알려져 있다면, 템플릿과 기판 표면(또는, 다층 디바이스에 대해 표면에 증착된 금속)사이의 갭 및 방향설정 정보가 상부 층 두께를 측정하는 것에 의해 얻어질 수 있다. 각 층의 두께는 동일한 센싱 측정 프로브를 이용하여 측정될 수 있다.
새로운 템플릿이 장착되거나 머신 소자가 재구성되었을 때 방향설정 측정 및 대응하는 교정을 수행할 필요가 있다. 템플릿(4102)과 기판(4103)사이의 방향설정 에러는 도41에 도시된 바와 같이 템플릿과 기판 접촉면에서 간섭 프린지 패턴을 통해 측정될 수 있다. 2개의 광학 플랫에 대해, 간섭 프린지 패턴은 평행한 명암의 밴드(4101)로 나타날 수 있다. 방향설정 교정은 여기에 기술되는 선행 교정 스테이지를 사용하여 수행될 수 있다. 차동 마이크로미터는 기판 표면에 대해 템플릿의 상대적인 방향설정을 조정하는데 사용되어 질 수 있다. 이러한 접근 방법을 사용하여, 간섭 프린지 대역이 나타나지 않는다면, 사용된 광원의 파장의 1/4이하로 방향설정 에러가 보정될 것이다.
도42A 및 42B를 참조하면, 제1 및 제2 휨 부재(126, 128)의 실시예가 각각 상세히 도시되어 있다. 상세하게는, 제1 휨 부재(126)는 대응하는 단단한 몸체(164,166)에 결합된 복수의 휨 조인트(160)를 포함한다. 휨 조인트(160) 및 단단한 몸체(164, 166)는 프레임(170)으로부터 연장되는 암(172, 174)의 일부를 형성한다. 휨 프레임(170)은 지지부(130)에 유지될 때 템플릿(150)에 이르기 위해 경화제(예컨대, 활성광) 및 센싱제(sensing agent)(예컨대, 분석광)의 침투를 허용하는 개구(182)를 가지고 있다. 일부 실시예에서, 4개의 휨 조인트(160)는 제1 방향설정 축(180)에 대해 휨 부재(126)의 이동을 제공한다. 제1 휨 부재(126)의 프레임(170)은 도43에 도시한 바와 같이 제2 휨 부재(128)와 결합하기 위해 결합 매카니즘을 제공한다.
이와 비슷하게, 제2 휨 부재(128)는 프레임(206)으로부터 연장되는 한 쌍의 암(202, 204)을 포함한다. 암(202, 204)은 휨 조인트(162) 및 대응하는 단단한 몸체(208, 210)를 포함한다. 단단한 몸체(208, 210)는 제2 방향설정 축(200)에 대해 휨 부재(128)의 이동을 야기하도록 적용될 수 있다. 템플릿 지지부(130)는 제2 휨 부재(128)의 프레임(206)에 일체로 형성될 수 있다. 프레임(182)와 같이, 프레임(206)은 지지부(130)에 의해 유지되는 템플릿(150)에 경화제 및 센싱제가 도달하도록 해주는 개구(212)를 가질 수 있다.
작동에서, 제1 휨 부재(126)와 제2 휨 부재(128)은 도43에 도시된 바와 같이 방향설정 스테이지(250)를 형성하기 위해 결합된다. 브래이스(brace)(220, 222)는 제1 방향설정축(180)과 제2 방향설정축(200)이 실질적으로 서로 직교하도록 2개의 피스의 결합을 용이하게 하기 위해 제공된다. 그런 구성에서, 제1 방향설정축(180)과 제2 방향설정축은 대략 템플릿 기판 접합면(254)의 피봇 지점(252)에서 교차한다. 제1 방향설정축(180)과 제2 방향설정축(200)이 직교하고, 접합면(254)에 놓여 있다는 사실은 정교한 정렬 및 갭 제어를 제공한다. 상세하게는 이러한 배열로, 층-대-층 오버레이 정렬로부터의 방향설정 정렬의 감결합(decoupling)이 이루어진다. 게다가, 아래에 설명하는 바와 같이, 제1 방향설정축(180)과 제2 방향설정축(200)의 상대적인 위치는 바람직한 피쳐를 빼앗음이 없이 기판으로부터 템플릿(150)을 분리하는데 사용되는 방향설정 스테이지(250)를 제공한다. 따라서, 템플릿(150)으로부터 전사된 피쳐는 기판상에 그대로 유지된다.
도42A, 42B, 및 43을 참조하면, 휨 조인트(160, 162)는 노치의 가장 얇은 단면적을 따라 위치되어 있는 피봇 축에 대하여 단단한 몸체(164, 166, 208, 210)의 이동을 제공하도록 형성되어 노치될 수 있다. 이런 구성은 감결합된 부속 이동 축(180, 200)을 가지는 정교하게 감결합된 방향설정 스테이지(250)에 대한 2개의 휨-기반 서브 시스템을 제공할 수 있다. 휨 부재(126, 128)는 템플릿(150)의 이동이 기판으로부터 임프린트된 피쳐를 변형시키는 다른 이동이나 "스윙"을 실질적으로 제거하는 피벗 포인트(252) 근처에서 일어나도록 면의 결합을 통해 조립된다. 따라서, 방향설정 스테이지(250)는 피봇 지점(252) 주위에서 템플릿(150)을 정확하게 이동시킬 수 있고, 따라서 임프린트 리소그래피 이후에 기판으로부터 요구되는 피쳐를 빠앗는 것을 제거할 수 있다.
도44를 참조하면, 시스템(100)의 작동동안, Z-변환 스테이지(도시되지 않음)는 방향설정 정렬을 제공함이 없이 기판과 템플릿(150)사이의 거리를 제어할 수 있다. 선행 교정 스테이지(260)는 방향설정 스테이지(250)의 이동 범위 한계내에서 상대적인 정렬을 하도록 기판 표면과 템플릿(150)사이의 예비 정렬 작동을 수행한다. 일부 실시예에서, 선행 교정은 단지 새로운 템플릿이 머신내로 장착될 때에만 필요할 수 있다.
도45를 참조하면, 일반적으로 300으로 표기된, 방향설정 스테이지와 (250)같은 미세 감결합된 방향설정 스테이지의 작동 원리를 이해하는데 유용한 휨 모델이 도시된다. 휨 모델(300)은 4개의 평행한 조인트를 포함한다: 조인트(1,2,3,4)는 명목상의 회전구성에서 4개의-막대-연결 시스템을 제공한다. 라인(310)은 조인트(1,2)를 통해 지난다. 라인(312)은 조인트(3,4)를 통해 지난다. 각도(α12)는 부속 정렬 축(또는 방향설정 축)이 실질적으로 템플릿-웨이퍼 접촉면(254)상에 놓이도록 선택된다. 미세 방향설정 변화에 대해 조인트(2,3)사이의 단단한 몸체(314)가 점 C로 도시된 축에 대해 회전한다. 단단한 몸체(314)는 휨 부재(126, 128)의 단단한 몸체(170, 206)에 대응된다.
제2 휨 소자를 제1 휨 소자(도43에 도시)에 직교로 장착하는 것은 서로 직교하고, 템플릿-기판 접촉면(254)위에 놓인 2개의 감결합된 방향설정축을 가진 디바이스를 제공할 수 있다. 휨 소자는 경화제(예컨대, 활성광) 및 센싱제(예컨대, 분석광)가 템플릿(150)을 통과하도록 하는 개구를 가지도록 적용될 수 있다.
방향설정 스테이지(250)는 기판에 대하여 템플릿(150)의 미세 정렬과 정확한 이동을 할 수 있다. 이상적으로는, 방향설정 조정은 접촉면에서 무시할 수 있는 측면 이동을 야기할 수 있고, 그리고 선택적으로 억제된 고 구조적 강성 때문에 접촉면의 법선에 대하여 무시할 수 있는 이그러짐 이동을 야기할 수 있다. 휨 조인트(160, 162)를 가진 휨 부재(126, 128)의 다른 이점은 그들이 마찰 조인트로 발생할 수 있는 입자를 발생시키지 않는다는 것이다. 이것은 입자가 임프린트 리소그래피 공정에서 특히 해롭기 때문에 중요한 요소이다.
미세 갭 제어의 필요 때문에, 여기에 기술된 실시예는 템플릿과 기판사이의 500nm 보다 작은 갭을 측정할 수 있는 갭 센싱 방법의 활용을 필요로 할 수 있다. 그런 갭 센싱 방법은 50나노미터 이하의 분해능을 필요로 한다. 이상적으로, 그런 갭 센싱은 실시간으로 제공되어 질 수 있다. 실시간으로 갭 센싱을 제공하는 것은 갭 센싱이 동적으로 액츄에이터를 제어하기 위한 피드백 신호를 발생하는데 사용되어 질 수 있게 한다.
일 실시예에서, 능동 추종성을 가지는 휨 부재가 제공된다. 예를 들어, 도46은 압전 액츄에이터를 가지는 일반적으로 400으로 표기된 휨 부재를 도시한다. 휨 부재(400)은 동적 방향설정 스테이지를 형성하기 위해 제2 휨 부재와 결합한다. 휨 부재(400)는 템플릿-기판 접합면에서 측면 이동없이 순수한 기울임 동작을 발생할 수 있다. 그런 휨 부재를 사용하면, 단일 오버레이 정렬 단계는 전체 반도체 웨이퍼 상에 층의 임프린트을 허용한다. 이것은 방향설정 및 측면 이동사이에 결합된 동작을 가지는 오버레이 정렬과 대조된다. 그런 오버레이 정렬 단계는 X-Y 정렬에서 장애를 일으킬 수 있고, 따라서 적당한 정렬을 보장하기 위해 복잡한 필드-대-필드 오버레이 제어 루프를 필요로 한다.
일 실시예에서, 휨 부재(250)는 측면 운동이나 회전이 바람직하지 않은 방향으로 강한 강성을 가지고, 필요한 방향설정 운동이 바람직한 방향으로는 약한 강성을 가진다. 그런 실시예는 선택적인 부속 디바이스를 제공한다. 즉, 휨 부재(250)는 템플릿과 기판사이에 적당한 방향설정 운동을 이루는 동안에 상대적으로 높은부하를 지지할 수 있다.
임프린트 리소그래피와 함께, 두개의 거의 평평한 표면(즉, 템플릿과 기판) 사이에 균일한 갭을 유지하는 것이 바람직할 수 있다. 템플릿(150)은 실질적으로 바닥상에 평평하게 되도록 하기 위해 광학 플랫 글래스(flat glass)로 만들어질 수 있다. 그러나, 기판(예컨대, 반도체 웨이퍼)은 그 지형상의 마이크론-스케일 변화를 초래하는 "포테이토 칩" 효과를 나타낼 수 있다. (도47에 도시된 것 같은) 진공 처크(478)는 임프린팅 동안 발생할 수 있는 기판 표면에 걸친 변화를 제거할 수 있다.
진공 처크(478)는 두개의 주요 목적에 도움이 된다. 첫째, 진공 처크(478)는 임프린팅 동안 기판을 제자리에 유지시키고 또한 임프린팅 공정동안 기판이 평평하게 있도록 하는데 사용될 수 있다. 부가적으로, 진공 처크(478)는 공정 동안 기판의 뒷면에 어떠한 입자도 존재하지 않도록 보장할 수 있다. 뒷면의 입자가 디바이스를 쓸모없게 하고 수율을 감소시키는 평탄성 문제를 야기하기 때문에, 이것은 임프린트 리소그래피에 특히 중요한다. 도48A 및 도48B는 두개의 실시예에 따라 이러한 목적에 알맞은 진공 처크의 변화를 도시한다.
도48A에서, 핀-형태 진공 처크(450)가 다수의 핀(452)을 갖는 것으로 도시되어 있다. 진공 처크(450)는 공정 동안 기판상의 다른 기울어짐 뿐만 아니라 "포테이토 칩" 효과도 제거할 수 있다. 진공 채널(454)은, 기판을 제자리로 유지하기 위해 기판에 진공을 인가하는 수단으로서 제공될 수 있다. 진공 채널(454)을 통해 인가되는 힘으로부터 기판이 실질적으로 휘어지지 않도록, 핀(452) 사이의 간격이유지된다. 동시에, 핀(452)의 끝은 입자들이 이들의 상부에 앉을 확률을 낮추기에 충분하도록 작다.
도48B는 그 표면에 걸쳐 다수의 홈(462)을 갖는 홈-형태 진공 처크(460)를 도시한다. 홈(462)은 핀-형태 진공 처크(450)의 핀(454)과 유사한 기능을 수행한다. 도시된 바와 같이, 홈(462)은 벽 형상(464) 또는 부드러운 곡선단면(466)을 취할 수 있다. 홈-형태 진공 처크(462)의 홈(462)의 단면은 에칭 공정을 통해 조정될 수 있다. 또한, 각 홈의 간격과 크기는 수백 마이크론 정도로 작다. 처크 표면에 대해 평행하게 뻗어있는 다수의 홈에 걸친 미세 진공 채널을 통해 각 홈(462)으로의 진공 흐름이 제공될 수 있다. 미세 진공 채널은 에칭 공정을 통해 홈을 따라서 형성될 수 있다.
도47은 핀-형태 진공 처크(450)와 홈-형태 진공 처크(460) 모두의 제작 공정을 나타낸다. 광학 플랫(470)을 사용하면, 이 공정에 대해 어떤 부가적인 그라인딩(grinding) 및/또는 연마(polishing) 단계도 필요하지 않다. 광학 플랫(470) 상의 소정 위치에 구멍을 뚫는 것은 진공 흐름 홀(472)을 제공할 수 있다. 그 후, 소망하는 피쳐(예컨대, 핀 또는 홈)를 만들기 위해 에칭(476) 이전에 광학 플랫(470)이 마스크되고 패터닝될 수 있다(474). 광학 플랫(470)의 표면은 공지된 방법을 통해 처리된다(479).
상술한 바와 같이, 임프린트된 층으로부터 템플릿(150)의 분리는 임프린트 리소그래피 공정에서 중요하고 최종 단계이다. 템플릿(150)과 기판은 거의 완벽하게 평행하기 때문에, 템플릿, 임프린트된 층, 및 기판의 조합은 가까운 광학 플랫사이의 실질적으로 일정한 접촉으로 될 것이다. 그런 시스템은 일반적으로 큰 분리력을 필요로 한다. 유연한 템플릿 또는 기판의 경우에는, 분리는 단지 "필링 공정"이다. 그러나, 유연한 템플릿 또는 기판은 고분해능 오버레이 정렬의 관점에서 보면 바람직하지 않다. 수정 템플릿 및 실리콘 기판의 경우에, 필링 공정은 쉽게 구현되지 못한다. 그러나, 임프린트된 층으로부터 템플릿의 분리는 "필링 및 풀링", 공정에 의해 성공적으로 수행될 수 있다. 제1 필링 및 풀링 공정이 도49A, 49B, 49C에 도시된다. 제2 필링 및 풀링 공정이 도 50A, 50B, 50C에 도시된다. 임프린트된 층으로부터 템플릿의 분리하는 공정은 제1 및 제2 필링 및 풀링 공정의 조합을 포함할 수 있다.
간략화를 위해, 참조번호(12,18,20,40)가 도1A 및 1B에 따라서 각각 템플릿, 전사층, 기판, 경화가능한 물질을 참조하도록 사용된다. 물질을 경화한 후, 템플릿(12) 또는 기판(20)은 의도적으로 템플릿(12)과 기판(20)사이의 각도(500)를 이루도록 기울어진다. 방향설정 스테이지(250)는 이 목적에 사용된다. 기판(20)은 진공 청크(478)에 의해 유지된다. 템플릿(12)과 기판(20)의 상대적인 측면 이동은 기울이는 축이 템플릿-기판 접합면에 가까이 위치한다면 기울이는 동작동안 무시할 만 하다. 템플릿(12) 및 기판(20)의 각도(500)가 충분히 크다면, 템플릿(12)은 단지 Z축 이동(즉, 수직 이동)을 이용하여 기판(20)으로부터 분리될 수 있다. 이러한 필링 및 풀링 방법은 바람직하지 않은 변형없이 요구되는 피쳐(44)가 전사층(18) 및 기판(20)에 그대로 남아 있도록 한다.
제2 필링 및 풀링 방법이 도50A, 50B, 50C에 도시된다. 제2 필링 및 풀링 방법에서, 하나이상의 압전 액츄에이터(502)가 템플릿 근처에 장착된다. 하나이상의 압전 액츄에이터(502)는 템플릿(12)과 기판(20)사이의 상대적인 기울임을 유도한다(도50A). 압전 액츄에이터(502)의 일단이 기판(20)과 접촉한다. 따라서, 액츄에이터(502)가 확대된다면(도50B), 템플릿(12)은 기판(20)으로부터 떨어져 밀어질 것이다: 따라서, 그들사이의 각도가 유도된다. 템플릿(12)와 기판(20)사이의 Z축 이동(도50C)은 템플릿(12)과 기판(20)을 분리하는데 사용된다. 액츄에이터(502)의 일단부는 임프린트된 층이 액츄에이터의 표면에 고정되지 않도록 템플릿(12)의 하부 표면의 처리와 비슷하게 처리된 표면일 수 있다.
요약하면, 여기에 기술된 실시예는 고온 또는 고압의 사용을 필요로 하지 않는 유용한 임프린트 리소그래피를 위한 시스템, 공정 및 관련 디바이스를 개시한다. 어떤 실시예로, 템플릿과 템플릿으로부터 요구되는 피쳐가 전사되는 기판 사이의 갭의 정확한 제어가 이루어질 수 있다. 게다가, 기판으로부터(그리고, 임프린트된 층) 템플릿의 분리가 바람직한 피쳐의 파괴나 변형없이 가능하다. 실시예는 또한 적당한 진공 청크의 형태에서, 임프린트 리소그래피동안 기판을 유지하는 방법을 개시한다. 다른 실시예는 임프린트 리소그래피 시스템에서 사용하기 적당한 고정밀도 X-Y변환 스테이지를 포함한다. 부가적으로, 적당한 임프린트 리소그래피 템플릿의 제조 및 취급 방법이 제공된다.
본 발명이 다양한 예시적인 실시예를 참조하여 기술되었지만, 상기 기재가 제한적인 의미로 해석되어서는 안된다. 다양한 변형과 본발명의 다른 실시예와 예시적인 실시예의 조합이 당업자에게는 명백할 것이다. 따라서, 첨부한 청구범위가어떤 변형이나 실시예를 포함하는 것으로 해석되어야 한다.

Claims (173)

  1. 임프린트 리소그래피 템플릿에 있어서,
    제1 표면을 갖는 몸체;
    상기 제1 표면 위의 복수의 오목부; 및
    상기 몸체위의 적어도 하나의 정렬 마크를 포함하고,
    상기 오목부의 적어도 일부가 대략 250㎚ 이하의 피쳐 크기를 가지고, 상기 템플릿은 활성광에 실질적으로 투명인 것을 특징으로 하는 임프린트 리소그래피 템플릿.
  2. 제 1 항에 있어서, 상기 몸체의 적어도 일부가 실리콘, 이산화 실리콘, 실리콘 게르마늄 카본, 갈륨 질화물, 실리콘 게르마늄, 사파이어, 갈륨 비소, 에피텍셜 실리콘, 폴리-실리콘, 게이트 산화물, 석영 또는 이들의 조합으로 구성되는 것을 특징으로 하는 템플릿.
  3. 제 1 항에 있어서, 상기 몸체의 적어도 일부가 SiOx를 포함하고, 여기서 x는 2이하인 것을 특징으로 하는 템플릿.
  4. 제 1 항에 있어서, 상기 몸체의 적어도 일부가 인듐 주석 산화물을 포함하는것을 특징으로 하는 템플릿.
  5. 제 1 항에 있어서, 상기 제1 표면상의 복수의 오목부가 제1 깊이를 갖는 제1 오목부 및 제2 깊이를 갖는 제2 오목부를 포함하고, 상기 제2 깊이가 상기 제1 깊이보다 더 깊은 것을 특징으로 하는 템플릿.
  6. 제 1 항에 있어서, 상기 제1 표면상의 복수의 오목부가 제1 깊이를 갖는 제1 오목부 및 제2 깊이를 갖는 적어도 하나의 제2 오목부를 포함하고, 상기 제2 깊이가 상기 제1 깊이보다 더 깊고, 상기 제1 깊이가 250㎚ 이하인 것을 특징으로 하는 템플릿.
  7. 제 1 항에 있어서, 상기 몸체가 상기 제1 표면에 대향하는 제2 표면을 더 포함하고, 상기 제2 표면은 공지된 깊이의 적어도 하나의 오목부를 포함하는 것을 특징으로 하는 템플릿.
  8. 제 1 항에 있어서, 상기 몸체의 일부분에 형성된 유체 제한 구조를 더 포함하는 것을 특징으로 하는 템플릿.
  9. 제 1 항에 있어서, 상기 복수의 오목부의 적어도 일부가 상기 제1 표면에 수직인 방향으로 변화하는 폭을 갖는 것을 특징으로 하는 템플릿.
  10. 제 1 항에 있어서, 상기 제1 표면이 25℃에서 측정된 대략 40dynes/㎝ 이하인 표면 자유 에너지를 갖는 것을 특징으로 하는 템플릿.
  11. 제 1 항에 있어서, 상기 제1 표면이 25℃에서 측정된 대략 20dynes/㎝ 이하인 표면 자유 에너지를 갖는 것을 특징으로 하는 템플릿.
  12. 제 1 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명인 것을 특징으로 하는 템플릿.
  13. 제 1 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명이고, 상기 분석광이 가시광선을 포함하는 것을 특징으로 하는 템플릿.
  14. 제 1 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명이고, 상기 분석광이 적외선을 포함하는 것을 특징으로 하는 템플릿.
  15. 제 1 항에 있어서, 상기 정렬 마크가 상기 몸체의 표면상에 에칭된 복수의 라인을 포함하는 것을 특징으로 하는 템플릿.
  16. 제 1 항에 있어서, 상기 정렬 마크가 상기 몸체의 표면상에 에칭된 복수의 라인을 포함하고, 상기 라인은 활성광을 실질적으로 확산시키고 또한 분석광 하에서 분석가능 마크를 만들도록 구성되어 있는 것을 특징으로 하는 템플릿.
  17. 제 1 항에 있어서, 상기 정렬 마크가 SiOx를 포함하고, 여기서 x는 2이하인 것을 특징으로 하는 템플릿.
  18. 제 1 항에 있어서, 상기 정렬 마크가 SiOx를 포함하고, 여기서 x는 대략 1.5인 것을 특징으로 하는 템플릿.
  19. 제 1 항에 있어서, 상기 제1 표면이 임프린팅 영역 및 커프 영역을 포함하고, 상기 복수의 오목부의 적어도 일부가 상기 임프린팅 영역상에 패턴을 형성하는 것을 특징으로 하는 템플릿.
  20. 제 1 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하는 것을 특징으로 하는 템플릿.
  21. 제 1 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 물과 알킬실란, 플루오로알킬실란, 또는 플루오로알킬트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 템플릿.
  22. 제 1 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 물과 트리디카플루오로-1,1,2,2-테트라하이드록틸트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 템플릿.
  23. 제 1 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 40dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 템플릿.
  24. 제 1 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 20dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 템플릿.
  25. 제 1 항에 있어서, 상기 몸체의 적어도 하나의 에지 위에 전도성 코팅을 더 포함하는 것을 특징으로 하는 템플릿.
  26. 제 1 항에 있어서, 상기 몸체의 적어도 하나의 에지 위에 반사 코팅을 더 포함하는 것을 특징으로 하는 템플릿.
  27. 제 1 항에 있어서, 상기 몸체의 적어도 하나의 에지에 결합된 미러를 더 포함하는 것을 특징으로 하는 템플릿.
  28. 제 1 항에 있어서, 상기 몸체의 일부분에 형성된 갭 센싱 영역을 더 포함하는 것을 특징으로 하는 템플릿.
  29. 제 1 항에 있어서, 상기 활성광이 자외선광을 포함하는 것을 특징으로 하는 템플릿.
  30. 제 1 항에 있어서, 상기 몸체에 결합된 템플릿 블랭크를 더 포함하고, 상기 템플릿 블랭크는 활성광에 실질적으로 투명인 것을 특징으로 하는 템플릿.
  31. 제 1 항에 있어서, 접착제에 의해 상기 몸체에 접착된 템플릿 블랭크를 더 포함하고, 상기 템플릿 블랭크와 상기 접착제는 활성광에 실질적으로 투명인 것을 특징으로 하는 템플릿.
  32. 제 1 항 기재의 임프린트 리소그래피 템플릿을 사용하여 형성된 것을 특징으로 하는 디바이스.
  33. 임프린트 리소그래피 템플릿에 있어서,
    제1 표면을 갖는 몸체;
    상기 제1 표면 위의 복수의 오목부; 및
    제1 표면 또는 제2 표면 위에 공지된 깊이의 오목부를 갖는 적어도 하나의 갭 센싱 영역을 포함하고,
    상기 오목부의 적어도 일부는 대략 250㎚ 이하의 피쳐 크기를 가지고, 상기 템플릿은 활성광에 실질적으로 투명인 것을 특징으로 하는 임프린트 리소그래피 템플릿.
  34. 제 33 항에 있어서, 상기 갭 센싱 영역이 대략 100㎚보다 더 깊은 깊이를 갖는 것을 특징으로 하는 템플릿.
  35. 제 33 항에 있어서, 상기 몸체의 적어도 일부가 실리콘, 이산화 실리콘, 실리콘 게르마늄 카본, 갈륨 질화물, 실리콘 게르마늄, 사파이어, 갈륨 비소, 에피텍셜 실리콘, 폴리-실리콘, 게이트 산화물, 석영 또는 이들의 조합으로 구성되는 것을 특징으로 하는 템플릿.
  36. 제 33 항에 있어서, 상기 몸체의 적어도 일부가 SiOx를 포함하고, 여기서 x는 2이하인 것을 특징으로 하는 템플릿.
  37. 제 33 항에 있어서, 상기 몸체의 적어도 일부가 인듐 주석 산화물을 포함하는 것을 특징으로 하는 템플릿.
  38. 제 33 항에 있어서, 상기 제1 표면상의 복수의 오목부가 제1 깊이를 갖는 제1 오목부 및 제2 깊이를 갖는 제2 오목부를 포함하고, 상기 제2 깊이가 상기 제1 깊이보다 더 깊은 것을 특징으로 하는 템플릿.
  39. 제 33 항에 있어서, 상기 제1 표면상의 복수의 오목부가 제1 깊이를 갖는 제1 오목부 및 제2 깊이를 갖는 적어도 하나의 제2 오목부를 포함하고, 상기 제2 깊이가 상기 제1 깊이보다 더 깊고, 상기 제1 깊이가 250㎚ 이하인 것을 특징으로 하는 템플릿.
  40. 제 33 항에 있어서, 상기 몸체의 일부분에 형성된 유체 제한 구조를 더 포함하는 것을 특징으로 하는 템플릿.
  41. 제 33 항에 있어서, 상기 복수의 오목부의 적어도 일부가 상기 제1 표면에 수직인 방향으로 변화하는 폭을 갖는 것을 특징으로 하는 템플릿.
  42. 제 33 항에 있어서, 상기 제1 표면이 25℃에서 측정된 대략 40dynes/㎝ 이하인 표면 자유 에너지를 갖는 것을 특징으로 하는 템플릿.
  43. 제 33 항에 있어서, 상기 제1 표면이 25℃에서 측정된 대략 20dynes/㎝ 이하인 표면 자유 에너지를 갖는 것을 특징으로 하는 템플릿.
  44. 제 33 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명인 것을 특징으로 하는 템플릿.
  45. 제 33 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명이고, 상기 분석광이 가시광선을 포함하는 것을 특징으로 하는 템플릿.
  46. 제 33 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명이고, 상기 분석광이 적외선을 포함하는 것을 특징으로 하는 템플릿.
  47. 제 33 항에 있어서, 상기 정렬 마크가 상기 몸체의 표면상에 에칭된 복수의 라인을 포함하는 것을 특징으로 하는 템플릿.
  48. 제 33 항에 있어서, 상기 정렬 마크가 상기 몸체의 표면상에 에칭된 복수의라인을 포함하고, 상기 라인은 활성광을 실질적으로 확산시키고 또한 분석광 하에서 분석가능 마크를 만들도록 구성되어 있는 것을 특징으로 하는 템플릿.
  49. 제 33 항에 있어서, 상기 정렬 마크가 SiOx를 포함하고, 여기서 x는 2이하인 것을 특징으로 하는 템플릿.
  50. 제 33 항에 있어서, 상기 정렬 마크가 SiOx를 포함하고, 여기서 x는 대략 1.5인 것을 특징으로 하는 템플릿.
  51. 제 33 항에 있어서, 상기 템플릿이 대략 250㎚ 이하의 평면성(planarity)을 갖는 것을 특징으로 하는 템플릿.
  52. 제 33 항에 있어서, 상기 템플릿이 대략 500㎚ 이하의 평면성을 갖는 것을 특징으로 하는 템플릿.
  53. 제 33 항에 있어서, 상기 제1 표면이 임프린팅 영역 및 커프 영역을 포함하고, 상기 복수의 오목부의 적어도 일부가 상기 임프린팅 영역상에 패턴을 형성하는 것을 특징으로 하는 템플릿.
  54. 제 33 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하는 것을 특징으로 하는 템플릿.
  55. 제 33 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 물과 알킬실란, 플루오로알킬실란, 또는 플루오로알킬트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 템플릿.
  56. 제 33 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 물과 트리디카플루오로-1,1,2,2-테트라하이드록틸트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 템플릿.
  57. 제 33 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 40dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 템플릿.
  58. 제 33 항에 있어서, 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 20dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 템플릿.
  59. 제 33 항에 있어서, 상기 몸체의 적어도 하나의 에지 위에 전도성 코팅을 더포함하는 것을 특징으로 하는 템플릿.
  60. 제 33 항에 있어서, 상기 몸체의 적어도 하나의 에지 위에 반사 코팅을 더 포함하는 것을 특징으로 하는 템플릿.
  61. 제 33 항에 있어서, 상기 몸체의 적어도 하나의 에지에 결합된 미러를 더 포함하는 것을 특징으로 하는 템플릿.
  62. 제 33 항에 있어서, 상기 활성광이 자외선광을 포함하는 것을 특징으로 하는 템플릿.
  63. 제 33 항에 있어서, 상기 몸체에 결합된 템플릿 블랭크를 더 포함하고, 상기 템플릿 블랭크는 활성광에 실질적으로 투명인 것을 특징으로 하는 템플릿.
  64. 제 33 항에 있어서, 접착제에 의해 상기 몸체에 접착된 템플릿 블랭크를 더 포함하고, 상기 템플릿 블랭크와 상기 접착제는 활성광에 실질적으로 투명인 것을 특징으로 하는 템플릿.
  65. 제 33 항 기재의 임프린트 리소그래피 템플릿을 사용하여 형성된 것을 특징으로 하는 디바이스.
  66. 임프린트 리소그래피 템플릿을 형성하는 방법에 있어서,
    활성광에 실질적으로 투명인 재료를 얻는 단계;
    상기 재료의 제1 표면 위에 복수의 오목부를 형성하는 단계; 및
    상기 재료 위에 적어도 하나의 정렬 마크를 형성하는 단계를 포함하고,
    상기 복수의 오목부의 적어도 일부가 대략 250㎚ 이하의 피쳐 크기를 갖는 것을 특징으로 하는 템플릿 형성방법.
  67. 제 66 항에 있어서, 상기 제1 표면 위에 복수의 오목부를 형성하는 단계가 재료를 에칭하는 단계를 포함하는 것을 특징으로 하는 템플릿 형성방법.
  68. 제 66 항에 있어서, 상기 제1 표면 위의 복수의 오목부를 형성하는 단계가, 광학 리소그래피, 전자 빔 리소그래피, 이온-빔 리소그래피, x-레이 리소그래피, 극자외선 리소그래피, 스캐닝 프로브 리소그래피, 포커스 이온빔 밀링, 간섭 리소그래피, 에피텍셜 성장, 박막 증착, 화학적 에칭, 플라즈마 에칭, 이온 밀링, 또는 반응성 이온 에칭 프로세스를 사용하는 단계를 포함하는 것을 특징으로 하는 템플릿 형성방법.
  69. 제 66 항에 있어서, 상기 제1 표면이 실질적으로 평판, 포물선, 또는 구형인 것을 특징으로 하는 템플릿 형성방법.
  70. 제 66 항에 있어서, 상기 재료 위에 적어도 하나의 정렬 마크를 형성하는 단계가, 광학 리소그래피, 전자 빔 리소그래피, 이온-빔 리소그래피, x-레이 리소그래피, 극자외선 리소그래피, 스캐닝 프로브 리소그래피, 포커스 이온빔 밀링, 간섭 리소그래피, 에피텍셜 성장, 박막 증착, 화학적 에칭, 플라즈마 에칭, 이온 밀링, 또는 반응성 이온 에칭 프로세스를 사용하는 단계를 포함하는 것을 특징으로 하는 템플릿 형성방법.
  71. 제 66 항에 있어서, 상기 재료가 실리콘, 이산화 실리콘, 실리콘 게르마늄 카본, 갈륨 질화물, 실리콘 게르마늄, 사파이어, 갈륨 비소, 에피텍셜 실리콘, 폴리-실리콘, 게이트 산화물, 석영 또는 이들의 조합으로 구성되는 것을 특징으로 하는 템플릿 형성방법.
  72. 제 66 항에 있어서, 상기 재료가 인듐 주석 산화물을 포함하는 것을 특징으로 하는 템플릿 형성방법.
  73. 제 66 항에 있어서, 적어도 하나의 정렬 마크를 형성하는 단계가 상기 재료위에 정렬 마크 재료를 증착하는 단계를 포함하고, 상기 정렬 마크 재료가 SiOx를 포함하고, 여기서 x는 2이하인 것을 특징으로 하는 템플릿 형성방법.
  74. 제 66 항에 있어서, 적어도 하나의 정렬 마크를 형성하는 단계가 상기 재료위에 정렬 마크 재료를 증착하는 단계를 포함하고, 상기 정렬 마크 재료가 SiOx를 포함하고, 여기서 x는 대략 1.5인 것을 특징으로 하는 템플릿 형성방법.
  75. 제 66 항에 있어서, 활성광이 자외선광을 포함하는 것을 특징으로 하는 템플릿 형성방법.
  76. 제 66 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명인 것을 특징으로 하는 템플릿 형성방법.
  77. 제 66 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명이고, 상기 활성광이 자외선광을 포함하고 상기 분석광은 가시광선을 포함하는 것을 특징으로 하는 템플릿 형성방법.
  78. 제 66 항에 있어서, 상기 정렬 마크가 활성광에는 실질적으로 투명이고 분석광에는 실질적으로 불투명이고, 상기 활성광이 자외선광을 포함하고 상기 분석광은 적외선광을 포함하는 것을 특징으로 하는 템플릿 형성방법.
  79. 제 66 항에 있어서, 상기 재료위에 적어도 하나의 정렬 마크를 형성하는 단계가 복수의 라인을 재료의 제1 표면위에 또는 재료의 제2 표면위에 형성하는 단계를 포함하고, 상기 제2 표면은 상기 제1 표면에 대향하는 것을 특징으로 하는 템플릿 형성방법.
  80. 제 66 항에 있어서, 상기 재료위에 적어도 하나의 정렬 마크를 형성하는 단계가 상기 재료위에 정렬 마크 재료를 증착하는 단계를 포함하는 것을 특징으로 하는 템플릿 형성방법.
  81. 제 66 항에 있어서, 상기 재료를 소망하는 형상으로 만드는 단계를 더 포함하는 것을 특징으로 하는 템플릿 형성방법.
  82. 제 66 항에 있어서, 활성광에 실질적으로 투명인 템플릿 블랭크에 상기 몸체를 결합하는 단계를 더 포함하는 것을 특징으로 하는 템플릿 형성방법.
  83. 제 66 항에 있어서, 접착제를 사용하여 활성광에 실질적으로 투명인 템플릿 블랭크에 상기 몸체를 접착하는 단계를 더 포함하고, 상기 접착제는 활성광에 실질적으로 투명인 것을 특징으로 하는 템플릿 형성방법.
  84. 제 66 항에 있어서, 상기 제1 표면의 적어도 일부분에 표면 처리층을 가하는단계를 더 포함하는 것을 특징으로 하는 템플릿 형성방법.
  85. 제 66 항에 있어서, 상기 제1 표면의 적어도 일부분에 표면 처리층을 가하는 단계를 더 포함하고, 상기 표면 처리층이 물과 알킬실란, 플루오로알킬실란, 또는 플루오로알킬트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 템플릿 형성방법.
  86. 제 66 항에 있어서, 상기 제1 표면의 적어도 일부분에 표면 처리층을 가하는 단계를 더 포함하고, 상기 표면 처리층이 물과 트리디카플루오로-1,1,2,2-테트라하이드록틸트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 템플릿 형성방법.
  87. 제 66 항에 있어서, 상기 제1 표면의 적어도 일부분에 표면 처리층을 가하는 단계를 더 포함하고, 상기 표면 처리층을 가하는 단계가 기상(vapor-phase) 반응 생성물을 사용하는 단계를 포함하는 것을 특징으로 하는 템플릿 형성방법.
  88. 제 66 항에 있어서, 상기 제1 표면의 적어도 일부분에 표면 처리층을 가하는 단계를 더 포함하고, 상기 표면 처리층을 가하는 단계가, 재료를 반응 챔버내에 위치시키고, 반응 챔버를 정화하고, 그리고 적어도 하나의 반응 화학물을 투여하는 단계를 포함하고, 상기 적어도 하나의 반응 화학물은 상기 제1 표면의 적어도 일부분 위에 표면 처리층을 형성하기 위해 물과 반응하는 것을 특징으로 하는 템플릿 형성방법.
  89. 제 66 항에 있어서, 상기 제1 표면의 적어도 일부분에 표면 처리층을 가하는 단계를 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 40dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 템플릿 형성방법.
  90. 제 66 항에 있어서, 상기 제1 표면의 적어도 일부분에 표면 처리층을 가하는 단계를 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 20dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 템플릿 형성방법.
  91. 제 66 항에 있어서, 상기 재료의 적어도 하나의 에지에 반사 코팅을 가하는 단계를 더 포함하는 것을 특징으로 하는 템플릿 형성방법.
  92. 제 66 항에 있어서, 상기 재료의 적어도 하나의 에지에 전도성 코팅을 가하는 단계를 더 포함하는 것을 특징으로 하는 템플릿 형성방법.
  93. 제 66 항에 있어서, 상기재료의 적어도 하나의 에지에 미러를 결합시키는 단계를 더 포함하는 것을 특징으로 하는 템플릿 형성방법.
  94. 제 66 항 기재의 방법에 의해 형성되는 것을 특징으로 하는 임프린트 리소그래피 템플릿.
  95. 패터닝된 템플릿을 사용하여 기판상에 패턴을 형성하는 방법에 있어서, 상기 템플릿이,
    제1 표면을 갖는 몸체;
    상기 제1 표면 위의 복수의 오목부; 및
    상기 몸체위의 적어도 하나의 정렬 마크를 포함하고,
    상기 복수의 오목부의 적어도 일부가 패턴을 형성하고, 상기 오목부가 크기가 대략 250㎚ 이하인 적어도 일부의 피쳐를 포함하고, 상기 패터닝된 템플릿은 활성광에 실질적으로 투명이고,
    상기 방법은,
    활성광 경화가능 액체를 상기 기판의 일부에 도포하는 단계;
    패터닝된 템플릿과 기판을 서로 이격된 관계가 되도록 위치시킴으로써 상기 패터닝된 템플릿과 기판 사이에 갭이 생성되는 단계;
    템플릿을 통해 상기 액체에 활성광을 인가하는 단계로서, 활성광의 인가가 상기 액체를 실질적으로 경화시키고, 패터닝된 템플릿의 패턴이 경화된 액체에서 형성되는 단계; 및
    패터닝된 템플릿을 경화된 액체로부터 분리하는 단계를 포함하는 것을 특징으로 하는 방법.
  96. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  97. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계를 더 포함하고, 상기 기판이 기판 정렬 마크를 포함하고,
    상기 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계가,
    패터닝된 템플릿을 통해 제1 파장의 광을 인가하는 단계; 및
    패터닝된 템플릿을 통해 제2 파장의 광을 인가하는 단계를 포함하고,
    상기 제1 파장의 광은 기판 정렬 마크를 초점 맞추도록 하고 템플릿 정렬 마크를 분석 툴에 대해 초점이 빗나가도록 하고,
    상기 제2 파장의 광은 템플릿 정렬 마크를 초점 맞추도록 하고 기판 정렬 마크를 분석 툴에 대해 초점이 빗나가도록 하는 것을 특징으로 하는 방법.
  98. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계를 더 포함하고, 상기 기판이 기판 정렬 마크를 포함하고,
    상기 정렬을 결정하는 단계가 편광 정렬 툴을 사용하는 단계를 포함하고 또한 편광 정렬 툴과 패터닝된 템플릿 사이에 편광필터 시스템을 위치시키는 단계를더 포함하고,
    상기 편광필터 시스템은 실질적으로 기판 정렬 마크 위로 방향설정된 제1 편광필터 및 실질적으로 템플릿 정렬 마크 위로 방향설정된 제2 편광필터를 포함하고, 제1 편광필터를 통과 가능하게 하는 빛의 편광은 제2 편광필터를 통과 가능하게 하는 빛의 편광과는 실질적으로 상이한 것을 특징으로 하는 방법.
  99. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계를 더 포함하고, 상기 정렬을 결정하는 단계가 모이레(Moire) 패턴 검출기를 사용하는 단계를 포함하는 것을 특징으로 하는 방법.
  100. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계를 더 포함하고, 상기 정렬을 결정하는 단계는 분석광을 패터닝된 템플릿에 인가하는 단계를 포함하고, 패터닝된 템플릿은 제1 재료로 구성되고, 상기 정렬 마크는 상기 제1 재료와는 상이한 제2 재료로 형성되고, 상기 제1 및 제2 재료는 액체를 경화하는데 사용되는 활성광의 파장에 실질적으로 투명이고, 상기 제2 재료는 분석광이 패터닝된 템플릿에 인가될 때 상당한 콘트라스트를 갖는 분석가능 마크를 생성하는 것을 특징으로 하는 방법.
  101. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계를 더 포함하고, 상기 정렬을 결정하는 단계는 분석광을 패터닝된 템플릿에 인가하는단계를 포함하고, 상기 템플릿 정렬 마크는 분석광을 향한 회절격자로서 작용하는 복수의 에칭된 라인을 포함하고 또한 활성광에 실질적으로 투명인 것을 특징으로 하는 방법.
  102. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 정렬을 결정하는 단계를 더 포함하고, 상기 기판이 기판 정렬 마크를 포함하고, 상기 템플릿 정렬 마크 및 상기 기판 정렬 마크는 기하학적으로 대칭인 형상을 포함하고, 상기 정렬 마크의 정렬을 결정하는 단계는 기판과 템플릿 정렬 마크의 중심을 결정하는 단계 및 템플릿 정렬 마크의 중심 위치를 기판 정렬 마크의 중심 위치와 비교하는 단계를 포함하는 것을 특징으로 하는 방법.
  103. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  104. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하고, 상기 기판이 기판 정렬 마크를 포함하고, 상기 오버레이 배치를 조정하는 단계는 템플릿 정렬 마크가 기판 정렬 마크에 실질적으로 정렬되도록 기판을 이동시키는 단계를 포함하는 것을 특징으로 하는 방법.
  105. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하고, 상기 오버레이 배치를 조정하는 단계는 기판에 대한 패터닝된 템플릿의 각도를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
  106. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하고, 상기 오버레이 배치를 조정하는 단계는 패터닝된 템플릿의 치수를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
  107. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하고, 상기 오버레이 배치를 조정하는 단계는 패터닝된 템플릿의 온도를 변경함으로써 상기 패터닝된 템플릿의 치수를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
  108. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하고, 상기 오버레이 배치를 조정하는 단계는 패터닝된 템플릿의 적어도 일부분에 압축력을 가함으로써 상기 패터닝된 템플릿의 치수를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
  109. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하고, 상기 오버레이 배치를 조정하는 단계는 패터닝된 템플릿의 적어도 일부분에 신장력을 가함으로써 상기 패터닝된 템플릿의 치수를 변경하는 단계를포함하는 것을 특징으로 하는 방법.
  110. 제 95 항에 있어서, 패터닝된 템플릿과 기판의 오버레이 배치를 조정하는 단계를 더 포함하고, 상기 오버레이 배치를 조정하는 단계는 패터닝된 템플릿의 치수를 변경하는 단계를 포함하고, 상기 패터닝된 템플릿의 치수는 패터닝된 템플릿에 연결된 적어도 하나의 압전기 액츄에이터로부터의 힘의 인가에 의해 변경되는 것을 특징으로 하는 방법.
  111. 제 95 항에 있어서, 활성광 경화가능 액체를 기판의 일부에 도포하는 단계는 유체 디스펜서를 사용하여 액체를 분배하는 단계를 포함하는 것을 특징으로 하는 방법.
  112. 제 95 항에 있어서, 활성광 경화가능 액체를 기판의 일부에 도포하는 단계는 유체 디스펜서를 사용하여 액체를 분배하는 단계를 포함하고 또한 소정 패턴을 생성하기 위해 액체가 분배되는 동안 기판을 유체 디스펜서에 대해 이동시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  113. 제 95 항에 있어서, 활성광 경화가능 액체를 기판의 일부에 도포하는 단계는 유체 디스펜서를 사용하여 액체를 분배하는 단계를 포함하고 또한 소정 패턴을 생성하기 위해 액체가 분배되는 동안 기판을 유체 디스펜서에 대해 이동시키는 단계를 더 포함하고, 상기 소정 패턴은, 패터닝된 템플릿과 기판이 이격된 관계로 위치하면서 상기 패터닝된 템플릿이 액체에 접촉할 때 상기 액체에 공기 거품이 발생하는 것을 방지하도록 구성된 패턴인 것을 특징으로 하는 방법.
  114. 제 95 항에 있어서, 활성광 경화가능 액체를 기판의 일부에 도포하는 단계는 유체 디스펜서를 사용하여 액체를 분배하는 단계를 포함하고 또한 소정 패턴을 생성하기 위해 액체가 분배되는 동안 기판을 유체 디스펜서에 대해 이동시키는 단계를 더 포함하고, 상기 소정 패턴이, 상기 액체가 패터닝된 템플릿의 표면적과 실질적으로 동일한 면적에서 갭을 채우도록 선택되는 것을 특징으로 하는 방법.
  115. 제 95 항에 있어서, 상기 패터닝된 템플릿과 상기 기판을 이격된 관계로 위치시키는 단계는,
    패터닝된 템플릿을 기판위에 위치시키는 단계; 및
    원하는 이격된 관계가 달성될 때까지 패터닝된 템플릿을 기판쪽으로 이동시키는 단계를 포함하고,
    상기 패터닝된 템플릿이 기판쪽으로 이동됨에 따라 상기 기판상의 액체가 갭을 실질적으로 채우는 것을 특징으로 하는 방법.
  116. 제 95 항에 있어서, 상기 패터닝된 템플릿과 상기 기판을 이격된 관계로 위치시키는 단계는 패터닝된 템플릿을 기판으로부터 대략 200㎚ 이하의 거리로 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
  117. 제 95 항에 있어서, 상기 패터닝된 템플릿과 상기 기판을 이격된 관계로 위치시키는 단계는 패터닝된 템플릿을 기판에 대해 실질적으로 평행한 방향으로 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
  118. 제 95 항에 있어서, 상기 패터닝된 템플릿을 경화된 액체로부터 분리하는 단계는,
    상기 템플릿을 실질적으로 평행이 아닌 방향으로 이동시키는 단계; 및
    패터닝된 템플릿을 기판으로부터 멀어지도록 이동시키는 단계를 포함하는 것을 특징으로 하는 방법.
  119. 제 95 항에 있어서, 상기 경화된 액체는, 상기 패터닝된 템플릿이 경화된 액체로부터 분리된 이후 크기가 대략 250㎚ 이하인 적어도 일부 피쳐를 포함하는 것을 특징으로 하는 방법.
  120. 제 95 항에 있어서, 상기 패터닝된 템플릿과 상기 기판을 이격된 관계로 위치시키는 단계는,
    패터닝된 템플릿이 기판에 실질적으로 평행이 안되도록 하며 패터닝된 템플릿을 기판위에 위치시키는 단계;
    패터닝된 템플릿을 기판쪽으로 이동시키는 단계로서, 상기 템플릿이 기판쪽으로 이동됨에 따라 패터닝된 템플릿이 기판에 대해 실질적으로 평행이 아닌 방향으로 남아있는 단계; 및
    패터닝된 템플릿을 기판에 실질적으로 평행한 방향으로 방향설정하는 단계로서, 상기 패턴닝된 템플릿이 기판과 원하는 이격된 관계로 되는 단계를 포함하는 것을 특징으로 하는 방법.
  121. 제 95 항에 있어서, 패터닝된 템플릿과 기판 사이의 거리를 측정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  122. 제 95 항에 있어서, 광기반 측정 디바이스를 사용하여 패터닝된 템플릿과 기판 사이의 거리를 측정하는 단계를 더 포함하고, 상기 방법은,
    템플릿과 기판에 복수의 파장을 갖는 광을 인가하는 단계;
    템플릿과 기판의 표면으로부터 반사되는 빛을 모니터링하는 단계; 및
    상기 모니터링된 광에 근거하여 템플릿과 기판 사이의 거리를 측정하는 단계를 포함하는 것을 특징으로 하는 방법.
  123. 제 95 항에 있어서, 에러 신호를 측정하는 단계 및 에러 신호를 적어도 하나의 액츄에이터로 전송하는 단계를 더 포함하고,
    상기 에러 신호는 패터닝된 템플릿의 제1 표면과 기판간의 원하는 거리 및패터닝된 템플릿의 제2 표면과 기판간의 측정된 거리 사이의 차이에 대응하고, 상기 적어도 하나의 액츄에이터는 패터닝된 템플릿과 기판을 서로 이격된 관계로 위치시키도록 구성된 것을 특징으로 하는 방법.
  124. 제 95 항에 있어서, 상기 기판이 실리콘, 갈륨, 게르마늄, 또는 인듐을 포함하는 것을 특징으로 하는 방법.
  125. 제 95 항에 있어서, 상기 기판이 유전체 재료를 포함하는 것을 특징으로 하는 방법.
  126. 제 95 항에 있어서, 상기 기판이 석영, 사파이어, 이산화 실리콘, 또는 폴리실리콘을 포함하는 것을 특징으로 하는 방법.
  127. 제 95 항에 있어서, 상기 패터닝된 템플릿이 실리콘, 이산화 실리콘, 실리콘 게르마늄 카본, 갈륨 질화물, 실리콘 게르마늄, 사파이어, 갈륨 비소, 에피텍셜 실리콘, 폴리-실리콘, 게이트 산화물, 석영, 또는 이들의 조합을 포함하는 것을 특징으로 하는 방법.
  128. 제 95 항에 있어서, 상기 패터닝된 템플릿이 인듐 주석 산화물을 포함하는 것을 특징으로 하는 방법.
  129. 제 95 항에 있어서, 상기 활성광 경화가능 액체가 자외선광 경화가능 조성물을 포함하는 것을 특징으로 하는 방법.
  130. 제 95 항에 있어서, 상기 활성광 경화가능 액체 조성물이 포토레지스트 재료를 포함하는 것을 특징으로 하는 방법.
  131. 제 95 항에 있어서,
    액체를 기판에 인가하기 전에 기판상에 전사층을 형성하는 단계; 및
    패터닝된 템플릿을 기판으로부터 분리한 후 상기 전사층을 에칭하는 단계를 포함하고, 상기 전사층을 에칭함으로써 전사층에 패턴이 부여되는 것을 특징으로 하는 방법.
  132. 제 95 항에 있어서, 상기 기판이 상기 기판 표면위에 적어도 하나의 층을 포함하는 것을 특징으로 하는 방법.
  133. 제 95 항에 있어서, 상기 기판이 상기 기판 표면위에 적어도 하나의 층을 포함하고, 상기 방법이, 상기 기판 표면의 적어도 하나의 층의 두께를 측정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  134. 제 95 항에 있어서, 3개 이상의 비-동일선상(non-collinear) 위치에서 기판과 패터닝된 템플릿의 표면 사이의 거리를 측정하는 단계, 및 상기 3개 이상의 거리 측정에 근거하여 기판과 패터닝된 템플릿의 제1 표면이 실질적으로 평행한지를 결정하는 단계를 포함하는 것을 특징으로 하는 방법.
  135. 제 95 항에 있어서, 에러 신호를 측정하는 단계를 더 포함하고, 상기 에러 신호는, 기판과 패터닝된 템플릿의 제1 표면을 실질적으로 평행한 구조로 하는데 필요한 기판과 패터닝된 템플릿의 제1 표면 사이의 상대적인 움직임에 대응하는 것을 특징으로 하는 방법.
  136. 제 95 항에 있어서, 에러 신호를 측정하는 단계 및 에러 신호를 적어도 하나의 액츄에이터로 전송하는 단계를 더 포함하고,
    상기 에러 신호는, 기판과 패터닝된 템플릿의 제1 표면을 실질적으로 평행한 구조로 하는데 필요한 기판과 패터닝된 템플릿의 제1 표면 사이의 상대적인 움직임에 대응하고, 상기 적어도 하나의 액츄에이터는 실질적으로 평행한 구조를 달성하기 위해 기판과 패터닝된 템플릿의 제1 표면의 상대적 위치를 조정하도록 구성된 것을 특징으로 하는 방법.
  137. 제 95 항에 있어서, 상기 템플릿의 몸체의 적어도 일부가 실리콘, 이산화 실리콘, 실리콘 게르마늄 카본, 갈륨 질화물, 실리콘 게르마늄, 사파이어, 갈륨 비소, 에피텍셜 실리콘, 폴리-실리콘, 게이트 산화물, 석영, 또는 이들의 조합을 포함하는 것을 특징으로 하는 방법.
  138. 제 95 항에 있어서, 상기 템플릿의 몸체의 적어도 일부가 SiOx를 포함하고, 여기서 x는 2이하인 것을 특징으로 하는 방법.
  139. 제 95 항에 있어서, 상기 템플릿의 몸체의 적어도 일부가 인듐 주석 산화물을 포함하는 것을 특징으로 하는 방법.
  140. 제 95 항에 있어서, 상기 제1 표면의 복수의 오목부가 제1 깊이를 갖는 제1 오목부 및 제2 깊이를 갖는 제2 오목부를 포함하고, 상기 제2 깊이는 상기 제1 깊이보다 더 깊은 것을 특징으로 하는 방법.
  141. 제 95 항에 있어서, 상기 제1 표면의 복수의 오목부가 제1 깊이를 갖는 제1 오목부 및 제2 깊이를 갖는 적어도 하나의 제2 오목부를 포함하고, 상기 제2 깊이는 상기 제1 깊이보다 더 깊고 상기 제1 깊이는 250㎚ 이하인 것을 특징으로 하는 방법.
  142. 제 95 항에 있어서, 상기 템플릿의 몸체가 상기 제1 표면에 대향하는 제2 표면을 더 포함하고, 상기 제2 표면은 공지된 깊이의 적어도 하나의 오목부를 포함하는 것을 특징으로 하는 방법.
  143. 제 95 항에 있어서, 상기 템플릿이 상기 몸체의 일부분에 형성된 유체 제한 구조를 더 포함하는 것을 특징으로 하는 방법.
  144. 제 95 항에 있어서, 상기 템플릿의 복수의 오목부의 적어도 일부가 제1 표면에 수직인 방향으로 변하는 폭을 갖는 것을 특징으로 하는 방법.
  145. 제 95 항에 있어서, 상기 템플릿의 제1 표면이 25℃에서 측정된 대략 40dynes/㎝ 이하인 표면 자유 에너지를 갖는 것을 특징으로 하는 방법
  146. 제 95 항에 있어서, 상기 템플릿의 제1 표면이 25℃에서 측정된 대략 20dynes/㎝ 이하인 표면 자유 에너지를 갖는 것을 특징으로 하는 방법
  147. 제 95 항에 있어서, 상기 정렬 마크가 SiOx를 포함하고, 여기서 x는 2 이하인 것을 특징으로 하는 방법.
  148. 제 95 항에 있어서, 상기 정렬 마크가 SiOx를 포함하고, 여기서 x는 대략1.5인 것을 특징으로 하는 방법.
  149. 제 95 항에 있어서, 상기 템플릿이 대략 250㎚ 이하의 평면성을 갖는 것을 특징으로 하는 템플릿.
  150. 제 95 항에 있어서, 상기 템플릿이 대략 500㎚ 이하의 평면성을 갖는 것을 특징으로 하는 템플릿.
  151. 제 95 항에 있어서, 상기 템플릿이 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하는 것을 특징으로 하는 방법.
  152. 제 95 항에 있어서, 상기 템플릿이 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 물과 함께 알킬실란, 플루오로알킬실란, 또는 플루오로알킬트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 방법.
  153. 제 95 항에 있어서, 상기 템플릿이 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 물과 함께 트리디카플루오로-1,1,2,2-테트라하이드록틸트리콜로실란의 반응 생성물을 포함하는 것을 특징으로 하는 방법.
  154. 제 95 항에 있어서, 상기 템플릿이 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 40dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 방법.
  155. 제 95 항에 있어서, 상기 템플릿이 상기 제1 표면의 적어도 일부 위에 표면 처리층을 더 포함하고, 상기 표면 처리층은 25℃에서 측정된 제1 표면의 표면 자유 에너지를 대략 20dynes/㎝ 이하로 감소시키는 것을 특징으로 하는 방법.
  156. 제 95 항에 있어서, 상기 템플릿이 상기 몸체에 연결된 템플릿 블랭크를 더 포함하고, 상기 템플릿 블랭크는 활성광에 실질적으로 투명인 것을 특징으로 하는 방법.
  157. 제 95 항에 있어서, 상기 템플릿이 접착제에 의해 상기 몸체에 접착된 템플릿 블랭크를 더 포함하고, 상기 템플릿 블랭크 및 상기 접착제는 활성광에 실질적으로 투명인 것을 특징으로 하는 방법.
  158. 제 95 항 기재의 방법에 의해 만들어진 것을 특징으로 하는 디바이스.
  159. 임프린트 리소그래피 템플릿을 유지하기 위한 디바이스에 있어서,
    임프린트 리소그래피 템플릿을 수용하도록 구성된 개구를 포함하는 몸체;
    상기 몸체에 연결되어 있고 활성광에 실질적으로 투명인 지지 플레이트; 및
    사용동안 임프린트 리소그래피 템플릿의 물리적 치수를 변경하도록 상기 몸체에 연결된 적어도 하나의 압전 액츄에이터를 포함하는 것을 특징으로 하는 디바이스.
  160. 제 159 항에 있어서, 상기 지지 플레이트가 상기 몸체의 개구에 적어도 한 방향으로 걸쳐있는 것을 특징으로 하는 디바이스.
  161. 제 159 항에 있어서, 상기 몸체가 임프린트 리소그래피 시스템의 템플릿 지지부에 부착되어 있도록 구성된 것을 특징으로 하는 디바이스.
  162. 제 159 항에 있어서, 상기 지지 플레이트가 석영을 포함하는 것을 특징으로 하는 디바이스.
  163. 제 159 항에 있어서, 상기 지지 플레이트가 SiO2를 포함하는 것을 특징으로 하는 디바이스.
  164. 제 159 항에 있어서, 상기 지지 플레이트가 사파이어를 포함하는 것을 특징으로 하는 디바이스.
  165. 제 159 항에 있어서, 상기 몸체에 연결된 복수의 압전 액츄에이터를 더 포함하고, 상기 압전 액츄에이터는 상기 개구내에 배치된 템플릿에 압축력을 가하도록 구성된 것을 특징으로 하는 디바이스.
  166. 제 159 항에 있어서, 상기 적어도 하나의 압전 액츄에이터가 상기 개구내에 배치된 템플릿에 압축력을 가하도록 구성되어 있는 것을 특징으로 하는 디바이스.
  167. 제 159 항에 있어서, 상기 적어도 하나의 압전 액츄에이터가 상기 개구내에 배치된 템플릿에 신장력을 가하도록 구성되어 있는 것을 특징으로 하는 디바이스.
  168. 제 159 항에 있어서, 상기 지지 플레이트가 상기 디바이스 내에 배치된 템플릿에 진공을 가하도록 구성된 적어도 하나의 진공 개구를 포함하는 것을 특징으로 하는 디바이스.
  169. 제 159 항에 있어서, 상기 지지 플레이트가 상기 지지 플레이트와 상기 몸체 사이의 인터페이스에 진공을 가하도록 구성된 적어도 하나의 진공 개구를 포함하는 것을 특징으로 하는 디바이스.
  170. 제 159 항에 있어서, 상기 몸체가 상기 디바이스 내에 배치된 템플릿에 진공을 가하도록 구성된 적어도 하나의 진공 개구를 포함하는 것을 특징으로 하는 디바이스.
  171. 제 159 항에 있어서, 상기 지지 플레이트가 임프린트 리소그래피 공정에 존재하는 힘으로 인한 상기 디바이스 내에 배치된 템플릿의 변형을 방지하도록 구성되어 있는 것을 특징으로 하는 디바이스.
  172. 제 159 항에 있어서, 상기 개구 내측으로 상기 몸체의 표면에 연결된 적어도 하나의 미러를 더 포함하는 것을 특징으로 하는 디바이스.
  173. 제 159 항에 있어서, 상기 몸체의 적어도 하나의 표면상에 반사 코팅을 더 포함하고, 상기 적어도 하나의 표면은 상기 개구 내측으로 향하고 있는 것을 특징으로 하는 디바이스.
KR1020037005130A 2000-10-12 2001-10-12 실온 저압 마이크로- 및 나노- 임프린트 리소그래피용템플릿 KR101031528B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US23980800P 2000-10-12 2000-10-12
US60/239,808 2000-10-12
PCT/US2001/042688 WO2002067055A2 (en) 2000-10-12 2001-10-12 Template for room temperature, low pressure micro- and nano-imprint lithography

Publications (2)

Publication Number Publication Date
KR20040004401A true KR20040004401A (ko) 2004-01-13
KR101031528B1 KR101031528B1 (ko) 2011-04-27

Family

ID=22903829

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037005130A KR101031528B1 (ko) 2000-10-12 2001-10-12 실온 저압 마이크로- 및 나노- 임프린트 리소그래피용템플릿

Country Status (7)

Country Link
US (6) US6696220B2 (ko)
EP (2) EP2306242A3 (ko)
JP (1) JP2004523906A (ko)
KR (1) KR101031528B1 (ko)
CN (1) CN100365507C (ko)
AU (1) AU2001297642A1 (ko)
WO (1) WO2002067055A2 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100633019B1 (ko) * 2004-12-24 2006-10-12 한국기계연구원 미세 임프린트 리소그래피 공정에서 스탬프와 기판의이격공정 및 그 장치
WO2006135170A1 (en) * 2005-06-13 2006-12-21 Korea Institute Of Machinery & Materials Imprinting apparatus for forming pattern at uniform contact by additional constant pressure
KR100790899B1 (ko) * 2006-12-01 2008-01-03 삼성전자주식회사 얼라인 마크가 형성된 템플릿 및 그 제조 방법
KR100881233B1 (ko) * 2007-05-23 2009-02-05 한국기계연구원 임프린트 리소그래피용 스탬프 및 이를 이용한 임프린트리소그래피방법
KR101051162B1 (ko) * 2007-09-18 2011-07-21 주식회사 하이닉스반도체 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
US8222150B2 (en) 2009-03-31 2012-07-17 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device, template, and method of creating pattern inspection data
US8253941B2 (en) 2006-05-09 2012-08-28 Samsung Electronics Co., Ltd. Apparatus for manufacturing display panel and method for manufacturing the same
CN103116242A (zh) * 2013-03-15 2013-05-22 南京大学 一种无需对准纳米压印制备异质结构的方法
KR20180072553A (ko) * 2016-12-21 2018-06-29 캐논 가부시끼가이샤 함몰부를 포함하는 임프린트 리소그래피용 템플레이트 및 그러한 템플레이트를 이용하는 장치 및 방법

Families Citing this family (446)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2045334A1 (en) 1998-06-24 2009-04-08 Illumina, Inc. Decoding of array sensors with microspheres
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
WO2002010721A2 (en) * 2000-08-01 2002-02-07 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
WO2002017383A2 (en) * 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
CN100365507C (zh) * 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US20030003436A1 (en) * 2001-02-05 2003-01-02 Willson C. Grant Use of mesoscale self-assembly and recognition to effect delivery of sensing reagent for arrayed sensors
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
JP2003218658A (ja) * 2002-01-17 2003-07-31 Nec Corp 弾性表面波素子及び半導体装置の製造方法
US6653030B2 (en) * 2002-01-23 2003-11-25 Hewlett-Packard Development Company, L.P. Optical-mechanical feature fabrication during manufacture of semiconductors and other micro-devices and nano-devices that include micron and sub-micron features
DE10211052A1 (de) * 2002-03-13 2003-10-23 Fresenius Medical Care De Gmbh Hohlfaser-Spinndüse
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP2006516065A (ja) * 2002-08-01 2006-06-15 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィの散乱計測アラインメント
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
JP4209162B2 (ja) * 2002-09-20 2009-01-14 株式会社ニコン 押圧装置および相転移型双晶を有する水晶の製造方法
EP1542045B1 (en) * 2002-09-20 2011-07-20 Toppan Printing Co., Ltd. Method of manufacturing an optical waveguide
US7179333B2 (en) * 2002-09-23 2007-02-20 Computrol, Inc. Closure sealant dispenser
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
KR100495055B1 (ko) * 2002-10-10 2005-06-14 엘지전자 주식회사 자외선 경화수지의 패턴 형성 장치 및 방법
US6916511B2 (en) * 2002-10-24 2005-07-12 Hewlett-Packard Development Company, L.P. Method of hardening a nano-imprinting stamp
US7378347B2 (en) * 2002-10-28 2008-05-27 Hewlett-Packard Development Company, L.P. Method of forming catalyst nanoparticles for nanowire growth and other applications
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
WO2004045858A1 (en) * 2002-11-21 2004-06-03 Council Of Scientific And Industrial Research Colored nanolithography on glass and plastic substrates
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
JP4563181B2 (ja) * 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド 基板の面曲がりを使用する倍率補正
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
EP1443344A1 (en) * 2003-01-29 2004-08-04 Heptagon Oy Manufacturing micro-structured elements
US7374864B2 (en) * 2003-02-13 2008-05-20 The Regents Of The University Of Michigan Combined nanoimprinting and photolithography for micro and nano devices fabrication
JP3910926B2 (ja) * 2003-02-26 2007-04-25 株式会社東芝 表示装置用透明基板の製造方法
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
DE10311855B4 (de) 2003-03-17 2005-04-28 Infineon Technologies Ag Anordnung zum Übertragen von Informationen/Strukturen auf Wafer unter Verwendung eines Stempels
US20040183220A1 (en) * 2003-03-18 2004-09-23 Avinash Dalmia Ultra thin layer coating using self-assembled molecules as a separating layer for diffraction grating application
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040209123A1 (en) * 2003-04-17 2004-10-21 Bajorek Christopher H. Method of fabricating a discrete track recording disk using a bilayer resist for metal lift-off
US20050064452A1 (en) * 2003-04-25 2005-03-24 Schmid Matthew J. System and method for the detection of analytes
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
EP1622750B1 (en) * 2003-05-14 2010-11-10 Molecular Imprints, Inc. Method, system, holder and assembly for transferring templates during imprint lithography processes
US9317922B2 (en) 2003-05-16 2016-04-19 Board Of Regents The University Of Texas System Image and part recognition technology
US7651850B2 (en) * 2003-05-16 2010-01-26 Board Of Regents, The University Of Texas System Image and part recognition technology
TW571087B (en) * 2003-06-02 2004-01-11 Chen-Hung He Method and system for monitoring the mold strain in nanoimprint lithography technique
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
TW200503167A (en) * 2003-06-20 2005-01-16 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
DE10330456B9 (de) * 2003-07-05 2007-11-08 Erich Thallner Vorrichtung zum Erstellen einer Oberflächenstruktur auf einem Wafer
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
TWI220267B (en) * 2003-07-31 2004-08-11 Univ Nat Cheng Kung Manufacturing method of transferring pattern with high aspect ratio
DE10343323A1 (de) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stempellithografieverfahren sowie Vorrichtung und Stempel für die Stempellithografie
CN1997691B (zh) 2003-09-23 2011-07-20 北卡罗来纳大学查珀尔希尔分校 光固化的全氟聚醚用作微流体器件中的新材料
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7557433B2 (en) 2004-10-25 2009-07-07 Mccain Joseph H Microelectronic device with integrated energy source
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050106321A1 (en) * 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
EP1538482B1 (en) 2003-12-05 2016-02-17 Obducat AB Device and method for large area lithography
US7153360B2 (en) * 2003-12-16 2006-12-26 Hewlett-Packard Development Company, Lp. Template and methods for forming photonic crystals
US9040090B2 (en) * 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
JP6067954B2 (ja) 2003-12-19 2017-01-25 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒルThe University Of North Carolina At Chapel Hill ナノサイズ物品、及びソフトリソグラフィー又はインプリントリソグラフィーを用いる分離構造の作製方法によって製造されたナノサイズ物品
KR100595515B1 (ko) * 2003-12-31 2006-07-03 엘지전자 주식회사 미세 구조물 성형용 금형 및 미세 구조 성형용 금형의단층막 이형제 코팅 방법
US7255805B2 (en) * 2004-01-12 2007-08-14 Hewlett-Packard Development Company, L.P. Photonic structures, devices, and methods
US20050151282A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece handler and alignment assembly
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US20050158419A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Thermal processing system for imprint lithography
US7686606B2 (en) 2004-01-20 2010-03-30 Wd Media, Inc. Imprint embossing alignment system
US20050155554A1 (en) * 2004-01-20 2005-07-21 Saito Toshiyuki M. Imprint embossing system
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
US9307648B2 (en) 2004-01-21 2016-04-05 Microcontinuum, Inc. Roll-to-roll patterning of transparent and metallic layers
US8158728B2 (en) 2004-02-13 2012-04-17 The University Of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
CN101189271A (zh) * 2004-02-13 2008-05-28 北卡罗来纳大学查珀尔希尔分校 制造微流体设备的功能材料和新型方法
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7229266B2 (en) * 2004-03-23 2007-06-12 Komag, Inc. Press die alignment
JP4481698B2 (ja) * 2004-03-29 2010-06-16 キヤノン株式会社 加工装置
WO2005097470A1 (ja) * 2004-03-30 2005-10-20 Pioneer Corporation パターン転写装置及びパターン転写方法
DE102004028851B4 (de) * 2004-03-31 2006-04-13 Infineon Technologies Ag Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
EP1594001B1 (en) 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US7504268B2 (en) * 2004-05-28 2009-03-17 Board Of Regents, The University Of Texas System Adaptive shape substrate support method
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
CN101379435A (zh) * 2004-06-03 2009-03-04 得克萨斯州大学系统董事会 用于改进显微蚀刻的对齐和覆盖的系统和方法
EP1768846B1 (en) * 2004-06-03 2010-08-11 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
JP4574240B2 (ja) * 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US8088293B2 (en) * 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
WO2006024908A2 (en) * 2004-08-10 2006-03-09 Asml Netherlands B.V. Imprint lithographic apparatus, device manufacturing method and device manufactured thereby
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) * 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7252862B2 (en) * 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7492979B2 (en) * 2004-09-27 2009-02-17 Hewlett-Packard Development Company, L.P. Photonic crystal laser sensors and methods
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
JP2006106263A (ja) * 2004-10-04 2006-04-20 Fujinon Sano Kk 光学素子の製造方法
US7654816B2 (en) 2004-10-07 2010-02-02 Hewlett-Packard Development Company, L.P. Lithographic mask alignment
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7344955B2 (en) * 2004-11-19 2008-03-18 International Business Machines Corporation Cut-and-paste imprint lithographic mold and method therefor
KR101275478B1 (ko) * 2004-11-22 2013-06-14 스미토모덴키고교가부시키가이샤 가공방법, 가공장치 및 그 방법에 의해 제조된 미세구조체
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
JP5198071B2 (ja) * 2004-12-01 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド インプリントリソグラフィ・プロセスにおける熱管理のための露光方法
US7295288B1 (en) * 2004-12-01 2007-11-13 Advanced Micro Devices, Inc. Systems and methods of imprint lithography with adjustable mask
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
FI20055022A (fi) * 2005-01-17 2006-07-18 Avantone Oy Menetelmä ja laitteisto stanssauksessa sekä stanssauskone
US20060157898A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Imprint reference template for multilayer or multipattern registration and method therefor
US7796800B2 (en) * 2005-01-28 2010-09-14 Hewlett-Packard Development Company, L.P. Determining a dimensional change in a surface using images acquired before and after the dimensional change
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US20060169592A1 (en) * 2005-01-31 2006-08-03 Hewlett-Packard Development Company, L.P. Periodic layered structures and methods therefor
JP2008529102A (ja) * 2005-02-03 2008-07-31 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒル 液晶ディスプレイに用いられる低表面エネルギー高分子材料
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US7277619B2 (en) * 2005-03-04 2007-10-02 Hewlett-Packard Development Company, L.P. Nano-imprinted photonic crystal waveguide
KR100729427B1 (ko) * 2005-03-07 2007-06-15 주식회사 디엠에스 미세패턴 형성장치
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US8017218B2 (en) * 2005-03-08 2011-09-13 Forskarpatent I Linkoping Ab Micro and nano structures in an elastomeric material
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US7435514B2 (en) 2005-05-19 2008-10-14 Searete Llc Active mask lithography
US7993800B2 (en) * 2005-05-19 2011-08-09 The Invention Science Fund I, Llc Multilayer active mask lithography
US8076227B2 (en) * 2005-05-19 2011-12-13 The Invention Science Fund I, Llc Electroactive polymers for lithography
US8872135B2 (en) * 2005-05-19 2014-10-28 The Invention Science Fund I, Llc Electroactive polymers for lithography
US7473499B2 (en) * 2005-05-19 2009-01-06 Searete Llc Electroactive polymers for lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
JP3958344B2 (ja) * 2005-06-07 2007-08-15 キヤノン株式会社 インプリント装置、インプリント方法及びチップの製造方法
EP1731960A1 (en) * 2005-06-07 2006-12-13 Obducat AB Apparatus and method for separating a composite
US7927089B2 (en) * 2005-06-08 2011-04-19 Canon Kabushiki Kaisha Mold, apparatus including mold, pattern transfer apparatus, and pattern forming method
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7629259B2 (en) 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
JP2007027361A (ja) * 2005-07-15 2007-02-01 Toppan Printing Co Ltd インプリント用モールド
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
WO2007133235A2 (en) * 2005-08-08 2007-11-22 Liquidia Technologies, Inc. Micro and nano-structure metrology
US8202075B2 (en) * 2005-08-12 2012-06-19 Canon Kabushiki Kaisha Imprint apparatus and imprint method
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US8011916B2 (en) * 2005-09-06 2011-09-06 Canon Kabushiki Kaisha Mold, imprint apparatus, and process for producing structure
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
EP1764648B1 (de) * 2005-09-14 2012-05-23 Thallner, Erich, Dipl.-Ing. Stempel mit einer Nanostempelstruktur sowie Vorrichtung und Verfahren zu dessen Herstellung
US20100215909A1 (en) * 2005-09-15 2010-08-26 Macdonald Susan S Photomask for the Fabrication of a Dual Damascene Structure and Method for Forming the Same
US7316554B2 (en) 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US7491049B2 (en) * 2005-09-30 2009-02-17 Hon Hai Precision Industry Co., Ltd. Apparatus for hot embossing lithography
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP5268239B2 (ja) * 2005-10-18 2013-08-21 キヤノン株式会社 パターン形成装置、パターン形成方法
JP4533358B2 (ja) * 2005-10-18 2010-09-01 キヤノン株式会社 インプリント方法、インプリント装置およびチップの製造方法
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US20070125475A1 (en) * 2005-12-05 2007-06-07 Huber Engineered Woods Llc Handheld tape applicator and components thereof, and their methods of use
WO2007067488A2 (en) * 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
ATE549294T1 (de) * 2005-12-09 2012-03-15 Obducat Ab Vorrichtung und verfahren zum transfer von mustern mit zwischenstempel
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US7943080B2 (en) * 2005-12-23 2011-05-17 Asml Netherlands B.V. Alignment for imprint lithography
US7500431B2 (en) * 2006-01-12 2009-03-10 Tsai-Wei Wu System, method, and apparatus for membrane, pad, and stamper architecture for uniform base layer and nanoimprinting pressure
JP4736821B2 (ja) 2006-01-24 2011-07-27 株式会社日立製作所 パターン形成方法およびパターン形成装置
US7690910B2 (en) 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
JP5213335B2 (ja) * 2006-02-01 2013-06-19 キヤノン株式会社 インプリント用モールド、該モールドによる構造体の製造方法
US20070190805A1 (en) * 2006-02-10 2007-08-16 Benjamin Szu-Min Lin Method for improving the alignment accuracy of semiconductor process and method of forming opening
CA2643510C (en) 2006-02-27 2014-04-29 Microcontinuum, Inc. Formation of pattern replicating tools
US20090068765A1 (en) * 2006-03-08 2009-03-12 Kenichi Murooka Method of manufacturing semiconductor device and apparatus for manufacturing semiconductor device
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
WO2007117524A2 (en) * 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US7462028B2 (en) * 2006-04-03 2008-12-09 Molecular Imprints, Inc. Partial vacuum environment imprinting
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8193591B2 (en) 2006-04-13 2012-06-05 Freescale Semiconductor, Inc. Transistor and method with dual layer passivation
JP4814682B2 (ja) 2006-04-18 2011-11-16 株式会社日立ハイテクノロジーズ 微細構造パターンの転写方法及び転写装置
JP4958614B2 (ja) * 2006-04-18 2012-06-20 キヤノン株式会社 パターン転写装置、インプリント装置、パターン転写方法および位置合わせ装置
JP4795300B2 (ja) * 2006-04-18 2011-10-19 キヤノン株式会社 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7854867B2 (en) * 2006-04-21 2010-12-21 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
DE102006019962A1 (de) * 2006-04-28 2007-11-08 Infineon Technologies Ag Imprint-Maske und Verfahren zum Ausrichten der Imprint-Maske
JP4854383B2 (ja) * 2006-05-15 2012-01-18 アピックヤマダ株式会社 インプリント方法およびナノ・インプリント装置
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
WO2007142250A1 (en) 2006-05-31 2007-12-13 Canon Kabushiki Kaisha Gap measuring method, imprint method, and imprint apparatus
US20080181958A1 (en) * 2006-06-19 2008-07-31 Rothrock Ginger D Nanoparticle fabrication methods, systems, and materials
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) * 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
JP4996150B2 (ja) 2006-07-07 2012-08-08 株式会社日立ハイテクノロジーズ 微細構造転写装置および微細構造転写方法
WO2008014519A2 (en) * 2006-07-28 2008-01-31 Microcontinuum, Inc. Addressable flexible patterns
WO2008091386A2 (en) * 2006-08-04 2008-07-31 Northwestern University Biomimetic modular adhesive complex: material, methods and applications therefore
KR100831046B1 (ko) * 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
US7780431B2 (en) * 2006-09-14 2010-08-24 Hewlett-Packard Development Company, L.P. Nanoimprint molds and methods of forming the same
JP5027468B2 (ja) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法
US7985530B2 (en) 2006-09-19 2011-07-26 Molecular Imprints, Inc. Etch-enhanced technique for lift-off patterning
JP4926881B2 (ja) * 2006-09-22 2012-05-09 キヤノン株式会社 インプリント装置およびアライメント方法
JP2008091782A (ja) * 2006-10-04 2008-04-17 Toshiba Corp パターン形成用テンプレート、パターン形成方法、及びナノインプリント装置
US7946837B2 (en) * 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
KR100795242B1 (ko) * 2006-11-03 2008-01-15 학교법인 포항공과대학교 반도체 소자의 게이트 형성 방법 및 그 게이트 구조
JP2008119870A (ja) * 2006-11-09 2008-05-29 Toppan Printing Co Ltd インプリントモールド
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
JP4922774B2 (ja) * 2007-01-26 2012-04-25 株式会社東芝 パターン形成方法及びパターン形成用モールド
JP5238164B2 (ja) 2007-01-26 2013-07-17 株式会社東芝 パターン形成方法
US7846345B2 (en) * 2007-01-31 2010-12-07 United Microelectronics Corp. Method of manufacturing an imprinting template using a semiconductor manufacturing process and the imprinting template obtained
EP2584408B1 (en) 2007-02-06 2020-10-07 Canon Kabushiki Kaisha Imprint method and imprint apparatus
JP5188192B2 (ja) * 2007-02-20 2013-04-24 キヤノン株式会社 モールド、モールドの製造方法、インプリント装置及びインプリント方法、インプリント方法を用いた構造体の製造方法
US8940117B2 (en) 2007-02-27 2015-01-27 Microcontinuum, Inc. Methods and systems for forming flexible multilayer structures
US20080206602A1 (en) * 2007-02-28 2008-08-28 Katine Jordan A Nanoimprinting of topography for patterned magnetic media
JP2008225417A (ja) * 2007-03-16 2008-09-25 Fujitsu Ltd 構造体の製造方法
WO2008118861A2 (en) * 2007-03-23 2008-10-02 The University Of North Carolina At Chapel Hill Discrete size and shape specific organic nanoparticles designed to elicit an immune response
US7749422B2 (en) * 2007-03-30 2010-07-06 International Business Machines Corporation Release layer for imprinted photocationic curable resins
US7641467B2 (en) 2007-05-02 2010-01-05 Asml Netherlands B.V. Imprint lithography
US20090115094A1 (en) * 2007-05-29 2009-05-07 Chou Stephen Y Methods for making continuous nanochannels
ATE556098T1 (de) 2007-06-20 2012-05-15 Asahi Glass Co Ltd Lichthärtbare zusammensetzung und verfahren zur herstellung eines formobjekts mit feiner oberflächenstruktur
US20090013527A1 (en) * 2007-07-11 2009-01-15 International Business Machines Corporation Collapsable connection mold repair method utilizing femtosecond laser pulse lengths
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
JP5274128B2 (ja) * 2007-08-03 2013-08-28 キヤノン株式会社 インプリント方法および基板の加工方法
JP5173311B2 (ja) * 2007-08-09 2013-04-03 キヤノン株式会社 インプリント方法、インプリント装置および半導体製造方法
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US20100204459A1 (en) * 2007-08-27 2010-08-12 The Regents Of The University Of California Systems and methods for producing multi-component colloidal structures
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US20100215794A1 (en) * 2007-09-06 2010-08-26 Entire Technology Co., Ltd. Diffusion film molding tool & manufacturing process thereof
JP4880561B2 (ja) * 2007-10-03 2012-02-22 新光電気工業株式会社 フリップチップ実装装置
NL1036034A1 (nl) * 2007-10-11 2009-04-15 Asml Netherlands Bv Imprint lithography.
FR2922813B1 (fr) * 2007-10-31 2010-04-09 Lyon Ecole Centrale Dispositif et procedes de microtamponnage et tampon pour ce dispositif
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US9778562B2 (en) * 2007-11-21 2017-10-03 Canon Nanotechnologies, Inc. Porous template and imprinting stack for nano-imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US8114331B2 (en) 2008-01-02 2012-02-14 International Business Machines Corporation Amorphous oxide release layers for imprint lithography, and method of use
US8029716B2 (en) * 2008-02-01 2011-10-04 International Business Machines Corporation Amorphous nitride release layers for imprint lithography, and method of use
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8210840B2 (en) * 2008-04-17 2012-07-03 Massachusetts Institute Of Technology Diaphragm flexure with large range and high load capacity
WO2009129441A2 (en) * 2008-04-17 2009-10-22 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
KR101702278B1 (ko) 2008-05-29 2017-02-03 아사히 가라스 가부시키가이샤 광경화성 조성물 및 표면에 미세 패턴을 갖는 성형체의 제조 방법
US7920329B2 (en) * 2008-06-20 2011-04-05 Aptina Imaging Corporation Embedded lens for achromatic wafer-level optical module and methods of forming the same
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US8043085B2 (en) 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
NL2003347A (en) 2008-09-11 2010-03-16 Asml Netherlands Bv Imprint lithography.
WO2010032243A1 (en) * 2008-09-16 2010-03-25 Ramot At Tel Aviv University Ltd. A system and a method for nano imprinting
US9104948B2 (en) 2008-09-16 2015-08-11 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
TW201022017A (en) * 2008-09-30 2010-06-16 Molecular Imprints Inc Particle mitigation for imprint lithography
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
NL2003380A (en) 2008-10-17 2010-04-20 Asml Netherlands Bv Imprint lithography apparatus and method.
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8628712B2 (en) * 2008-10-27 2014-01-14 Molecular Imprints, Inc. Misalignment management
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
JP5594147B2 (ja) 2008-12-05 2014-09-24 旭硝子株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
KR20110110107A (ko) 2008-12-15 2011-10-06 아사히 가라스 가부시키가이샤 광경화성 재료의 제조 방법, 광경화성 재료 및 물품
EP2199854B1 (en) * 2008-12-19 2015-12-16 Obducat AB Hybrid polymer mold for nano-imprinting and method for making the same
EP2199855B1 (en) * 2008-12-19 2016-07-20 Obducat Methods and processes for modifying polymer material surface interactions
NL2003875A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2003871A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography.
WO2010090269A1 (ja) * 2009-02-05 2010-08-12 旭硝子株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
NL2004265A (en) 2009-04-01 2010-10-04 Asml Netherlands Bv Imprint lithography apparatus and method.
JP5404140B2 (ja) * 2009-04-01 2014-01-29 株式会社東芝 テンプレート及び半導体装置の製造方法
JP5377053B2 (ja) * 2009-04-17 2013-12-25 株式会社東芝 テンプレート及びその製造方法、並びにパターン形成方法
NL2004266A (en) 2009-04-27 2010-10-28 Asml Netherlands Bv An actuator.
NL2004409A (en) * 2009-05-19 2010-11-22 Asml Netherlands Bv Imprint lithography apparatus.
US9164375B2 (en) * 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
NL2004681A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004735A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004680A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004932A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
NL2004685A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography apparatus and method.
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
NL2004945A (en) 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004949A (en) 2009-08-21 2011-02-22 Asml Netherlands Bv Inspection method and apparatus.
US8961800B2 (en) * 2009-08-26 2015-02-24 Board Of Regents, The University Of Texas System Functional nanoparticles
NL2005007A (en) 2009-08-28 2011-03-01 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2005254A (en) * 2009-09-22 2011-03-23 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2005263A (en) 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
NL2005259A (en) 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
NL2005265A (en) 2009-10-07 2011-04-11 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
US8402638B1 (en) 2009-11-06 2013-03-26 Wd Media, Inc. Press system with embossing foil free to expand for nano-imprinting of recording media
US8496466B1 (en) 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
US9330685B1 (en) 2009-11-06 2016-05-03 WD Media, LLC Press system for nano-imprinting of recording media with a two step pressing method
JP2011103362A (ja) * 2009-11-10 2011-05-26 Toshiba Corp パターン形成方法
JP5774598B2 (ja) 2009-11-24 2015-09-09 エーエスエムエル ネザーランズ ビー.ブイ. アライメント及びインプリントリソグラフィ
NL2005436A (en) 2009-11-30 2011-05-31 Asml Netherlands Bv Inspection method and apparatus.
NL2005435A (en) 2009-11-30 2011-05-31 Asml Netherlands Bv Imprint lithography apparatus and method.
US9625811B2 (en) 2009-12-18 2017-04-18 Asml Netherlands B.V. Imprint lithography
NL2005735A (en) 2009-12-23 2011-06-27 Asml Netherlands Bv Imprint lithographic apparatus and imprint lithographic method.
US8747092B2 (en) 2010-01-22 2014-06-10 Nanonex Corporation Fast nanoimprinting apparatus using deformale mold
JP2013517943A (ja) 2010-01-29 2013-05-20 モレキュラー・インプリンツ・インコーポレーテッド ナノ粒子を形成するためのナノインプリントリソグラフィプロセス
EP2532508A4 (en) 2010-02-03 2014-01-08 Asahi Glass Co Ltd METHOD FOR PRODUCING AN ARTICLE WITH A FINISHED SURFACE STRUCTURE
JP5769734B2 (ja) * 2010-02-05 2015-08-26 モレキュラー・インプリンツ・インコーポレーテッド 高コントラスト位置合わせマークを有するテンプレート
KR20110092546A (ko) * 2010-02-09 2011-08-18 삼성전자주식회사 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
NL2005865A (en) 2010-02-16 2011-08-17 Asml Netherlands Bv Imprint lithography.
JP5732724B2 (ja) * 2010-02-18 2015-06-10 大日本印刷株式会社 ナノインプリント方法
NL2005975A (en) 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
NL2005992A (en) 2010-03-22 2011-09-23 Asml Netherlands Bv Imprint lithography.
NL2006004A (en) 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
NL2006454A (en) 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
US20110272838A1 (en) * 2010-05-06 2011-11-10 Matt Malloy Apparatus, System, and Method for Nanoimprint Template with a Backside Recess Having Tapered Sidewalls
JP2011258605A (ja) * 2010-06-04 2011-12-22 Toshiba Corp パターン形成方法および半導体デバイスの製造方法
NL2006747A (en) 2010-07-26 2012-01-30 Asml Netherlands Bv Imprint lithography alignment method and apparatus.
JP5647829B2 (ja) * 2010-07-30 2015-01-07 Agcセイミケミカル株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
KR101692101B1 (ko) 2010-08-04 2017-01-02 아사히 가라스 가부시키가이샤 광 경화성 조성물 및 표면에 미세 패턴을 갖는 성형체의 제조 방법
JP2013538447A (ja) 2010-08-05 2013-10-10 エーエスエムエル ネザーランズ ビー.ブイ. インプリントリソグラフィ
JP5648362B2 (ja) * 2010-08-10 2015-01-07 住友電気工業株式会社 ナノインプリント用モールドの製造方法、ナノインプリント法による樹脂パターンの製造方法、及び、ナノインプリント用モールド
JP5731648B2 (ja) 2010-08-13 2015-06-10 エーエスエムエル ネザーランズ ビー.ブイ. インプリントリソグラフィ方法
WO2012022561A1 (en) 2010-08-16 2012-02-23 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
US9372399B2 (en) 2010-08-26 2016-06-21 Asml Netherlands B.V. Imprint lithography method and imprintable medium
JP5247777B2 (ja) * 2010-08-30 2013-07-24 キヤノン株式会社 インプリント装置およびデバイス製造方法
WO2012058565A2 (en) 2010-10-29 2012-05-03 Nanoink, Inc. Injection molding of micron and nano scale features for pharmaceutical brand protection
EP2635522A4 (en) 2010-11-05 2014-06-11 Molecular Imprints Inc NANOPRÄGUNGS-LITHOGRAPHIEVERSTELLUNG FUNCTIONAL NANOPARTICLE WITH TWO SEPARATE LAYERS
WO2012061816A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8845912B2 (en) 2010-11-22 2014-09-30 Microcontinuum, Inc. Tools and methods for forming semi-transparent patterning masks
KR20130006744A (ko) 2011-04-05 2013-01-18 삼성전자주식회사 마스크의 제조 방법 및 이를 수행하기 위한 장치
US9136794B2 (en) 2011-06-22 2015-09-15 Research Triangle Institute, International Bipolar microelectronic device
CZ2011555A3 (cs) * 2011-09-06 2013-03-13 Active Optix S.R.O. Zpusob vytvárení výrobku s funkcním reliéfním povrchem s vysokým rozlisením
JP5694889B2 (ja) * 2011-09-29 2015-04-01 富士フイルム株式会社 ナノインプリント方法およびそれに用いられるナノインプリント装置並びにパターン化基板の製造方法
JP5891006B2 (ja) * 2011-11-01 2016-03-22 東京エレクトロン株式会社 光干渉システム、基板処理装置及び計測方法
US8829514B2 (en) 2011-12-14 2014-09-09 E Ink Holdings Inc. Thin film transistor and method for manufacturing the same
US9278857B2 (en) * 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP5661666B2 (ja) * 2012-02-29 2015-01-28 株式会社東芝 パターン形成装置及び半導体装置の製造方法
US20130292879A1 (en) 2012-05-02 2013-11-07 Nanoink, Inc. Molding of micron and nano scale features
CN102929100B (zh) * 2012-11-22 2014-11-19 南昌欧菲光纳米科技有限公司 一种可对准卷对卷uv成型的装置及方法
EP2950330B1 (en) * 2013-01-24 2019-03-20 Soken Chemical & Engineering Co., Ltd. Light-transmitting imprinting mold and method for manufacturing large-area mold
US9426886B2 (en) 2013-01-30 2016-08-23 Seagate Technology Llc Electrical connection with reduced topography
US9385089B2 (en) 2013-01-30 2016-07-05 Seagate Technology Llc Alignment mark recovery with reduced topography
US9343089B2 (en) * 2013-03-08 2016-05-17 Seagate Technology Llc Nanoimprint lithography for thin film heads
US10108086B2 (en) 2013-03-15 2018-10-23 Nanonex Corporation System and methods of mold/substrate separation for imprint lithography
US10105883B2 (en) 2013-03-15 2018-10-23 Nanonex Corporation Imprint lithography system and method for manufacturing
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
JP5804160B2 (ja) * 2013-09-19 2015-11-04 大日本印刷株式会社 インプリント方法およびインプリントモールドの製造方法
JP6363838B2 (ja) * 2014-01-08 2018-07-25 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
WO2016089308A1 (en) * 2014-12-02 2016-06-09 Agency for Science,Technology and Research Photolithography methods
JP6448469B2 (ja) * 2015-05-27 2019-01-09 東芝メモリ株式会社 テンプレートおよびパターン形成方法
JP6403017B2 (ja) * 2015-08-04 2018-10-10 東芝メモリ株式会社 インプリント用テンプレート基板の製造方法、インプリント用テンプレート基板、インプリント用テンプレート、および半導体装置の製造方法
KR102609587B1 (ko) 2016-07-19 2023-12-05 삼성디스플레이 주식회사 임프린트 스탬프의 제조 방법 및 이를 이용하여 제조된 표시 장치
CN105974731B (zh) * 2016-07-25 2020-01-03 京东方科技集团股份有限公司 一种压印板、检测方法及检测装置
KR20180023102A (ko) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 와이어 그리드 패턴 및 이의 제조방법
US10627715B2 (en) * 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
IL266851B2 (en) * 2016-12-02 2023-12-01 Molecular Imprints Inc Designing optical layers in lithography processes with a seal
JP2020044456A (ja) * 2017-01-18 2020-03-26 綜研化学株式会社 ハードコートフィルム及びその製造方法
KR102256347B1 (ko) 2017-03-08 2021-05-27 캐논 가부시끼가이샤 패턴 형성 방법, 및 가공 기판, 광학 부품 및 석영 몰드 레플리카의 제조 방법, 및 임프린트 전처리 코팅 재료 및 그와 임프린트 레지스트와의 세트
WO2018164017A1 (ja) 2017-03-08 2018-09-13 キヤノン株式会社 硬化物パターンの製造方法、光学部品、回路基板および石英モールドレプリカの製造方法、ならびにインプリント前処理コート用材料およびその硬化物
JP6993782B2 (ja) 2017-03-09 2022-01-14 キヤノン株式会社 インプリント装置および物品製造方法
JP7149284B2 (ja) 2017-03-16 2022-10-06 モレキュラー インプリンツ, インコーポレイテッド 光学ポリマーフィルムおよびそれを鋳造する方法
KR102288981B1 (ko) * 2017-04-17 2021-08-13 에스케이하이닉스 주식회사 임프린트 템플레이트 및 임프린트 패턴 형성 방법
US10866510B2 (en) * 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
DE102017118836B3 (de) * 2017-08-17 2019-01-17 Marco Systemanalyse Und Entwicklung Gmbh Dosiervorrichtung
CN111225780B (zh) 2017-10-17 2022-08-26 奇跃公司 用于铸造聚合物产品的方法和装置
US10679110B2 (en) 2018-04-01 2020-06-09 Ramot At Tel-Aviv University Ltd. Nanotags for authentication
US11137536B2 (en) * 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11009661B2 (en) 2018-10-16 2021-05-18 Magic Leap, Inc. Methods and apparatuses for casting polymer products
CN109445247B (zh) * 2018-11-16 2020-06-19 京东方科技集团股份有限公司 压印模板及其制备方法和压印方法
US11018018B2 (en) 2018-12-05 2021-05-25 Canon Kabushiki Kaisha Superstrate and methods of using the same
US11209730B2 (en) 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
JP7322175B2 (ja) 2019-04-11 2023-08-07 アプライド マテリアルズ インコーポレイテッド 光学デバイスのための多重深度膜
NL2023097B1 (en) * 2019-05-09 2020-11-30 Suss Microtec Lithography Gmbh Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp
CN113853671A (zh) * 2019-05-22 2021-12-28 维耶尔公司 用于将器件或图案转移到基板的系统和方法
CN110333643B (zh) * 2019-08-06 2023-05-12 广纳四维(广东)光电科技有限公司 一种纳米压印模板、其制备方法及纳米压印方法
CN110838832B (zh) * 2019-11-18 2022-06-21 中国电子科技集团公司第二十六研究所 一种微型三维叠装的mems谐振器件的制造方法
JP7414576B2 (ja) 2020-02-21 2024-01-16 キヤノン株式会社 位置計測装置、重ね合わせ検査装置、位置計測方法、インプリント装置および物品の製造方法
CN112588222B (zh) * 2020-11-25 2022-02-18 浙江大学 声表面波调控孔隙率与排布的多孔聚合物制备装置与方法
US20230282472A1 (en) * 2022-03-02 2023-09-07 Globalwafers Co., Ltd. Wafer and method of processing wafer
CN116118340B (zh) * 2023-01-31 2024-03-22 东莞市智配机电科技有限公司 一种标签加工用的印刷片结构

Family Cites Families (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US473725A (en) * 1892-04-26 Stone or ore crushing machine
GB1146618A (en) * 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3770852A (en) * 1966-04-12 1973-11-06 Nat Distillers Chem Corp Polyolefin resin blends
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) * 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
GB1578259A (en) * 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4472124A (en) * 1980-09-05 1984-09-18 Matsushita Electric Industrial Co., Limited Device for producing an information recording disk
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
US4512948A (en) 1982-03-03 1985-04-23 Owens-Illinois, Inc. Method for making poly(ethylene terephthalate) article
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) * 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
NL8600809A (nl) 1986-03-28 1987-10-16 Philips Nv Methode om een matrijs te voorzien van een loslaag.
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US5144552A (en) * 1986-07-25 1992-09-01 Ricoh Company, Ltd. Optical information storage medium having grooves and pits with specific depths, respectively
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6334108A (ja) 1986-07-30 1988-02-13 Hitachi Ltd 光デイスク用基板の製造方法および装置
JPS6376330A (ja) * 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US5344304A (en) * 1987-09-05 1994-09-06 Canon Kabushiki Kaisha Mold for molding of substrate for information recording medium
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5639300A (en) * 1987-12-07 1997-06-17 Massachusetts Institute Of Technology Epitaxy with reusable template
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
DE3810391A1 (de) * 1988-03-26 1989-10-05 Leybold Ag Einrichtung und verfahren fuer die steuerung und ueberwachung eines ablenkbaren elektronenstrahls fuer die metallbearbeitung
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) * 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) * 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) * 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) * 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) * 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) * 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) * 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5234717A (en) * 1990-06-14 1993-08-10 Nippon Sheet Glass Co., Ltd. Process for producing a minute-patterned substrate
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JPH0536128A (ja) * 1990-12-20 1993-02-12 Hitachi Ltd 高密度情報記録媒体及びそれを用いた記録装置
NL9100215A (nl) * 1991-02-07 1992-09-01 Asm Lithography Bv Inrichting voor het repeterend afbeelden van een maskerpatroon op een substraat.
SE9100904L (sv) 1991-03-26 1992-08-24 Lennart Olsson Saett och anordning foer foeraendring av vinkelhastigheten hos ett drivet roterande mediabaerorgan
US5240878A (en) * 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) * 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) * 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) * 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ko) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (ja) * 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) * 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
KR0157279B1 (ko) * 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US5632936A (en) * 1994-05-04 1997-05-27 Ciba-Geigy Ag Method and apparatus for molding ophthalmic lenses using vacuum injection
US5453157A (en) * 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) * 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
DE19509452A1 (de) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5648188A (en) * 1995-06-07 1997-07-15 International Business Machines Corporation Real time alignment system for a projection electron beam lithographic system
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5804017A (en) * 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US6614522B1 (en) * 1995-09-08 2003-09-02 Integ, Inc. Body fluid sampler
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) * 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5817376A (en) * 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) * 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
WO1998010121A1 (en) 1996-09-06 1998-03-12 Obducat Ab Method for anisotropic etching of structures in conducting materials
KR100483224B1 (ko) * 1996-10-30 2005-09-30 세이코 엡슨 가부시키가이샤 컬러필터 및 그의 제조방법
JPH10172897A (ja) * 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
JPH10242041A (ja) * 1997-02-26 1998-09-11 Nikon Corp 位置検出方法及びその装置並びに露光装置
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) * 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JP4038272B2 (ja) * 1997-06-04 2008-01-23 東芝松下ディスプレイテクノロジー株式会社 液晶表示装置の組立て方法および組立て装置
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
SE511682C2 (sv) 1998-03-05 1999-11-08 Etchtech Sweden Ab Motstånd i elektriska ledare på eller i mönsterkort, substrat och halvledarbrickor
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
WO1999045179A1 (en) * 1998-03-05 1999-09-10 Obducat Ab Method of etching
US6274393B1 (en) * 1998-04-20 2001-08-14 International Business Machines Corporation Method for measuring submicron images
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
SE513967C2 (sv) 1998-05-29 2000-12-04 Obducat Ab Råmatris för optisk minnesmedia samt sätt för att tillverka en sådan matris
US6680214B1 (en) * 1998-06-08 2004-01-20 Borealis Technical Limited Artificial band gap
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6523803B1 (en) * 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) * 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) * 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (ja) * 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6217901B1 (en) * 1999-05-25 2001-04-17 Alnis, Llc Liposome-assisted synthesis of polymeric nanoparticles
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) * 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6744909B1 (en) * 1999-08-19 2004-06-01 Physical Optics Corporation Authentication system and method
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
SE515607C2 (sv) * 1999-12-10 2001-09-10 Obducat Ab Anordning och metod vid tillverkning av strukturer
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
ATE294648T1 (de) * 1999-12-23 2005-05-15 Univ Massachusetts Verfahren zur herstellung von submikron mustern auf filmen
US6165911A (en) * 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
EP1257878B1 (en) 2000-01-21 2006-07-05 Obducat Aktiebolag A mold for nano imprinting
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
US6234379B1 (en) 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
SE515962C2 (sv) 2000-03-15 2001-11-05 Obducat Ab Anordning för överföring av mönster till objekt
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6262464B1 (en) * 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
EP2264522A3 (en) 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
WO2002017383A2 (en) 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
CN100365507C (zh) * 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
FR2815642B1 (fr) * 2000-10-20 2003-07-11 Pechiney Rhenalu Dispositif rotatif de dispersion de gaz pour le traitement d'un bain de metal liquide
US6284653B1 (en) * 2000-10-30 2001-09-04 Vanguard International Semiconductor Corp. Method of selectively forming a barrier layer from a directionally deposited metal layer
CN1260778C (zh) * 2000-12-04 2006-06-21 株式会社荏原制作所 基片加工方法
US6632742B2 (en) * 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) * 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2002302862A (ja) * 2001-04-06 2002-10-18 Mitsui Chemicals Inc 不織布の製造方法及び装置
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002353102A (ja) 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) * 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6787071B2 (en) * 2001-06-11 2004-09-07 General Electric Company Method and apparatus for producing data storage media
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6555411B1 (en) * 2001-12-18 2003-04-29 Lucent Technologies Inc. Thin film transistors
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) * 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
WO2004019493A1 (ja) * 2002-06-20 2004-03-04 Matsushita Electric Industrial Co., Ltd. スイッチ装置
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7526403B2 (en) * 2002-07-31 2009-04-28 Dahlgren, Llc Mortar ballistic computer and system
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6840878B2 (en) * 2002-12-23 2005-01-11 Depuy Products, Inc. Idler assembly for a sanding/polishing device
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) * 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) * 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US6967798B2 (en) * 2003-12-19 2005-11-22 Komag, Inc. Magnetic recording disk having DTR patterned CSS zone
KR100566700B1 (ko) * 2004-01-15 2006-04-03 삼성전자주식회사 반도체 공정에서 포토레지스트 패턴 형성 방법,포토레지스트 패턴 형성용 템플레이트 및 이의 제조 방법.
TWI277815B (en) * 2004-01-16 2007-04-01 Hannstar Display Corp Liquid crystal display and manufacturing method of liquid crystal display including substrate
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US7504268B2 (en) * 2004-05-28 2009-03-17 Board Of Regents, The University Of Texas System Adaptive shape substrate support method
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7533905B2 (en) * 2005-06-02 2009-05-19 Hewlett-Packard Development Company, L.P. Anti-counterfeiting system and method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100633019B1 (ko) * 2004-12-24 2006-10-12 한국기계연구원 미세 임프린트 리소그래피 공정에서 스탬프와 기판의이격공정 및 그 장치
WO2006135170A1 (en) * 2005-06-13 2006-12-21 Korea Institute Of Machinery & Materials Imprinting apparatus for forming pattern at uniform contact by additional constant pressure
US8253941B2 (en) 2006-05-09 2012-08-28 Samsung Electronics Co., Ltd. Apparatus for manufacturing display panel and method for manufacturing the same
KR100790899B1 (ko) * 2006-12-01 2008-01-03 삼성전자주식회사 얼라인 마크가 형성된 템플릿 및 그 제조 방법
KR100881233B1 (ko) * 2007-05-23 2009-02-05 한국기계연구원 임프린트 리소그래피용 스탬프 및 이를 이용한 임프린트리소그래피방법
KR101051162B1 (ko) * 2007-09-18 2011-07-21 주식회사 하이닉스반도체 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
US8222150B2 (en) 2009-03-31 2012-07-17 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device, template, and method of creating pattern inspection data
CN103116242A (zh) * 2013-03-15 2013-05-22 南京大学 一种无需对准纳米压印制备异质结构的方法
KR20180072553A (ko) * 2016-12-21 2018-06-29 캐논 가부시끼가이샤 함몰부를 포함하는 임프린트 리소그래피용 템플레이트 및 그러한 템플레이트를 이용하는 장치 및 방법

Also Published As

Publication number Publication date
US20040141163A1 (en) 2004-07-22
EP1352295B1 (en) 2015-12-23
AU2001297642A1 (en) 2002-09-04
US20020115002A1 (en) 2002-08-22
EP1352295A2 (en) 2003-10-15
CN1531668A (zh) 2004-09-22
US20040168586A1 (en) 2004-09-02
US8033814B2 (en) 2011-10-11
KR101031528B1 (ko) 2011-04-27
US20080095878A1 (en) 2008-04-24
EP2306242A3 (en) 2011-11-02
US6696220B2 (en) 2004-02-24
EP2306242A2 (en) 2011-04-06
CN100365507C (zh) 2008-01-30
US20040170771A1 (en) 2004-09-02
US20100173033A1 (en) 2010-07-08
US7060324B2 (en) 2006-06-13
WO2002067055A3 (en) 2002-10-10
WO2002067055A2 (en) 2002-08-29
JP2004523906A (ja) 2004-08-05
US7708542B2 (en) 2010-05-04
US7229273B2 (en) 2007-06-12

Similar Documents

Publication Publication Date Title
KR101031528B1 (ko) 실온 저압 마이크로- 및 나노- 임프린트 리소그래피용템플릿
KR100862301B1 (ko) 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템
US9223202B2 (en) Method of automatic fluid dispensing for imprint lithography processes
US6954275B2 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US8016277B2 (en) Flexure based macro motion translation stage

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140407

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160420

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170411

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180405

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190410

Year of fee payment: 9