KR100923695B1 - 반응과 이송 섹션으로 구분된 챔버를 포함하는 반도체가공장치 - Google Patents

반응과 이송 섹션으로 구분된 챔버를 포함하는 반도체가공장치 Download PDF

Info

Publication number
KR100923695B1
KR100923695B1 KR1020020070432A KR20020070432A KR100923695B1 KR 100923695 B1 KR100923695 B1 KR 100923695B1 KR 1020020070432 A KR1020020070432 A KR 1020020070432A KR 20020070432 A KR20020070432 A KR 20020070432A KR 100923695 B1 KR100923695 B1 KR 100923695B1
Authority
KR
South Korea
Prior art keywords
chamber
transfer
transfer chamber
reaction chamber
wafer
Prior art date
Application number
KR1020020070432A
Other languages
English (en)
Other versions
KR20030067468A (ko
Inventor
타카유키 야마기시
마새이 스와다
타케시 와타나베
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20030067468A publication Critical patent/KR20030067468A/ko
Application granted granted Critical
Publication of KR100923695B1 publication Critical patent/KR100923695B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

감소된 비용, 풋프린트및 페이스프린트뿐만 아니라 향상된 효율, 처리량 및 안정성을 가진 반도체 가공 설비가 제공된다. 증착시 이외에는, 반응 챔버(30)와 이송 챔버(20) 양쪽의 대기는 이송 챔버 배기구(26)를 사용하여 배출되고 그 이송 챔버 배기구(26)는 반도체 웨이퍼의 표면 아래에 마련된다. 이런 구성에 의하면, 웨이퍼 이송시 또는 증착시에 발생된 파티클들이 반도체 웨이퍼의 표면에 부착되는 것을 막을 수 있다. 또한, 증착시에 이송 챔버(20)로 퍼지 가스를 도입함으로써, 그리고 절연 분리판(34)을 사용함으로써, 이송 챔버(20)와 반응 챔버(30)의 대기는 효율적으로 분리될 수 있어 이송 챔버(20)의 구성부분 또는 벽위의 증착을 막을 수 있다. 결국, 여기에 설명된 구성에 따르면, 웨이퍼 버퍼 메커니즘이 반도체 가공 설비에 이용될 수 있고 그에 의해 처리량과 효율을 더 높일 수 있다.

Description

반응과 이송 섹션으로 구분된 챔버를 포함하는 반도체 가공장치 {SEMICONDUCTOR PROCESSING APPARATUS COMPRISING CHAMBER PARTITIONED INTO REACTION AND TRANSFER SECTIONS}
도 1A는 본 발명의 일 실시예에 따른 로드락 챔버, 이송 챔버 및 반응 챔버의 개략적인 구성도이고,
도 1B는 본 발명의 일 실시예에 있는 로드락 챔버, 이송 챔버 및 반응 챔버의 개략적인 단면도이고,
도 2는 증착시, 본 발명의 일 실시예의 개략적인 단면도이고,
도 3은 반응 챔버로부터 웨이퍼 이송시, 본 발명의 일 실시예의 개략적인 단면도이고,
도 4는 본 발명의 일 실시예에 따른 가스와 진공 라인의 개략도이다.
※도면의 주요부분에 대한 부호의 설명
10 : 로드락 챔버 12 : 로드락 챔버 배기구
14 : 게이트 밸브 20 : 이송 챔버
22 : 이송 로봇 24 : 이송 아암
26 : 이송 챔버 배기구 30 : 반응 챔버
32 : 반응 챔버 배기구 34 : 절연 분리판
40 : 반도체 웨이퍼 42 : 웨이퍼 버퍼 메커니즘
본 발명은, 진공 로드락 반도체 가공설비에 관한 것으로서, 보다 상세하게는, 효과적으로 웨이퍼를 연속적으로 또는 동시에 가공할 수 있는 콤팩트 설계의 단일웨이퍼(single-wafer) 가공법에 의한 반도체 가공 설비에 관한 것이다.
일반적으로, 진공 로드락 시스템(vacuum load lock system)을 사용하는 반도체 가공장치는 로드락 챔버, 이송 챔버 및 이송 챔버에 연결된 복수의 반응 챔버를 포함한다. 각 장치들에 대해서, 기판을 다루는 로봇은 반응 챔버들에 기판을 자동적으로 공급하는 데에 이용된다. 이러한 배치에서, 우선 대기압 로봇 (atmospheric robot)이 기판을 카세트나 푸프(FOUP: Front Opening Unified Pod)로부터 로드락 챔버 안으로 가져온다. 푸프는 착탈가능한 카세트와 전방 개구 인터페이스(front opening interface)를 가진 박스를 포함한다. 기판이 로드락 챔버에 놓여진 후, 로드락 챔버는 진공으로 되고, 기판은 통상적으로 다각형 형상으로 된 이송 챔버 안에 마련된 진공 로봇(vacuum robot)에 의해 반응 챔버로 이송된다. 기판이 반응 챔버 안에서 완전히 가공된 후, 그 기판은 진공 로봇에 의해 다시 로드락 챔버로 이송된다. 마지막으로, 로드락 챔버가 대기압으로 회복된 후, 그 가공된 기판은 대기압 로봇에 의해 카세트나 푸프로 되돌려진다. 이런 종류의 장치는 일반적으로 클러스터 툴("cluster tool")이라고 불린다.
반응 챔버의 수가 증가할수록, 가공장치가 차지하는 영역( 이하, "풋프린트": footprint)과 장치의 전면 패널의 폭 (이하, "페이스프린트": faceprint)도 증가한다. 이에 따라, 작동 비용도 증가한다. 종래의 단일웨이퍼 가공 장치는 각각의 반응 챔버가 모든 방향으로 방사상으로 부착되는, 통상적으로 다각형 형상으로 된 이송 챔버를 가지고 있기 때문이다. 또한, 설계시에 반응 챔버의 수는 다각형 형상의 이송 챔버의 측면의 수에 의해 한정된다. 게다가, 종래의 단일웨이퍼 가공 장치에서 각 반응 챔버는 독립된 가스와 진공 라인을 가지고 있고, 각 반응 챔버는 독립적으로 증착(필름 형성)을 행한다. 그래서 생산성을 향상하도록 반응 챔버의 수가 증가되려면, 가스 라인과 진공 펌프 수 또한 증가되어야 하고 그에 의해 그 가공 장치는 더욱 복잡해진다.
종래의 단일웨이퍼 가공 장치의 풋프린트 또는 페이스프린트를 감소시키기 위해서, 이송 메커니즘이 로드락 챔버 내에 포함되어져 왔다. 이 이송 메커니즘은 단순히 기판을 붙들 수 있고 반응 챔버로부터 기판을 로딩 및 언로딩할 수 있는 조작유닛이다. 로드락 챔버는 게이트 밸브를 사용하는 반응 챔버로부터 분리되어 있다. 이런 구성에 따르면, 가공 장치의 풋프린트 또는 페이스프린트가 어느 정도 감소될 수 있지만 그 감소가 만족할 수준이 아니며, 가공 효율 또는 생산성도 향상되지도 않고 전체 시스템이 일반적으로 단순하게 되지 않는다. 더욱이, 이런 구성을 하면, 연속적인 화학기상증착(CVD: Chemical Vapor Deposition)공정에서, 가령 에싱 가공(ashing process)등에서, 웨이퍼를 반응 챔버로 공급하기가 어렵게 된다. 마지막으로, 증착시 필름이 게이트 밸브 주위에 형성되기 쉬워 플라즈마를 이용한 CVD가공을 위한 값비싼 플라즈마 방지 O-링(plasma-proof O-ring)의 설치가 필요하게 된다.
비록 로드락 챔버에 W-형상의 이송 아암(arm)을 장착하여 이런 문제들 중 몇몇을 해결한다고 하더라도, 그렇게 하는 것은 로드락 챔버의 용적을 증가시켜서 로드락 챔버를 진공으로 만들고 가압하는 데 필요한 시간을 증가시키므로 가공용량을 감소시킨다.
따라서, 본 발명의 목적은 낮은 비용, 작은 풋프린트, 작은 페이스프린트, 안정된 공정 및 높은 처리량을 실현시키는 반도체 가공 설비를 제공하는 것이다.
또한, 본 발명의 다른 목적은, 반응 챔버들의 부피를 감소시켜 가스 소비를 줄이고 반응 챔버 벽에의 증착을 줄이는 것이다.
본 발명에 따르면, 반도체 가공 설비는 로드락 챔버와, 로드락 챔버 옆에 마련된 이송 챔버와 이송 챔버 위에 마련된 반응 챔버를 포함한다. 반도체 가공 설비는 진공에서 작동될 수 있고 각 챔버들 사이에서 웨이퍼들을 이송할 수 있는 얇은 링크 웨이퍼 이송 아암(thin link wafer transfer arm)을 더 포함한다.
또한, 본 발명에 따르면, 로드락 챔버, 이송 챔버 및 반응 챔버가 각기 배기구를 구비한다. 이러한 구성에서, 대기는 이송 챔버 배기구와 반응 챔버 배기구 사이의 전환에 의해 이송 챔버와 반응 챔버로부터 배출된다.
또한, 본 발명에 따르면, 증착 중과 세정 중에, 이송 챔버의 대기는 절연 분 리판에 의해, 그리고 이송 챔버를 비활성 기체로 가압함으로써, 반응 챔버의 대기로부터 효과적으로 분리된다. 이런 구성에 따르면, 반응 챔버 내의 반응 기체가 이송 챔버로 유입되는 것을 막을 수 있다. 또한, 반응 챔버와 이송 챔버용으로 분리된 배기구들이 존재함으로써, 이송 챔버의 세정은 용이해진다.
또한, 본 발명에 따르면, 반응 챔버 측벽이기도 한 배기관이 절연성 물질로 되어 있다. 이런 구성으로 반응 챔버의 내벽에 플라즈마 증착은 감소된다.
또한, 본 발명에 따르면, 이송 챔버는 반응 챔버 아래에 마련되어 로드락 챔버를 이송 챔버로부터 분리하는 게이트 밸브주위의 필름 형성이 방지된다. 이 구성을 하면, 외래의 오염 물질의 생성없이 복수의 웨이퍼에의 증착도 가능하다.
또한, 본 발명에 따르면, 이송 챔버는 반응 챔버 아래에 마련되어 반도체 가공 설비의 전체 처리량을 증가시키기위한 웨이퍼 임시 저장 메커니즘을 설치가능하게 한다. 웨이퍼 버퍼 메커니즘의 대한 상세한 설명은 2002년 7월 1일 출원된 미국 특허 출원 제 10/187670호를 참조하면 된다.
또한, 본 발명에 따르면, 반응 챔버는 반응챔버로 이송되고 있는 반도체 웨이퍼의 표면아래의 위치로부터 진공화되어 반도체 웨이퍼가 이송 챔버로 이송될 때 또는 증착 중에 발생될 수 있는 파티클의 부착을 감소시킨다. 게다가, 이 구성을 하면, 반응 챔버의 크기가 작아져서 반도체 가공 설비의 효율이 향상된다.
도면 1에서 4는 진공 로드락 시스템을 사용하는 반도체 가공 설비의 일 실시예를 개략적으로 도시하고 있다. 실시예는 가공전 반도체 웨이퍼(40)를 정렬 대기시키기에 적합한 로드락 챔버(10), 이송 챔버(20), 및 반도체 웨이퍼(40) 상에 필름을 성장시키기 위한 반응 챔버(30)를 포함한다. 이송 챔버(20)는 로드락 챔버(10)에 인접하게 배치되며 반응챔버(30)는 이송 챔버(20)위에 배치된다. 이송 로봇(22)은 로드락 챔버(10)의 외부에 배치되며, 반도체 웨이퍼(40)를 이송 로봇(22)에서 로드락 챔버(10), 이송 챔버(20) 및 반응 챔버(30)로 이송할 수 있는 얇은 링크 웨이퍼 이송 아암(24)을 포함한다.
이러한 실시예들에서, 로드락 챔버(10)는 로드락 챔버 배기구(12)를 포함하며, 이송 챔버(20)는 이송 챔버 배기구(26)를 포함하며, 반응 챔버(30)는 반응 챔버 배기구(32)를 포함한다. 이러한 배기구들을 통해 이송 챔버(20)와 반응 챔버(30)는 진공으로 될 수 있다.
반도체 웨이퍼 증착 공정 동안, 그리고 반도체 가공설비의 세정 공정 동안에, 이송 챔버(20)의 대기는 반응 챔버(30)의 대기로부터 효과적으로 분리된다. 이러한 분리는 절연 분리판(34)을 사용하고 불활성 기체를 이송 챔버(20)에 도입함으로써 달성된다. 이들 단계들을 통해 반응 챔버(30) 내에 존재하는 반응 기체가 이송 챔버(20)로 유입되는 것이 방지된다.
또한, 절연성 물질은 반응 챔버 배기구(32)와 반응 챔버(30)의 측벽에 사용될 수 있다. 이런 구성은 반응 챔버의 측벽 상의 플라즈마 증착을 감소시켜 반도체 가공 설비의 효율을 증가시키고 설비 작동에 관계된 세정비용을 절감시킨다.
더욱이, 반응 챔버(30)의 아래에 이송 챔버(20)를 두는 것은 여러 장점들을 제공한다. 예를 들면, 이런 구성을 하면, 이송 챔버(20)를 로드락 챔버(10)로부터 분리시키는 게이트 밸브(14)주위의 필름 형성이 감소되어 오염 물질의 발생 없이 복수의 반도체 웨이퍼(40)에의 증착이 가능하다. 또한, 이런 구성에 따르면, 로드락 챔버(10) 와 이송 챔버(20)사이에 반도체 웨이퍼들의 이송에 사용될 수 있는 웨이퍼 버퍼 메커니즘(wafer buffer mechanism, 42)의 설치가 가능하다. 웨이퍼 버퍼 메커니즘(42)의 상세한 설명은 2002년 7월 1일 출원된 미국 특허 출원 제 10/187670호를 참조하면 된다.
이송 챔버(20)로부터 이송되고 있는 반도체 웨이퍼(40)의 표면 아래로부터 반응 챔버(30)가 진공화됨으로써, 웨이퍼 이송중 또는 증착중에 생성된 파티클의 부착이 감소되거나 방지된다. 게다가, 이런 배기 구조에 의하면 반응 챔버(30)의 크기가 작아질 수 있다. 활성 배기구를 이송 챔버(20)에서 반응 챔버(30)로 전환시키고 퍼지 가스(purge gas)를 이송 챔버(20)로 주입함으로써, 반응 기체가 이송 챔버(20)로 유입되는 것을 막을 수 있다. 비록 이송 챔버 배기구(26)를 사용하여 세정이 수행되더라도, 세정중에 이와 동일한 기체 유동 구조가 사용되어 이송 챔버(20) 내측의 세정을 가능하게 할 수 있다.
도 2는 반응 챔버(30) 내의 반응 기체가 이송 챔버(20)로 유입되는 것을 방지하는 메커니즘의 일 실시예의 개략적인 단면도이다. 이러한 실시예에서, 불활성 기체는 증착중에 이송 챔버(20)로 도입되며, 그에 의해 이송 챔버(20)가 반응 챔버(30)로부터 효과적으로 분리된다.
도 3에 도시된 바와 같이, 반도체 웨이퍼(40)가 반응 챔버(30)로 이송되거나 이송 챔버(20)에 대기 정렬되고 있을 때, 반응 챔버(30)의 대기는 반도체 웨이퍼(40)의 표면 아래에 배치된 이송 챔버 배기구(26)로부터 배출된다. 이런 구조에 따르면, 웨이퍼 이송중이나 증착중에 생성되는 파티클이 반도체 웨이퍼(40)의 표면에 부착되는 것을 막을 수 있다.
도 4는 본 발명의 실시예에 따른 가스와 진공 라인의 개략도이다.
위에 설명한 실시예에 따른 반도체 가공 설비는 운용비용, 풋프린트및 페이스프린트가 감소되는 특징을 나타낸다. 또한, 반응 챔버의 용적을 감소시키고 반응 챔버의 벽을 절연성 물질로 구성하여 반응 챔버 벽에의 증착을 최소화할 수 있으며, 이에 의해 이 설비의 효율과 처리량과 안정성이 증대될 수 있게 된다.

Claims (24)

  1. 로드락 챔버;
    이송 챔버;
    상기 이송 챔버의 바로 위에 배치된 반응 챔버; 및
    상기 로드락 챔버 외측에 배치되고, 웨이퍼 이송 아암을 포함하는 로봇을 포함하며,
    상기 웨이퍼 이송 아암은 상기 로드락 챔버의 내부 및 진공 내에서 동작하고, 상기 로드락 챔버, 상기 이송 챔버, 그리고 상기 반응 챔버 사이에서 반도체 웨이퍼를 이송하고,
    상기 이송 챔버와 상기 반응 챔버는, 상기 반도체 웨이퍼가 웨이퍼 가공 위치 내에 존재할 때, 상기 반도체 웨이퍼에 의해 분리되고,
    상기 이송 챔버와 상기 반응 챔버는, 상기 반도체 웨이퍼가 상기 웨이퍼 가공 위치에 존재할 때, 상기 반도체 웨이퍼 주위의 갭을 통하여 연통되는 진공 로드락 반도체 웨이퍼 가공 설비.
  2. 제1항에 있어서,
    로드락 챔버 배기구;
    이송 챔버 배기구; 및
    반응 챔버 배기구를 더 포함하며,
    상기 반응 챔버 배기구와 상기 이송 챔버 배기구 사이의 전환에 의해, 상기 반응 챔버와 상기 이송 챔버가 진공화되는 진공 로드락 반도체 가공 설비.
  3. 제2항에 있어서,
    상기 이송 챔버는, 상기 반도체 웨이퍼가 상기 웨이퍼 가공 위치 내에 존재할 때, 상기 반도체 웨이퍼보다 더 낮은 위치로부터 진공화되는 진공 로드락 반도체 가공 설비.
  4. 제1항에 있어서,
    상기 이송 챔버를 상기 반응 챔버로부터 분리하는 절연 분리판을 더 포함하는 진공 로드락 반도체 가공 설비.
  5. 제1항에 있어서,상기 반응 챔버는 절연성 물질을 포함하는 진공 로드락 반도체 가공 설비.
  6. 제1항에 있어서,
    상기 이송 챔버와 상기 반응 챔버는 상기 이송 챔버의 내측 표면 상의 필름 형성이 방지되도록 구성되는 진공 로드락 반도체 가공 설비.
  7. 로드락 챔버, 이송 챔버 및 상기 이송 챔버의 상부에 배치되는 반응 챔버를 제공하는 단계;
    상기 로드락 챔버의 내부 및 진공 내에서 동작하는 웨이퍼 이송 아암을 포함하는 로봇을 제공하는 단계; 및
    상기 로드락 챔버, 상기 이송 챔버, 그리고 상기 반응 챔버 사이에서 상기 웨이퍼 이송 아암을 사용하여 반도체 웨이퍼를 이송하는 단계를 포함하는 반도체 웨이퍼 가공 방법.
  8. 제7항에 있어서,
    반응 챔버 배기구와 이송 챔버 배기구 사이의 전환에 의해, 상기 반응 챔버와 상기 이송 챔버를 진공화시키는 단계를 더 포함하는 반도체 웨이퍼 가공 방법.
  9. 제7항에 있어서,
    상기 이송 챔버를 상기 반도체 웨이퍼보다 더 낮은 위치로부터 진공화시키는 단계를 더 포함하는 반도체 웨이퍼 가공 방법.
  10. 제7항에 있어서,
    불활성 기체를 상기 이송 챔버에 도입함으로써, 상기 반응 챔버 안의 반응 기체가 상기 이송 챔버로 들어가는 것을 방지하는 단계를 더 포함하는 반도체 웨이퍼 가공 방법.
  11. 제7항에 있어서,
    상기 반응 챔버의 내측 표면을 절연성 물질로 구성함으로써 상기 반응 챔버의 상기 내측 표면 상의 증착을 방지하는 단계를 더 포함하는 반도체 웨이퍼 가공 방법.
  12. 제7항에 있어서,
    상기 이송 챔버를 상기 반응 챔버로부터 분리시킴으로써 상기 이송 챔버의 내측 표면 상의 증착을 방지하는 단계를 더 포함하는 반도체 웨이퍼 가공 방법.
  13. 로드락 챔버;
    웨이퍼 가공 위치 내의 반도체 웨이퍼보다 더 낮게 배치된 이송 챔버 배기구를 갖고, 상기 반도체 웨이퍼에 의해 반응 챔버로부터 분리되는 이송 챔버; 및
    상기 로드락 챔버, 상기 이송 챔버 그리고 상기 반응 챔버 사이에서 상기 반도체 웨이퍼를 이송하는 웨이퍼 이송 아암을 포함하며,
    상기 이송 챔버는, 웨이퍼 가공 동작 이전에, 상기 이송 챔버 및 상기 반응 챔버의 내부로부터 상기 이송 챔버 배기구 밖으로 가스를 배출시키도록 구성되며,
    상기 반응 챔버는, 상기 이송 챔버의 상부에 배치되고, 상기 웨이퍼 가공 동작 동안에, 상기 반도체 웨이퍼가 상기 웨이퍼 가공 위치에 존재할 때, 상기 반응 챔버 및 상기 이송 챔버의 내부로부터 반응 챔버 배기구 밖으로 가스를 배출시키도록 구성된 반도체 웨이퍼의 가공 장치.
  14. 제13항에 있어서,
    상기 반도체 웨이퍼의 가공 장치는, 상기 웨이퍼 가공 동작 동안에 상기 이송 챔버로 불활성 가스를 공급하고, 상기 웨이퍼 가공 동작 동안에 상기 반도체 웨이퍼 주위의 상기 불활성 가스를 상기 반응 챔부 내부로 그리고 상기 반응 챔버 배기구 밖으로 배출시키도록 구성된 반도체 웨이퍼의 가공 장치.
  15. 제13항에 있어서,
    상기 반도체 웨이퍼의 가공 장치는 상기 웨이퍼 가공 동작 이전에 상기 이송 챔버 배기구에서 상기 반응 챔버 배기구로 활성 배기구를 전환시키도록 구성된 반도체 웨이퍼의 가공 장치.
  16. 제13항에 있어서,
    상기 반응 챔버의 내부 표면 상에 절연성 물질이 배치되는 반도체 웨이퍼의 가공 장치.
  17. 제13항에 있어서,
    상기 이송 챔버와 상기 반응 챔버 사이에 봉합 부재(seal)가 없는 반도체 웨이퍼의 가공 장치.
  18. 제13항에 있어서,
    상기 반응 챔버 전체가 상기 이송 챔버의 상부에 배치되는 반도체 웨이퍼의 가공 장치.
  19. 웨이퍼 이송 아암을 사용하여 로드락 챔버로부터 이송 챔버로 반도체 웨이퍼를 이송하는 단계;
    상기 이송 챔버로부터 반응 챔버로 상기 반도체 웨이퍼를 이송하는 단계;
    웨이퍼 가공 동작 이전에, 상기 반도체 웨이퍼의 하부에 배치된 이송 챔버 배기구를 통하여 상기 반응 챔버 및 상기 이송 챔버로부터 가스를 배기시키는 단계;
    상기 반도체 웨이퍼가 상기 반응 챔버 내에 있는 동안, 상기 웨이퍼 가공 동작을 수행하는 단계; 및
    상기 웨이퍼 가공 동작 동안, 반응 챔버 배기구를 통하여 상기 반응 챔버 및 상기 이송 챔버를 배기하는 단계를 포함하는 방법.
  20. 제19항에 있어서,
    상기 웨이퍼 가공 동작 동안, 상기 이송 챔버에 불활성 가스를 공급하는 단계를 더 포함하는 방법.
  21. 제19항에 있어서,
    상기 웨이퍼 가공 동작 동안에, 상기 이송 챔버에 불활성 가스를 공급하는 단계; 및
    상기 이송 챔버 및 상기 반도체 웨이퍼의 가장자리 주변으로부터 상기 반응 챔버의 내부로 그리고 상기 반응 챔버 배기구 밖으로 상기 불활성 가스를 배기시키는 단계를 더 포함하는 방법.
  22. 제19항에 있어서,
    상기 반응 챔버의 내부 표면 상에 절연성 물질을 제공하는 단계를 더 포함하는 방법.
  23. 제19항에 있어서,
    상기 이송 챔버와 상기 반응 챔버 사이에 절연 분리판이 제공되는 방법.
  24. 제19항에 있어서,
    상기 이송 챔버 배기구로부터 상기 반응 챔버 배기구로 활성 배기구를 전환시키는 단계를 더 포함하는 방법.
KR1020020070432A 2002-02-08 2002-11-13 반응과 이송 섹션으로 구분된 챔버를 포함하는 반도체가공장치 KR100923695B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/072,620 2002-02-08
US10/072,620 US6899507B2 (en) 2002-02-08 2002-02-08 Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections

Publications (2)

Publication Number Publication Date
KR20030067468A KR20030067468A (ko) 2003-08-14
KR100923695B1 true KR100923695B1 (ko) 2009-10-27

Family

ID=27610561

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020070432A KR100923695B1 (ko) 2002-02-08 2002-11-13 반응과 이송 섹션으로 구분된 챔버를 포함하는 반도체가공장치

Country Status (4)

Country Link
US (2) US6899507B2 (ko)
EP (1) EP1335414A3 (ko)
JP (1) JP4454234B2 (ko)
KR (1) KR100923695B1 (ko)

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US20070233313A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Transfer pick, transfer device, substrate processing apparatus and transfer pick cleaning method
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2360720A1 (de) * 2010-02-23 2011-08-24 Saint-Gobain Glass France Vorrichtung zum Positionieren von mindestens zwei Gegenständen, Anordnungen, insbesondere Mehrschichtkörperanordnungen, Anlage zum Prozessieren, insbesondere zum Selenisieren, von Gegenständen, Verfahren zum Positionieren von mindestens zwei Gegenständen
CN107164742B (zh) * 2011-03-01 2020-10-16 应用材料公司 具有共享泵的真空腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9673092B2 (en) 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106298583B (zh) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 处理腔、处理腔和真空锁组合以及基片处理系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209150A2 (en) 1985-07-19 1987-01-21 Fujitsu Limited Apparatus of metal organic chemical vapor deposition for growing epitaxial layer of compound semiconductor
KR20000066321A (ko) * 1999-04-15 2000-11-15 윤종용 챔버설비를 구비하는 반도체 제조 장치
JP2001077172A (ja) 1999-09-01 2001-03-23 Tadahiro Omi 基板の処理装置、基板の搬送体、並びに電子部品の製造方法
KR20010030234A (ko) * 1999-09-06 2001-04-16 히가시 데쓰로 반도체 처리용 반송 장치 및 수용 장치와, 반도체 처리시스템

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2629634B1 (fr) * 1984-12-18 1990-10-12 Thomson Csf Tube a onde progressive comportant une ligne a retard du type en helice fixee a un fourreau par l'intermediaire de support dielectriques en nitrure de bore
JPS61291032A (ja) * 1985-06-17 1986-12-20 Fujitsu Ltd 真空装置
EP0266311B1 (de) * 1986-10-31 1992-06-17 Ciba-Geigy Ag Fluoranfarbbildnergemisch und seine Verwendung in Aufzeichnungsmaterialien
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5080549A (en) 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5071460A (en) 1988-03-04 1991-12-10 Nippon Telegraph And Telephone Corporation Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
ES2163388T3 (es) * 1988-05-24 2002-02-01 Unaxis Balzers Ag Instalacion de vacio.
US4889609A (en) 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US5683072A (en) 1988-11-01 1997-11-04 Tadahiro Ohmi Thin film forming equipment
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US4951501A (en) * 1989-10-16 1990-08-28 Schrader Automotive Inc. Tire valve having dual electric conducting paths
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04308090A (ja) * 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5192371A (en) 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP3183575B2 (ja) 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
JP3186262B2 (ja) * 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
KR100303075B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 집적회로 웨이퍼 이송 방법 및 장치
JP2548062B2 (ja) 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
JPH06275703A (ja) 1993-03-24 1994-09-30 Ebara Corp 真空処理装置
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3239977B2 (ja) 1994-05-12 2001-12-17 株式会社日立国際電気 半導体製造装置
SE9401709D0 (sv) * 1994-05-18 1994-05-18 Mathilda Sjoeberg Improved alphavirus vectors for expression of heterologous DNA
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
IT1269120B (it) * 1994-06-29 1997-03-21 Mattioli Eng Srl Apparecchiatura per la microdermoabrasione mediante un getto di una miscela aria/sostanze riducenti e manipolo relativo
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5820692A (en) 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US5752796A (en) 1996-01-24 1998-05-19 Muka; Richard S. Vacuum integrated SMIF system
EP0789260B1 (fr) 1996-02-09 2004-05-12 Finasse S.A. Coussinet gélatineux à effet de membrane réduit
US5664825A (en) * 1996-03-04 1997-09-09 Henke; Robert F. Hail protective cover
US5630590A (en) * 1996-03-26 1997-05-20 United Technologies Corporation Method and apparatus for improving the airsealing effectiveness in a turbine engine
JP3156920B2 (ja) 1996-05-15 2001-04-16 日本エー・エス・エム株式会社 半導体処理装置
US5975740A (en) 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5900105A (en) 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
JPH1074818A (ja) 1996-09-02 1998-03-17 Tokyo Electron Ltd 処理装置
US5810942A (en) 1996-09-11 1998-09-22 Fsi International, Inc. Aerodynamic aerosol chamber
JP3570827B2 (ja) 1996-09-13 2004-09-29 東京エレクトロン株式会社 処理装置
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JPH10207151A (ja) * 1997-01-22 1998-08-07 Fujitsu Ltd 画像形成装置
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
JP3442253B2 (ja) 1997-03-13 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6280134B1 (en) 1997-06-17 2001-08-28 Applied Materials, Inc. Apparatus and method for automated cassette handling
US5882413A (en) 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US5816718A (en) * 1997-07-21 1998-10-06 Zebra Technologies Corporation Hand-held label printer applicator
JP3425592B2 (ja) 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JPH11135600A (ja) 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
KR19990027324A (ko) 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6154301A (en) 1997-11-10 2000-11-28 Harvey; Philip C. Fiber optic receiver
JP3207147B2 (ja) 1997-12-19 2001-09-10 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
US6120605A (en) 1998-02-05 2000-09-19 Asm Japan K.K. Semiconductor processing system
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
JP3758009B2 (ja) 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6162299A (en) 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
JP2000040728A (ja) 1998-07-22 2000-02-08 Nippon Asm Kk ウェハ搬送機構
US6053888A (en) * 1998-08-05 2000-04-25 Kong; Carl Cheung Tung Variable volume bottle and related medical fluid infusion system
US6108937A (en) 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
TW466576B (en) 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
JP2001023899A (ja) 1999-07-13 2001-01-26 Hitachi Ltd 半導体薄膜とその半導体膜を用いた液晶表示装置及びその製造方法
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
US6193603B1 (en) * 1999-10-07 2001-02-27 Kuo-Cheng Tai Wind outlet plate of an air conditioner for cleaning air
JP2001284433A (ja) 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
US6488778B1 (en) 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
US6391035B1 (en) * 2000-03-24 2002-05-21 Timothy Appleby Hemostatic clip removal instrument
US6264804B1 (en) 2000-04-12 2001-07-24 Ske Technology Corp. System and method for handling and masking a substrate in a sputter deposition system
JP2001351871A (ja) 2000-06-09 2001-12-21 Asm Japan Kk 半導体製造装置
US6408937B1 (en) * 2000-11-15 2002-06-25 Sanjay K. Roy Active cold plate/heat sink
US6551045B2 (en) * 2000-11-17 2003-04-22 Nikon Corporation Wafer stage chamber
US6636136B1 (en) * 2000-12-14 2003-10-21 Ge Medical Systems Global Technology Company, Llc Mechanical stabilizer-tuned damper for high field open magnet
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US6797617B2 (en) 2002-05-21 2004-09-28 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209150A2 (en) 1985-07-19 1987-01-21 Fujitsu Limited Apparatus of metal organic chemical vapor deposition for growing epitaxial layer of compound semiconductor
KR20000066321A (ko) * 1999-04-15 2000-11-15 윤종용 챔버설비를 구비하는 반도체 제조 장치
JP2001077172A (ja) 1999-09-01 2001-03-23 Tadahiro Omi 基板の処理装置、基板の搬送体、並びに電子部品の製造方法
KR20010030234A (ko) * 1999-09-06 2001-04-16 히가시 데쓰로 반도체 처리용 반송 장치 및 수용 장치와, 반도체 처리시스템

Also Published As

Publication number Publication date
JP2003234393A (ja) 2003-08-22
US20030152445A1 (en) 2003-08-14
US20050118001A1 (en) 2005-06-02
US7021881B2 (en) 2006-04-04
KR20030067468A (ko) 2003-08-14
EP1335414A3 (en) 2004-12-15
EP1335414A2 (en) 2003-08-13
JP4454234B2 (ja) 2010-04-21
US6899507B2 (en) 2005-05-31

Similar Documents

Publication Publication Date Title
KR100923695B1 (ko) 반응과 이송 섹션으로 구분된 챔버를 포함하는 반도체가공장치
US6053686A (en) Device and method for load locking for semiconductor processing
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
JP4531557B2 (ja) 半導体処理ツール内チャンバ間の相互汚染の減少
KR20180045316A (ko) 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US20090078372A1 (en) Vacuum processing apparauts
JP5224567B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
KR100665855B1 (ko) 반도체 디바이스 제조설비의 진공장치 및 이를 이용한진공방법
US20090060691A1 (en) Substrate receiving apparatus and substrate receiving method
US20210287927A1 (en) Substrate processing system, vacuum substrate transfer module, and substrate transfer method
JP2873761B2 (ja) 半導体製造装置
JP2004260120A (ja) 基板処理装置
KR100566697B1 (ko) 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
KR20080062220A (ko) 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP4227137B2 (ja) 基板収納容器
JP2868767B2 (ja) 半導体ウエハ処理装置
US6524430B1 (en) Apparatus for fabricating a semiconductor device
JP2004119627A (ja) 半導体製造装置
JP2004179519A (ja) 基板処理装置
JPH05140743A (ja) 真空処理装置
JPH11219908A (ja) 基板処理装置および基板処理方法
JP3443421B2 (ja) 真空処理装置及び真空処理方法
JP5010620B2 (ja) プロセス装置
JP3404391B2 (ja) 基板の真空処理方法及び真空処理装置
WO1999053534A1 (fr) Systeme de traitement

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121009

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141006

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170919

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180918

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190917

Year of fee payment: 11