KR100333158B1 - 반도체 장치 제작 방법 - Google Patents

반도체 장치 제작 방법 Download PDF

Info

Publication number
KR100333158B1
KR100333158B1 KR1020010003535A KR20010003535A KR100333158B1 KR 100333158 B1 KR100333158 B1 KR 100333158B1 KR 1020010003535 A KR1020010003535 A KR 1020010003535A KR 20010003535 A KR20010003535 A KR 20010003535A KR 100333158 B1 KR100333158 B1 KR 100333158B1
Authority
KR
South Korea
Prior art keywords
insulating film
forming
hydrogen
source gas
oxygen
Prior art date
Application number
KR1020010003535A
Other languages
English (en)
Inventor
야마자키순페이
사카마미츠노리
후카다다케시
Original Assignee
야마자끼 순페이
가부시키가이샤 한도오따이 에네루기 켄큐쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP25696995A external-priority patent/JP3488324B2/ja
Priority claimed from JP26251995A external-priority patent/JP3672639B2/ja
Application filed by 야마자끼 순페이, 가부시키가이샤 한도오따이 에네루기 켄큐쇼 filed Critical 야마자끼 순페이
Application granted granted Critical
Publication of KR100333158B1 publication Critical patent/KR100333158B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/1525Deposition methods from the vapour phase by cvd by atmospheric CVD
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd

Abstract

반도체 장치의 제작시에 다양한 유형의 절연 필름을 형성하는 데 있어서, 필름 형성 과정 동안 탄소 함량을 감소시키기 위해 활성 수소 및 산화질소를 첨가함으로써 필름 형성 과정 동안 탄소는 CHx, COH 등으로 가스화되고, 알칼리 금속 등의 불순물 블로킹 효과가 개선된다.

Description

반도체 장치 제작 방법{Method for manufacturing a semiconductor device}
본 발명은 유기 실란형 소스 가스를 사용하는 필름 형성에 관한 것이다. 보다 상세하게는, 본 발명은 수소 및 질소를 함유하고, 탄소 함량이 낮고, 스텝 커버리지 및 불순물 블로킹 성능이 우수한 필름의 형성을 포함하는 반도체 장치의 제작 방법 및 장치에 관한 것이다.
반도체 장치의 하나의 기술 분야를 구성하는 LSI에서, 와이어링 인터벌은 현재 0.2 내지 0.4 ㎛ 로 작고, 와이어링 라인(인터커넥션)의 가로세로비(폭에 대한 높이)는 현재 단위를 초과한다. 층간 절연 필름을 평면화하는 데 있어서, 보이드를 피하기 위해, 에틸 오토실리케이트(Si(OC2H5)4, 소위 'TEOS'라 칭함) 등의 유기 실란형 소스 가스를 사용함으로써 형성된 필름의 우수한 스텝 커버리지를 이용하는필름 형성 방법이 사용되게 되었다. 많은 박막 트랜지스터가 절연 기판 상에 형성되는 액정 디스플레이의 다른 분야에서, 박막 트랜지스터의 와이어링 라인의 소위 말하는 '일 스텝에서의 브레이크'의 발생 빈도는 소스 가스 등의 에틸 오르토실리케이트를 사용함으로써 형성된 필름의 우수한 스텝 커버리지를 이용함으로써 적어진다. 특히, 실리콘-웨이퍼를 위한 고온 공정에 비해 대조적으로 600 ℃보다 낮은 공정을 사용하는 액정 디스플레이에 있어서, 에틸 오르토실리케이트 소스 가스가 게이트 산화막 및 층간 절연 필름 이외의 언더코트 필름을 형성하기 위해 사용된다.
LSI 분야에서, 에틸 오르토실리케이트를 사용함으로써 형성된 산화막이 층간 절연 필름으로서 사용되지만, 많은 탄소-수소 결합 및 산소-수소 결합을 함유하고, 그에 따라 흡습성(hygroscopicity)이 크다. 한편, 질화 규소 필름은 큰 방수성 및 불순물 블로킹 성능을 나타내지만, 스텝 커버리지가 불량하고, 그 경도가 크기 때문에 쉽게 파열된다.
예를 들면, 박막 트랜지스터(TFT)가 도포되는 경우, 액정 디스플레이, 언더코트 필름, 게이트 절연 필름, 층간 절연 필름 등이 에틸 오르토실리케이트 등의 유기 실란형 소스 가스를 사용하는 열 CVD, 플라즈마 CVD 등에 의해 유리 기판 등의 절연 기판 상에 형성된다. 그러나, 대량의 탄소를 갖는 이러한 필름은 방수성 및 불순물 블로킹 성능의 견지에서 충분치 못하다.
에틸 오르토실리케이트를 사용하는 플라즈마 CVD 방법에서 종래의 통상적으로 사용되는 필름 형성 방법에서, 주 기판은 병렬-플레이트 전극을 갖고, 진공될수 있는 챔버 내에 놓인다. 전극 중의 하나는 고주파수 전원에 접속되고, 즉 음극으로서 작용한다. 다른 전극은 그라운드에 접속되고, 즉 양극으로서 작용한다. 주 기판은 그라운드-측, 즉 양극-측 전극 상에 위치한다. 에틸 오르토실리케이트는 상온에서 액체 형태로 추정되기 때문에, 가열되면 그 증기압을 증가시키는 상태로 챔버에 도입되거나, 또는 에틸 오르토실리케이트를 탱크 내에서 캐리어 가스와 함께 버블링시킴으로써 챔버 내로 캐리어 가스와 함께 도입된다. 에틸 오르토실리케이트는 플라즈마 중에서 분해될 때, 전구체(precursor)를 형성하고 기판 상에서 유동함으로써 스텝 커버리지가 우수한 필름의 형성이 가능한 특징을 갖는다. 기판 상에서 이동하는 전구체는 상호 충돌하고, 플라즈마 중에 형성된 산소 이온, 산소 라디칼, 오존 분자는 이들 전구체와 충돌하여, 기판 상에서 추출 반응을 야기하고, 그로 인해 SiOx를 형성한다. 보다 많은 양의 산소가 도입되는 경우, 에틸 오르토실리케이트로부터 형성된 전구체로 인한 표면 추출 반응이 가속화된다. 이 경우, 스텝 커버리지는 탄소 함량이 감소되는 것을 통해 저하된다.
한편, 보다 적은 양의 산소가 도입되는 경우, 스텝 커버리지는 개선되지만, 보다 많은 탄소-수소 및 산소-수소 결합이 필름에 남아, 흡습성을 보다 크게 만든다. 적외선 측정이 수행되는 경우, 3,660 cm-1근처의 흡습성은 랩스 시간에 따라 증가할 것이다. 3,660 cm-1에서 흡습성은 주로 Si-OH 결합에 기인하고, 형성된 필름은 흡습성임을 나타낸다.
에틸 오르토실리케이트를 사용하는 다른 필름 형성 방법은 오존 및 열을 이용하는 상압(常壓) CVD법이다. 이러한 방법에서, 기판은 300 내지 400 ℃로 가열된다. 에틸 오르토실리케이트 등의 유기 실란형 소스 가스는 이를 N2와 함께 탱크 내에서 버블링시킴으로써 반응 챔버 내로 도입된다. 또한, 오존은 산소를 오존 발생기를 통해 통과시켜 오존을 생성함으로써 챔버에 도입된다. 이러한 방법의 우수한 스텝 커버리지 및 큰 필름 형성 속도 때문에, 이 방법은 LSI 및 DRAM 메모리 등의 다중층 와이어링을 포함하는 장치용의 층간 절연 필름을 형성하기 위해 사용된다. 필름 형성 후, 평면화는 에칭 백, SOG(스핀 온 글래스), CMP(기계 화학적 폴리싱) 등을 조합함으로써 형성된다.
그러나, 상기 상압 CVD 방법에 따라 생성된 필름은 밀도가 매우 낮고, 즉 다공성 필름이 형성된다. 따라서, 이러한 필름이 단독으로 사용되는 경우, 매우 큰 흡습성을 나타내어 와이어링 라인들 간의 누설을 유발할 수 있고, 이로 인해 반도체 장치의 신뢰도를 저하시킨다. 또한, 0.3 ㎛ 룰의 도포가 가압될 때의 현 시점에서, 와이어링 라인들 사이의 측면 용량은 무시할 수 없으며, 이는 작은 유전율을 갖는 필름을 요한다.
본 양수인의 일본 특개평1-48425호에는 유기 실란형 소스 가스 및 산화질소를 사용하는 필름 형성 방법이 개시되어 있다. 상기 공개에 개시된 바와 같이, 이 방법은 코팅이 알칼리 불순물들을 블로킹하는 불균일한 표면 상에 균일한 코팅을 형성할 수 있다. 이러한 코팅은 층간 절연 필름으로서만 사용될 때 만족스러운 작용을 하지만, 유기 실란형 소스 가스의 탄소 함량은 전기적 특성이 중요한 게이트 절연 필름 또는 커패시터의 일부 등의 절연 필름으로서 작용할 때 최소화될 필요가있다. 이 코팅은 탄소 함량이 조절되지 않는 한 전기적 특성이 이용되는 절연 필름으로서 사용될 수 없다.
종래, 에틸 오르토실리케이트 등의 유기 실란형 소스 가스를 사용함으로써 필름이 형성되는 경우, 스텝 커버리지를 개선시키는 것은 흡습성 및 탄소 함량의 증가를 필연적으로 유발하고, 다시 신뢰도의 감소 및 반도체 특성의 저하를 유발한다. 탄소 함량을 감소시키기 위해 보다 많은 양의 산소가 에틸 오르토실리케이트 등의 유기 실란형 가스에 첨가되는 경우, 스텝 커버리지가 저하되고, 그에 따라 와이어링 라인의 보이드, 브레이크가 발생할 수 있고, 또한 신뢰도의 감소 및 반도체 특성의 저하를 유발한다. 또한, 산화막은 알칼리 금속 등의 불순물에 의해 오염되기 쉽다. 일단 도입된 불순물은 경우에 따라 작용성 이온(이동성 이온)으로서 작용한다.
본 발명의 목적은 스텝 커버리지가 우수하고, 탄소 함량이 종래의 필름들보다 낮고, 흡습성이 낮고, 불순물 블로킹 성능이 우수한 필름을 형성할 수 있는 것이다.
본 발명의 다른 목적은 스텝 커버리지가 우수하고, 탄소 함량이 종래의 필름들보다 낮고, 흡습성이 낮고, 증가된 필름 형성 속도를 갖는 필름을 형성할 수 있는 것이다.
도 1은 본 발명의 제1 및 제2 실시예에 사용된 병렬 플레이트 플라즈마 CVD 장치를 나타내는 도면.
도 2는 스텝 커버리지를 평가하는 방법을 나타내는 도면.
도 3a 및 도 3b는 본 발명에 따라 형성된 산화막의 측정 데이터를 나타내는 도면.
도 4는 본 발명의 제 3 및 제 4 실시예에 사용된 상압 CVD 장치를 나타내는 도면.
도 5a 내지 도 5f 는 본 발명의 제 5 및 제 6 실시예에 따른 TFT 제작 공정을 나타내는 도면.
도 6은 금속 와이어링 라인이 본 발명의 제 7 및 제 8 실시예에 따라 어떻게 매립되는지를 나타내는 도면.
※ 도면의 주요 부분에 대한 부호의 설명
11: 진공 챔버 12: 음극
13: 기판 14: 양극
15: 펌프 16: 조절 밸브
17: 매칭 장치 23: H2O
31: 기판 32: 기판 호울더
33: 가스 노즐 44: 촉매
51: 반도체 기판 52: 열 산화 필름
53: 금속 와이어링 라인 54: 절연 필름
상기 목적을 달성하기 위해, 본 발명의 일 특징에 따르면,
산화막이 그 형성 과정 동안 수소를 첨가하고, 이어서 상기 수소를 수소 라디칼로 전환시킴으로써 형성되거나, 또는
산화막이 수소를 수소 라디칼로 전환시키고, 이 수소 라디칼을 산화막의 형성 과정 동안 첨가함으로써 형성되는,
유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 H2O를 첨가하고, 이어서 상기 H2O로부터 수소 라디칼을 생성함으로써 형성되는,
유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 또 다른 특징에 따르면,
산화막이 그 형성 과정 동안 수소를 첨가하고, 이어서 상기 수소를 수소 라디칼로 전환시킴으로써 형성되거나, 또는
산화막이 수소를 수소 라디칼로 전환시키고, 이 수소 라디칼을 산화막의 형성 과정 동안 첨가함으로써 형성되는,
유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되고 오존 밀도가 1% 이상으로 설정된 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 상압 CVD에의해 가열된 기판의 친수성 표면의 적어도 일부 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 또 다른 특징에 따르면,
산소의 양이 유기 실란형 소스 가스의 양의 15배 미만이고,
산화막이 그 형성 과정 동안 유기 실란형 소스 가스의 양의 0.01배 이상의 양의 수소를 첨가하고, 이어서 상기 수소를 수소 라디칼로 전환시킴으로써 형성되는,
유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 플라즈마 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 또 다른 특징에 따르면,
산소의 양이 유기 실란형 소스 가스의 양의 15배 미만이고,
산화막이 H2O를 첨가하고, 이 H2O를 이 산화막의 형성 과정 동안 유기 실란형 소스 가스의 양의 0.1 내지 1배의 양의 캐리어 가스와 함께 버블링시키고, 이어서 상기 H2O로부터 수소 라디칼을 생성함으로써 형성되는,
유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 플라즈마 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 또 다른 특징에 따르면,
산화막이 그 형성 과정 동안 유기 실란형 소스 가스의 양의 0.1배 이상의 양의 수소를 첨가하고, 이어서 상기 수소를 수소 라디칼로 전환시킴으로써 형성되는,
유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 상압 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 수소를 첨가하고, 이어서 상기 수소를 수소 라디칼로 전환시킴으로써 형성되거나, 또는
산화막이 수소를 수소 라디칼로 전환시키고, 이 수소 라디칼을 산화막의 형성 과정 동안 첨가함으로써 형성되는,
유리 기판 상에 박막 트랜지스터를 형성하는 공정에서 활성층으로 되는 반도체 층 아래에 유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 유리 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 수소를 첨가하고, 이어서 상기 수소를 수소 라디칼로 전환시킴으로써 형성되거나, 또는
산화막이 수소를 수소 라디칼로 전환시키고, 이 수소 라디칼을 산화막의 형성 과정 동안 첨가함으로써 형성되는,
유리 기판 상에 박막 트랜지스터를 형성하는 공정에서 활성층으로 되는 반도체 층 위에 유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 플라즈마 CVD에 의해 가열된 유리 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 수소를 첨가하고, 이어서 상기 수소를 수소 라디칼로 전환시킴으로써 형성되거나, 또는
산화막이 수소를 수소 라디칼로 전환시키고, 이 수소 라디칼을 산화막의 형성 과정 동안 첨가함으로써 형성되는,
유리 기판 상에 박막 트랜지스터를 형성하는 공정에서 게이트 절연 필름 위에 유기 실란형 소스 가스 및 산소 또는 산소로부터 생성되는 오존을 포함한 소스 가스를 포함하는 가스를 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 유리 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 또 다른 특징에 따르면,
진공 챔버;
병렬 플레이트 전극;
매칭 장치를 통해 전극들 중의 제1 전극에 접속된 플라즈마 전원;
필름 형성 표면을 갖는 기판을 전극들 중의 제2 전극 상에 위치시키기 위해, 가열될 수 있는 기판 호울더; 및
흐름 조절 밸브를 통해 진공 챔버에 접속된 펌프를 포함하는, 반도체 장치제작용 플라즈마 CVD 장치로서,
유기 실란형 소스 가스 및 산소 또는 오존으로 부분적으로 전환된 산소가 제1 전극을 통해 각각의 유량 조절기를 통해 진공 챔버로 도입되고;
H2O가 유량 조절기를 통해 공급되는 캐리어 가스와 함께 물을 탱크 내에서 버블링시킴으로써 유기 실란형 소스 가스와 독립적으로 캐리어 가스와 함께 진공 챔버로 도입되는, 반도체 장치 제작용 플라즈마 CVD장치가 제공된다.
본 발명의 다른 특징에 따르면,
유기 실란형 소스 가스 및 캐리어 가스가 유량 조절기를 통해 가스 노즐로 공급되고;
산소의 일부를 오존으로 전환시키기 위해 산소가 유량 조절기를 통해 오존 발생기에 공급되고, 이어서 가스 노즐에 공급되고;
수소의 일부를 수소 라디칼로 전환시키기 위해 수소가 유량 조절기를 통해 촉매에 공급되는,
필름 형성 표면을 갖는 기판을 설치하기 위해 가열될 수 있는 기판 호울더; 및
기판의 필름 형성 표면에 반대가 되도록 배치된 가스 노즐을 포함하는, 반도체 장치 제작용 상압 CVD 장치가 제공된다.
상기 목적들을 달성하기 위해, 본 발명의 또 다른 특징에 따르면,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유기 실란형 소스 가스 및 수소 또는 활성 수소를 포함하는 가스 물질을 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치의 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유기 실란형 소스 가스 및 H2O를 포함하는 가스 물질을 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치의 제작 방법이 제공된다.
본 발명의 또 다른 특징에 따르면,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유기 실란형 소스 가스, 산소 또는 산소로부터 생성되는 오존을 포함하는 소스 가스 및 수소 또는 활성 수소를 포함하고, 오존 밀도가 1% 이상으로 설정된 가스 물질을 사용하는 상압 CVD에 의해 가열된 기판의 친수성 표면의 적어도 일부 상에 산화막을 형성하는 단계를 갖는 반도체 장치의 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산소 또는 산소로부터 생성된 오존을 포함하는 소스 가스의 양이 유기 실란형 소스 가스의 양의 15배 미만이고,
수소 또는 활성 수소가 유기 실란형 소스 가스의 양의 0.01배 이상의 양으로 첨가되고,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유기 실란형 소스 가스, 산소 또는 산소로부터 생성되는 오존을 포함하는 소스 가스 및 수소 또는 활성 수소를 포함하는 가스 물질을 사용하는 플라즈마 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치의 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산소 또는 산소로부터 생성된 오존을 포함하는 소스 가스의 양이 유기 실란형 소스 가스의 양의 15배 미만이고,
H2O를 산화막의 형성 과정 동안 유기 실란형 소스 가스의 양의 0.1 내지 1배의 양의 캐리어 가스와 함께 버블링시킴으로써 H2O가 첨가되고,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유기 실란형 소스 가스, 산소 또는 산소로부터 생성되는 오존을 포함하는 소스 가스 및 H2O를 포함하는 가스 물질을 사용하는 플라즈마 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치의 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
수소 또는 활성 수소가 유기 실란형 소스 가스의 양의 0.1배 이상의 양으로 첨가되고,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유기 실란형 소스 가스 및 수소 또는 활성 수소를 포함하는 가스 물질을 사용하는 상압 CVD에 의해 가열된 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치의 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유리 기판 상에 박막 트랜지스터를 형성하는 공정에서 활성층으로 되는 반도체 층 아래에 유기 실란형 소스 가스 및 수소 또는 활성 수소를 포함하는 가스를 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 유리 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유리 기판 상에 박막 트랜지스터를 형성하는 공정에서 활성층으로 되는 반도체 층 위에 유기 실란형 소스 가스 및 수소 또는 활성 수소를 포함하는 가스를 사용하는 플라즈마 CVD에 의해 가열된 유리 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면,
산화막이 그 형성 과정 동안 NxOy로 표현된 산화질소를 첨가함으로써 형성되는,
유리 기판 상에 박막 트랜지스터를 형성하는 공정에서 게이트 절연 필름 위에 유기 실란형 소스 가스 및 수소 또는 활성 수소를 포함하는 가스를 사용하는 플라즈마 CVD 또는 상압 CVD에 의해 가열된 유리 기판 상에 산화막을 형성하는 단계를 갖는 반도체 장치 제작 방법이 제공된다.
본 발명의 다른 특징에 따르면, 상기 반도체 장치의 제작 방법에 있어서, 유기 실란형 소스 가스는 TEOS, OMCTS, HMDS 중의 하나이다.
본 발명의 다른 특징에 따르면, 상기 반도체 장치의 제작 방법에 있어서, 유기 실란형 소스 가스가 불소를 포함하는 물질이다.
본 발명의 다른 특징에 따르면, 상기 반도체 장치의 제작 방법에 있어서, NxOy로서 표현된 산화질소가 N2O, NO, N2O3, NO2, N2O4, N2O5, NO3및 N2O6으로 이루어진 군으로부터 선택되는 것이다.
본 발명의 다른 특징에 따르면, 상기 반도체 장치의 제작 방법에 있어서, SIMS(Secondary Ion Mass Spectrometry:보조 이온 질량 분광 분석)에 의해 측정한바의 산화막의 C로써 표현된 탄소 함량은 깊이-방향 프로필로 3 x 1019cm-3미만의 최소 값을 갖고, SIMS에 의해 측정한 바의 산화막의 N으로써 표현된 질소 함량은 깊이-방향 프로필로 1 x 1019cm-3이상의 최대 값을 갖는다.
본 발명의 양수인은 에틸 오르토실리케이트를 사용하는 플라즈마 CVD에 의해 산화막을 형성하는 데 있어서 산소 및 에틸 오르토실리케이트의 혼합물을 이미 사용하였다. 형성된 필름의 탄소 함량을 감소시키기 위한 적절한 방법을 발견하기 위한 다양한 실험의 결과로서, 본 발명자들은 필름 형성 과정 동안 수소 라디칼, 수소 이온 등을 사용하는 것이 효과적이라는 것을 발견하였다. 수소 라디칼 및 수소 이온 등의 활성 수소는 탄소를 그와 반응시켜 CHx를 형성함으로써 탄소를 가스화시킨다. 필름 형성 과정 동안 특히 탄소 단일 결합 C-C를 분해하여 CH4및 C-OH를 형성함으로써 탄소를 제거할 수 있다.
수소는 산소보다 강력한 탄소 제거 효과를 갖는다. 또한, 수소 원자는 작기 때문에, 필름 및 기판 상의 수소 이온의 스퍼터링 효과는 거의 무시할 수 있다. 따라서, 유기 실란형 소스 가스, 산화질소 및 수소의 혼합시킴으로써 플라즈마 CVD에 의해 필름을 형성하는 데 있어서, 유기 실란형 소스 가스와 산화 질소의 혼합비는 우수한 스텝 커버리지 및 큰 생산성이 가능하고, 탄소 제거를 위해 수소가 혼합되는 필름 형성 속도를 제공하도록 결정된다. 특히, 상기 효과들은 수소가 유기 실란형 소스 가스의 양의 0.1 내지 1배의 양으로 도입될 때 현저하다. 유기 실란형 소스 가스, 산소 이온, 오존 및 산소 라디칼로부터 플라즈마-생성된 전구체는기판 표면 상에서 필름 형성 표면 반응을 반복한다. 이러한 오퍼레이션에서, 전구체는 기판 표면 위에서 흐르고, 그 동안 여러 가지 유형의 전구체로 변환되어 우수한 스텝 커버리지를 갖는 산화막을 형성한다. 산화막은 전구체, 산소 이온, 오존 및 산소 라디칼 사이의 반응에 의해 형성되는 한편, 수소 이온 및 수소 라디칼은 기판 표면 상에서 탄소 원자들과 반응시킴으로써 탄소를 가스화시킨다. 가스화된 탄소는 진공 펌프에 의해 배출된다.
내부에 함유된 탄소를 제거함과 동시에 질소로 산화막을 도프할 수 있는 경우, 산화막과 질화물 필름 모두의 장점을 얻을 수 있다. 특히, 에틸 오르토실리케이트 등의 유기 실란형 소스 가스를 사용하여 질소-도프된 산화막을 형성하는 데 있어서, 산소 및 질소 모두가 N2O, NO, N2O3, NO2, N2O4, N2O5, NO3및 N2O6등의 산화질소(NxOy, 질소와 산소의 화합물)을 사용하는 필름 형성 과정 동안 필름에 공급될 수 있다. 질소-도프된 산화막은 도프되지 않은 산화막에 비해 방수성 및 불순물 블로킹 성능이 훨씬 우수하다. 특히, Na 및 K 등의 알칼리 금속은 산화막을 통해 이동하는 작동성 이온이 되고, 이는 반도체의 불안정한 전기적 특성의 주요 원인이 된다. 질소-도프된 산화막은 도프되지 않은 산화막에 비해 훨씬 개선된 블로킹 성능을 제공하고, 따라서 Na 및 K 등의 알칼리 금속의 작동성을 억제할 수 있다.
질소-도프된 산화막의 특성은 의도하는 특성에 따라 산화질소의 분자량을 적절히 선택하고, 그것이 불충분할 때 산소를 첨가함으로써 변화될 수 있다.
산화질소는 미리 합해진 질소 및 산소로 구성되기 때문에, 형성된 산화막은용이하게 조합되고, 즉, 산화질소가 유기 실란형 소스 가스와 반응할 때 질소로 도프된다. 질소-도프된 산화막은 산화질소 대신에 암모니아 또는 질소와 산소의 혼합물을 사용함으로써 형성될 수 있다. 그러나, 암모니아를 분해하기 위해 비교적 큰 에너지가 필요하기 때문에, 기판의 필름 형성 표면은 플라즈마 방법 등에서 심각하게 손상될 수 있다. 또한, 질소는 다른 분자들과 합하기가 어렵기 때문에, 도프량을 조절하기가 어렵다. 따라서, 산화막이 유기 실란형 소스 가스를 사용함으로써 형성될 때 질소로 산화막을 도프하기 위해 산화질소를 사용하는 것이 매우 효과적이다.
본 발명이 상압 CVD에 의해 필름 형성에 적용되는 경우, 수소를 수소 라디칼로 부분적으로 전화시키기 위해 촉매법이 사용된다. 촉매의 적절한 예로는 백금, 팔라듐, 환원된 니켈, 코발트, 티탄, 바나듐 및 탄탈륨 등의 3d-전이 금속; 알루미늄, 니켈, 백금-실리콘, 백금-염소, 백금-레늄, 니켈-몰리브덴 및 코발트-몰리브덴 등의 금속의 화합물; 및 상기 전이 금속들중의 임의의 것 및 알루미나 또는 실리카겔의 혼합물 또는 화합물을 들 수 있다. 또한, 코발트, 루테늄, 팔라듐, 니켈 등의 라네이 촉매(Raney catalysts), 및 이들 라네이 촉매 중의 임의의 것과 탄소의 혼합물 또는 화합물이 사용될 수 있다. 이들 촉매는 그래뉼화된 망상 또는 분말 상태로 사용된다. 낮은 융점을 갖고 반응성 기질의 초기 흡수율이 현저히 증가된 물질 및 나트륨 등의 알칼리 금속을 함유하고 용이하게 증기화되는 물질은 촉매로서 적합하지 않다. 이와 같이 바람직하지 못한 물질의 예로는 구리 및 텅스텐을 들 수 있다. 실험은 반응성 기질의 분해 온도보다 더 높은 온도에서 촉매가 상당히 분해됨을 보였다. 촉매의 양 및 밀도는 반응성 가스와의 유효 접촉 영역에 좌우되며, 필요할 경우 조절할 수 있다. 활성 수소 라디칼은 가열된 촉매를 통해 수소를 통과시킴으로써 생성된다. 활성 오존은 오존 발생기를 통해 산소를 통과시킴으로써 생성된다.
기판이 가열되는 경우에 대기압 CDV 장치를 사용하여 SiOx필름을 형성하는 데 있어서, 탱크 내에서 에틸 오르토실리케이트 등의 유기 실란이 질소 등의 캐리어 가스와 함께 버블링된다. 산소는 오존 발생기를 통해 통과함에 따라 오존으로 부분적으로 전환되면서 장치에 도입된다. 수소는 촉매를 통해 장치에 도입된다.
산화질소가 SiOx필름에 첨가되는 경우, 탱크 내의 유기 실란은 NO, NO2또는 N2O등의 산화질소(NxOy)의 캐리어 가스 등의 캐리어 가스와 함께 버블된다. 산소는 오존 발생기를 통해 통과될 때 오존으로 부분적으로 전환되면서 장치에 도입된다. 수소는 촉매를 통해 장치에 도입된다.
모든 가스는 분산 메카니즘을 갖는 가스 노즐로부터 혼합 상태로 기판에 공급된다. 에틸 오르토실리케이트 및 오존만을 사용하는 상압 CVD에 의해 필름을 형성하는 데 있어서, 산화막은 기판의 표면이 친수성인가 또는 소수성인가에 훨씬 차별적으로 의존하여 형성된다. 정상적인 필름이 소수성 표면을 갖는 기판 상에서 형성될 수 있는 한편, 비정상적인 필름 형성 또는 필름 형성 속도의 감소가 친수성 표면에서 발생하기 쉽다. 산화막이 친수성 표면의 적어도 일부 상에 형성될 때 문제점이 발생한다. 이와는 대조적으로, 수소 라디칼의 사용과 관련된 본 발명은 불순물 블로킹 효과를 제공할 수 있을 뿐만 아니라, 활성 수소가 기판 표면을 종결시키고, 그로 인해 소수성 표면을 생성할 수 있기 때문에 비정상적인 필름 형성 및 필름 형성 속도의 감소를 방지할 수 있다. 특히, 이러한 효과들은 수소가 질소 등의 캐리어 가스의 양의 0.1 내지 1배의 양으로 도입될 때 현저하다. 에틸 오르토실리케이트가 이를 가열함으로써 직접적으로 가스화되는 경우, 이들 효과는 1 내지 5개의 요인에 의해 증진된다.
산화질소가 SiOx필름에 첨가되는 경우, 산화질소는 캐리어 가스로서 사용될 수 있다. 마찬가지 효과가 캐리어 가스로서 산화질소 이외의 질소 등을 사용하고, 별개의 시스템에 의해 산화질소를 도입함으로써 얻어질 수 있다.
상기 명세서에서 수소 라디칼이 플라즈마 CVD의 플라즈마 및 상압 CVD의 촉매법에 의해 생성되지만, 이들은 반대 방식으로 생성될 수 있다. 즉, 활성 수소 라디칼은 촉매법에 의해 미리 생성될 수 있고, 이어서 플라즈마 CVD 장치에 도입된다. 활성 수소 라디칼은 상압 CVD 장치의 가스 노즐에 의해 다른 가스와 함께 배출하고, 이어서 혼합함으로써 생성할 수 있다.
산화막이 유기 실란형 소스 가스를 사용함으로써 형성되는 경우, 산소 소스 가스는 활성 산소 라디칼, 산소 이온 및 오존이 필연적으로 사용되기 때문에 산소 소스 가스가 사용된다. 본 발명에서, H2O는 활성 수소 라디칼 또는 수소 이온을 추가로 사용하기 위해 사용될 수 있다. 그러나, H2O 및 유기 실란 소스 가스가 매우 능동적으로 서로 반응하기 때문에, 이들이 기판 상에서 이들의 반응하기 전에 파이프 내에서 서로와 혼합되는 경우에 방해받을 수 있는 가능성이 있다. 플라즈마 CVD 장치에서 유기 실란 소스 가스 및 H2O를 도입하기 위한 파이프가 개별적으로 제공되는 것이 바람직하다.
실시예
실시예 1
도 1은 본 발명을 실시하기 위해 사용되는 병렬 플레이트 플라즈마 CVD 장치를 나타낸다. 진공될 수 있는 진공 챔버(11)는 가스 샤워 헤드로서 작용하기도 하는 음극(12), 필름 형성용 기판(13) 및 히터를 혼입하고, 그로 인해 기판(13)을 가열할 수 있는 기판 호울더의 역할을 하는 양극(14)을 조절한다. 진공 챔버(11)을 진공시키고, 불필요한 기체를 배출하기 위한 펌프(15)는 양극(14) 둘레의 진공 챔버(11)에 결합된다. 거의 일정한 밸브로 진공 챔버(11)의 압력을 조절하기 위한 조절 밸브(16)는 진공 챔버(11)와 펌프(15) 사이에 제공된다. 플라즈마 전원(18)은 매칭 장치(17)를 통해 음극(12)에 접속된다.
이 실시예에서, 유기 실란(19a) 및 산소(19b)의 필름 형성 소스 가스(19)는 유량 조절기(20), 즉 유기 실란 유량 조절기(20a) 및 산소 유량 조절기(20b)를 통해 음극에 공급된다. 수소(21a)의 탄소 제거 소스 가스(21) 및 탄소 제거 캐리어 가스(21b)는 탄소 제거 소스 가스 유량 조절기(22), 즉 수소 유량 조절기(22a) 및 캐리어 가스 유량 조절기(22b)를 통해 챔버(11)로 도입될 수 있다. 탄소 제거 캐리어 가스(21b)는 물탱크(24)에 함유된 H2O(23)을 버블시킨다. 이러한 시스템만이다른 가스 시스템으로부터 분리되어 진공 챔버(11)에 직접적으로 접속됨으로써 에틸 오르토실리케이트와 H2O의 반응으로 인해 클로깅되는 것을 방지한다.
음극(12)은 분산 플레이트 등의 교반 기구를 구비하여 가스가 기판(13)의 표면 상에 균일하게 도포되게 한다. 유기 실란(19a)은 상온에서 액체 형태인 것으로 추정되며, 많은 경우 불충분한 증기압을 갖고, 유기 실란(19a)이 그 탱크와 진공 챔버(11) 사이에 응집되는 것을 방지하기 위해 약간의 조치가 필요하다. 예를 들면, 탱크 내의 유기 실란은 He, Ne 또는 Ar 등의 불활성 가스(캐리어 가스)와 함께 버블된다. 이와 달리, 탱크는 유기 실란 소스 가스의 증기압을 증가시키기 위해 가열되고, 탱크로부터 진공 챔버(11)까지의 파이프는 탱크의 온도보다 더 높은 온도까지 가열된다.
진공 챔버(11)가 진공된 후, 기판(13)은 양극(14)에 혼입된 히터에 의해 200 내지 500 ℃로 가열된다. 기판 온도가 너무 낮은 경우, 생성된 필름의 밀도는 반도체용 필름으로서 거의 불안정하도록 낮아진다. 통상, 기판 온도는 300 내지 350 ℃로 설정된다. 에틸 오르토실리케이트가 유기 실란(19a)으로서 사용되었다. 에틸 오르토실리케이트의 탱크가 80 ℃로 가열되고, 탱크로부터 진공 챔버(11)까지의 전체 파이프가 90 ℃로 가열된 상태에서, 에틸 오르토실리케이트가 진공 챔버에 도입되고, 그 동안 그 유량이 유기 실란 유량 조절기(20a)에 의해 조절되었다. 탄소 제거 소스 가스(21)로서 수소(21a)가 사용되었다.
플라즈마 전원(18)로부터 공급된 전원은 0.1-1.5 W/cm2, 양호하게는 0.2-0.5W/cm2였다. 반응 압력은 0.1 내지 3 토르, 양호하게는 0.8 내지 1.5 토르로 설정되었다. 가스는(에틸 오르토실리케이트):산소:수소 = 1:1-15:0-10의 비율로 공급되었다. 음극(12)과 양극(13) 사이의 인터벌은 30-150 mm, 양호하게는 70 mm로 설정되었다.
또한, 임의의 탄소 제거 소스 가스(21)를 사용하지 않고, 산화막은(에틸 오르토실리케이트):산소 = 1:1, 1:3, 1:5, 1:10 및 1:15의 공급비로 형성되었다. 다른 조건들은 기판 온도가 300-350 ℃였고, 전원이 0.2-0.5 W/cm2였고, 전극 인터벌이 70 mm였고, 반응 압력이 0.8-1.5 토르였다. 표 1 은 각각의 경우의 스텝 커버리지, 탄소 함량 및 흡습성의 결과를 나타낸다.
가스 공급비 1:1 1:3 1:5 1:10 1:15
스텝 커버리지 1.0 1.0 0.8 0.6 0.2
탄소 함량(cm-3) 6×1021 7×1020 6×1019 1×1019 7×1018
흡습성 비율 10 7 1 0.1 0
도 2를 참조하면, 스텝 커버리지가 b/a로서 정의된다. 도 2에서, 기판(13) 상에 형성된 스텝 패턴(25)은 산화막(26)으로 커버된다. 스텝 패턴(25)은 알루미나를 1 ㎛ 두께로 증착시킨 후, 1 ㎛ 폭을 갖는 라인으로 패턴화시킴으로써 형성된다. 기호 b는 스텝 패턴(25)의 측면 표면에 인접한 산화막(26)의 최소 두께를 의미하고, a는 스텝 패턴(25)로부터 충분한 거리의 위치의 산화막(26)의 두께(측정치 약 3 ㎛ )를 의미한다. 탄소 함량은 제2 이온 질량 분광 분석에 의해 기판 표면까지 산화막(25)의 깊이 방향으로 측정한 바 세제곱 센티미터당 탄소 원자수의 견지에서 최소 탄소 농도 값으로서 정의된다. 흡습성 비율은 산화막이 25 ℃의 분위기 및 60% RH의 습도에서 12 시간 동안 방치될 때 3,600 cm-1근처의 피크 흡수 값의 초기 값으로부터 증가치를 nm 단위의 필름 두께로 나누고, 이어서 특정 상수(표준화됨)으로 곱함으로써 정의된다. 흡습성 비율의 상기 정의가 보편적인 것은 아니지만, 시료들 사이에서 비교할 수 있다.
표 1 에서 분명히 알 수 있듯이, 에틸 오르토실리케이트에 대한 산소의 비율이 증가할 때, 스텝 커버리지가 저하되고, 탄소 함량이 감소되고, 흡습성이 낮아진다.
표 2 는 에틸 오르토실리케이트에 대한 수소의 공급비가 0.01, 0.05, 0.1, 0.2, 0.5 및 0.8로 설정되었을 경우의 실험 결과를 나타낸다.
수소 비율 0.01 0.05 0.1 0.2 0.5 0.8
1:1 스텝커버리지 1 1 1 1 1 1
탄소 함량(cm-3) 2×1021 8×1020 1×1020 8×1019 6×1019 6×1019
흡습성 비율 10 5 2.5 1.4 1 1
1:3 스텝커버리지 1 1 1 1 1 1
탄소 함량(cm-3) 7×1020 4×1020 7×1019 4×1019 2×1019 2×1019
흡습성 비율 7 4.5 2 1 0.7 0.7
1:5 스텝커버리지 0.8 0.8 0.8 0.8 0.8 0.8
탄소 함량(cm-3) 4×1019 2×1019 1×1019 8×1018 6×1018 6×1018
흡습성 비율 0.9 0.6 0.3 0.2 0.1 0.1
1:10 스텝커버리지 0.6 0.6 0.6 0.6 0.6 0.6
탄소 함량(cm-3) 1×1019 8×1018 7×1018 6×1018 5×1018 5×1018
흡습성 비율 0.1 0.08 0.04 0 0 0
1:15 스텝커버리지 0.2 0.2 0.2 0.2 0.2 0.2
탄소 함량(cm-3) 7×1018 6×1018 6×1018 5×1018 4×1018 4×1018
흡습성 비율 0 0 0 0 0 0
단지 0.01의 수소를 첨가함으로써 목적하는 모든 특성에 영향을 미치는 한편, 0.5를 초과하는 수소의 첨가는 이들 특성을 변화시키지 않는다. 특히, 수소의 첨가는 탄소 함량을 감소시키고, 흡습성을 개선시키는 한편 스텝 커버리지의 변화를 거의 유발하지 않는다. 또한, 산소가 에틸 오르토실리케이트의 양의 15배의 양으로 도입되는 경우, 수소의 추가는 거의 영향을 미치지 않는 것이 이해된다. 따라서, 본 발명은 에틸 오르토실리케이트에 대한 산소의 공급비가 15 미만이고, 에틸 오르토실리케이트에 대한 수소의 공급비가 0.01 이상일 때 효과적으로 되는 것으로 결론지어진다.
마찬가지 결과가 수소 대신에 H2O를 도입함으로써 얻어졌다. 에틸 오르토실리케이트에 대한 산소의 공급비를 15 미만으로 설정하고, 에틸 오르토실리케이트에 대해 H2O를 버블링시키기 위한 캐리어 가스의 공급비를 0.1 내지 1의 범위로 설정함으로써, 스텝 커버리지에서 거의 변화를 유발하지 않으면서 탄소 함량이 감소되고 흡습성이 개선되었다. 그러나, 역시 산소를 함유하는 H2O를 첨가하는 경우, 그 과량의 첨가는 수소를 첨가하는 경우와 달리 스텝 커버리지를 변화시킨다. 따라서, 첨가된 H2O 양에 특정 상한치가 있다.
실시예 2
이 실시예는 제 1 실시예에서도 사용된 병렬 플레이트 플라즈마 CVD 장치를 사용하여 산화막을 형성하는 동안 NxOy를 첨가하는 경우에 관한 것이다. 이 실시예에서, 필름 형성 소스 가스(19)에 대해서와 마찬가지로, 에틸 오르토실리케이트가 유기 실란형 소스 가스(19a)로서 사용되고 N2O(19b)도 사용된다. 필름 형성 소스 가스(19a, 19b)는 유량 조절기(20), 즉 에틸 오르토실리케이트 유량 조절기(20a) 및 N2O 유량 조절기(20b)를 통해 음극(12)에 공급된다. 다른 도면 부호로 주어진 성분들은 제 1 실시예의 대응하는 성분들과 동일하다.
제1 실시예에 기재된 바와 같이, 유기 실란(19a)은 상온에서 액체 형태인 것으로 추정되며, 많은 경우 불충분한 증기압을 갖고, 유기 실란(19a)이 그 탱크와 진공 챔버(11) 사이에 응집되는 것을 방지하기 위해 약간의 조치가 필요하다. 이 실시예에서와 같이 산화질소가 첨가되는 경우, 한가지 방법은 탱크 내의 유기 실란을 He, Ne 또는 Ar 등의 불활성 가스(캐리어 가스)와 함께 버블시키는 것이다. 이와 달리, 산화질소는 캐리어 가스로서 및 질소 및 산소의 소소 가스로서 작용하도록 버블될 수 있다.
필름 형성은 하기 방식으로 수행된다. 진공 챔버(11)가 진공된 후, 기판(13)은 양극(14)에 혼입된 히터에 의해 200 내지 500 ℃로 가열된다. 기판 온도가 너무 낮은 경우, 생성된 필름의 밀도는 반도체용 필름으로서 거의 불안정하도록 낮아진다. 통상, 기판 온도는 300 내지 350 ℃로 설정된다.
에틸 오르토실리케이트의 탱크가 80 ℃로 가열되고, 탱크로부터 진공 챔버(11)까지의 전체 파이프가 90 ℃로 가열된 상태에서, 에틸 오르토실리케이트가 진공 챔버에 도입되고, 그 동안 그 유량이 유기 실란 유량 조절기(20a)에 의해 조절되었다. 수소(21a)는 탄소 제거 소스 가스(21)로서 사용되었다.
플라즈마 전원(18)으로부터 공급된 전원은 0.1-1.5 W/cm2, 양호하게는 0.2-0.5 W/cm2였다. 반응 압력은 0.1 내지 3 토르, 양호하게는 0.8 내지 1.5 토르로 설정되었다. 가스는(에틸 오르토실리케이트):N2O:수소 = 1:1-15:0-1의 비율로 공급되었다. 음극(12)와 양극(13) 사이의 인터벌은 30-150 mm, 양호하게는 70 mm로 설정되었다.
단지 0.01의 수소를 첨가함으로써 목적하는 모든 특성에 영향을 미치는 한편, 0.5를 초과하는 수소의 첨가는 이들 특성을 변화시키지 않는다. 특히, 수소의 첨가는 탄소 함량을 감소시키고, 흡습성을 개선시키는 한편 스텝 커버리지의 변화를 거의 유발하지 않는다. 또한, N2O가 에틸 오르토실리케이트의 양의 15배의 양으로 도입되는 경우, 수소의 추가는 거의 영향을 미치지 않는 것이 이해된다. 따라서, 본 발명은 에틸 오르토실리케이트에 대한 N2O의 공급비가 15 미만이고, 에틸 오르토실리케이트에 대한 수소의 공급비가 0.01 이상일 때 효과적으로 되는 것으로 결론지어진다. N2O 대신에 NO, NO2등이 사용되었을 때, 거의 동일한 효과가 얻어졌다.
도 3a 및 도 3b는 수소 및 N2O의 효과를 나타내는 다양한 특성의 데이터이다. 도3A는 N2O에 대한 에틸 오르토실리케이트의 공급 비율이 1:5로 고정되고 수소의 첨가량이 변화되었을 때 얻어진 탄소 함량을 나타낸다. 수직축은 SIMS에 의해 얻어진 깊이-방향 프로필의 산화막 중의 최소 탄소 함량으로서 정의된 바의 탄소 함량을 나타낸다. 수평축은 에틸 오르토실리케이트에 대한 수소의 공급비를 나타낸다. 산화막 중의 탄소 함량은 수소를 약간 첨가함으로써 감소될 수 있고, 탄소 함량은 0.5보다 더 큰 수소 비율 범위의 수소 비율에 관련하여 포화되는 것으로 보인다. 수소 비율이 0.5일 때, 깊이-방향 프로필에서 최소 탄소 함량은 약 3 x 1019cm-3이다. 수소 비율이 0.5보다 더 클 때 최소 탄소 함량은 이 값보다 더 작고, 수소 비율이 0.5보다 더 작을 때 이 값보다 더 크다. 수소의 첨가량은 반응 조건에 따라 변화하지만, 탄소 함량의 상기 값은 하나의 척도로서 작용한다.
도 3b 는 수소에 대한 에틸 오르토실리케이트의 공급비가 1:0.5로 고정되고,N2O의 첨가량이 변화하는 경우, 및 산소가 N2O 대신에 사용되는 경우에 소위 BT 시험(MOS 커패시터에 의한 작동성 이온 전하의 이동의 측정치)가 수행된 결과를 나타낸다. 1,000 Å 두께의 산화막이 N2O 또는 산소를 사용함으로써 P-형 실리콘 웨이퍼의 기판 상에 형성되었고, MOS 커패시터는 알루미늄을 증착에 의해 산화막 및 기판의 배면 상에 증착시킴으로써 형성되었다. 이러한 증착 공정에서, 알칼리 금속을 함유하는 전극들이 텅스텐 코일에 의한 저항 가열로 알루미늄을 증착시킴으로써 고의적으로 형성되었다. 120 ℃에서 30분 동안 질소 분위기에서 어니일링을 수행하고, 그 동안 MOS 커패시터의 상부 전극에 전압을 인가하여 1 MV/cm를 생성하였다. 온도를 실온으로 감소시킨 후, MOS 커패시터의 용량을 저 주파수 및 고 주파수에서 측정하고, 플랫-밴드 전압(+VFB)을 산출하였다. 이어서, 120 ℃에서 30분 동안 질소 분위기에서 어니일링을 다시 수행하고, 그 동안 -1 MV/cm를 인가하였다. 온도를 실온으로 감소시킨 후, MOS 커패시터의 용량을 저 주파수 및 고 주파수에서 측정하고, 플랫-밴드 전압(-VFB)을 산출하였다. +VFB와 -VFB사이의 차이의 절대값은 △VFB로 지정되며, 도 3b 의 수직축으로서 사용된다.
수평축은 에틸 오르토실리케이트에 대한 N2O 또는 산소의 비율을 나타낸다.
N2O 대신에 산소를 사용하는 경우에, △VFB는 산소의 양이 적은 경우의 범위가 약간 감소되는 것을 제외하고 산소 첨가량의 전체 범위보다 더 크다. 한편, N2O를 사용하는 경우, △VFB는 N2O의 첨가량이 증가함에 따라 감소하고, 에틸 오르토실리케이트에 대한 N2O의 비율이 5보다 더 클 때 포화된다. △VFB가 클 때, 산화막 중의 알칼리 금속은 그곳에 인가된 전기장에 의해 이동된다. N2O를 사용하는 것이 현저한 효과를 갖는 것으로 보인다. 스텝 커버리지는 에틸 오르토실리케이트에 대한 N2O의 공급비가 15보다 더 클 때 저하되는 것으로 밝혀졌다. 질소 함량은 N2O가 에틸 오르토실리케이트의 야의 5배의 양으로 공급되는 경우에 SIMS에 의해 측정되었으며, 깊이-방향의 질소(N)의 최대 함량은 약 1 x 1019cm-3인 것으로 밝혀졌다. 최대 함량은 N2O 비가 5보다 더 작을 때 상기 값보다 더 작고, N2O 함량이 5보다 더 클 때 상기 값보다 더 큰 것이 역시 확인되었다. 혼합비는 산화질소의 분자식 NxOy의 파라메터 X 및 Y에 따라 변화하지만, 특성의 견지에서 약 1 x 1019cm-3의 최대 질소(N) 함량이 하나의 척도로서 작용한다.
마찬가지 결과가 수소 대신에 H2O를 도입함으로써 얻어졌다. 에틸 오르토실리케이트에 대한 N2O의 공급비를 15 미만으로 설정하고, 에틸 오르토실리케이트에 대해 H2O를 버블링시키기 위한 캐리어 가스의 공급비를 0.1 내지 1의 범위로 설정함으로써, 스텝 커버리지에서 거의 변화를 유발하지 않으면서 탄소 함량이 감소되고 흡습성이 개선되었다. 그러나, 산소도 함유하는 H2O를 첨가하는 경우, 그 과량의 첨가는 수소를 첨가하는 경우와 달리 스텝 커버리지를 변화시킨다. 따라서, 첨가된 H2O 양에 특정 상한치가 있고; 에틸 오르토실리케이트에 대한 캐리어 가스의 비율이 단위보다 큰 경우 스텝 커버리지가 저하된다.
실시예 3
이 실시예는 도 4에 나타낸 상압 CVD 장치를 사용함으로써 산화막을 형성하는 경우에 관한 것이다.
기판(31)은 히터를 혼입한 기판 호울더(32) 상에 위치한다. 이 실시예에서, 가스 분산 시스템을 혼입한 가스 노즐(33)은 기판(31) 상에서 왕복 이동(화살표 34로 나타냄)할 수 있도록 구축된다. 노즐(33)은 이 실시예에서 그 왕복 이동에 따라 필름을 형성할 수 있는 메카니즘에 항상 제공되지는 않지만, 기판(31) 상의 전체 표면 위에 균일한 가스 공급을 할 수 있는 상태로 고정될 수 있다. 또 다른 별법으로서, 장치는 기판 호울더(32)가 이동하는 동안 가스 노즐(33)이 고정되도록 구축될 수 있다. 또한, 이 실시예에서 기판(31)은 가스 노즐(33) 아래에 위치하고 필름 형성 표면이 상향 방향(정면-위 배열)이지만, 가스 노즐(33)이 아래로부터 기판(31)에 가스를 공급하는 경우에, 기판(31)은 필름-형성 표면이 하향 방향(정면-아래 배열)이 되도록 가스 노즐(33) 위에 위치할 수 있다.
가스 시스템에 대해서와 같이, 유기 실란형 소스 가스(35)는 유기 실란형 가스 유량 조절기(39)를 통해 가스 노즐(33)에 공급된다. 산소(36)은 산소 유량 조절기(40)를 통해 오존 발생기에 공급되고, 이어서 가스 노즐(33)에 공급된다. 수소(38)은 수소 유량 조절기(42)를 통해 촉매(44)에 공급된다. 또한, 산화질소 소스 가스(45)(제4 실시예에 사용됨)이 산화질소 유량 조절기(46)을 통해 가스 노즐(33)에 공급된다.
오존 발생기(44)는 산소로부터 오존을 효과적으로 발생시킨다. 캐리어 가스(37)는 캐리어 가스 유량 조절기(41)를 통해 가스 노즐(33)에 공급된다. 이러한 시스템에서, 유기 실란형 가스의 증기압은 이를 함유하는 탱크를 가열함으로써 증가되고, 유기 실란형 가스 유량 조절기(39)에 의해 직접적으로 조절된다. 이와 달리, 탱크 내의 유기 실란형 물질을 질소 또는 헬륨 등의 가스와 함께 버블시키고, 생성된 유기 실란형 소스 가스(35)로서 사용되는 것도 효과적이다.
촉매(44)의 적절한 예로는 백금, 팔라듐, 환원된 니켈, 코발트, 티탄, 바나듐 및 탄탈륨 등의 3d-전이 금속; 알루미늄, 니켈, 백금-실리콘, 백금-염소, 백금-레늄, 니켈-볼리브덴, 및 코발트-몰리브덴 등의 금속의 화합물; 및 상기 전이 금속들 중의 임의의 것 및 알루미나 또는 실리카겔의 혼합물 또는 화합물을 들 수 있다. 또한, 코발트, 루테늄, 팔라듐, 니켈 등의 라네이 촉매 및 이들 라네이 촉매중의 임의의 것과 탄소의 혼합물 또는 화합물이 사용될 수 있다. 이들 촉매는 그래뉼화된 망상 또는 분말 상태로 사용된다. 낮은 융점을 갖고 반응성 기질의 초기 흡수율이 현저히 증가된 물질 및 나트륨 등의 알칼리 금속을 함유하고 용이하게 증기화되는 물질은 촉매(44)로서 적합하지 않다. 이와 같이 바람직하지 못한 물질의 예로는 구리 및 텅스텐을 들 수 있다.
실험은 반응성 기질의 분해 온도보다 더 높은 온도에서 촉매(44)가 상당히 분해됨을 보였다.
촉매(44)의 양 및 밀도는 반응성 가스와의 유효 접촉 영역에 좌우되며, 필요할 경우 조절할 수 있다. 수소가 가열된 촉매(44)를 통과할 때, 수소는 활성 수소 라디칼로 부분적으로 전환된다. 이 실시예에서, 촉매(44)는 백금(15 중량%)이 알루미나에 혼합되고 혼합물이 그래뉼화되도록 형성된다.
기판(31)은 300-500 ℃, 양호하게는 300-400 ℃로 가열된다. 에틸 오르토실리케이트, OMCTS(옥타메틸시클로테트라실록산), HMDS(헥사메틸디실록산) 등이 유기 실란 소스 가스(35)로서 사용되었다. 통상, 에틸 오르토실리케이트가 사용되었다. 헬륨, 질소 등이 캐리어 가스(37)로서 사용되었다. 통상, 헬륨이 사용되었다.
표 3은 유기 실란 소스 가스(35)의 유량에 대한 수소(38)의 유량의 비율이 0, 0.1, 0.2, 0.5 및 1로 설정된 각각의 경우의 스텝 커버리지, 탄소 함량 및 흡습성의 결과를 나타낸다.
수소 비율 0 0.1 0.2 0.5 1
스텝 커버리지 1 1 1 1 1
탄소 함량(cm-3) 4×1021 1×1021 4×1020 8×1019 8×1019
흡습성 비율 8.0 7.5 6.0 5.0 4.9
도 2를 참조하면, 스텝 커버리지가 b/a로서 정의된다. 도 2에서, 기판(13) 상에 형성된 스텝 패턴(25)은 산화막(26)으로 커버된다. 스텝 패턴(25)은 알루미나를 1 ㎛ 두께로 증착시킨 후, 1 ㎛ 폭을 갖는 라인으로 패턴화시킴으로써 형성된다. 기호 b는 스텝 패턴(25)의 측면 표면에 인접한 산화막(26)의 최소 두께를 의미하고, a는 스텝 패턴(25)로부터 충분한 거리의 위치의 산화막(26)의 두께(측정치 약 3 ㎛)를 의미한다. 탄소 함량은 제2 이온 질량 분광 분석에 의해 기판 표면까지 산화막(25)의 깊이 방향으로 측정한 바 세제곱 센티미터당 탄소 원자수의 견지에서 최소 탄소 농도값으로서 정의된다. 흡습성 비율은 산화막이 25 ℃의 분위기 및 60% RH의 습도에서 12 시간 동안 방치될 때 3,600 cm-1근처의 피크 흡수값의 초기값으로부터 증가치를 nm 단위의 필름 두께로 나누고, 이어서 특정 상수(표준화됨)으로 곱함으로써 정의된다. 흡습성 비율의 상기 정의가 보편적인 것은 아니지만, 시료들 사이에서 비교할 수 있다.
표 3은 촉매(44)를 통해 혼합된 수소(38)로부터 생성된 수소 라디칼의 효과를 분명히 보여준다. 유기 실란 소스 가스(35)의 양에 관해 혼합된 수소(38)의 양이 0.5로 증가됨에 따라 탄소 함량이 감소되고 흡습성이 개선된다. 이러한 결과로부터 수소 라디칼의 효과는 유기 실란 소스 가스(35)에 대한 수소(38)의 비율이 0.1-0.5 범위인 경우에 현저하고, 수소(38)이 0.5보다 더 큰 비율로 혼합되는 경우 이들이 분해되지는 않지만 포화된다. 즉, 탄소 함량은 상압 CVD에 따라 유기 실란/오존형 필름 형성시까지 촉매 방법에 의해 생성된 수소 라디칼을 첨가함으로써 감소시킬 수 있다.
실시예 4
이 실시예는 도 4 에 나타낸 상압 CVD 장치를 사용하여 산화질소를 첨가하면서 산화막을 형성하는 경우에 관한 것이다.
기판(31)은 300-500 ℃, 양호하게는 300-400 ℃로 가열된다. 에틸 오르토실리케이트, OMCTS(옥타메틸시클로테트라실록산), HMDS(헥사메틸디실록산) 등이 유기 실란 소스 가스(35)로서 사용되었다. 통상, HMDS가 사용되었다. 헬륨, 질소 등이캐리어 가스(37)로서 사용되었다. 통상, 헬륨이 사용되었다.
이 실시예에서, HMDS의 증기압은 이를 함유하는 탱크를 가열함으로써 증가되고, HMDS 유량 조절기(39)에 의해 직접적으로 조절된다. 이와 달리, 탱크 내의 HMDS를 질소 또는 헬륨 등의 가스와 함께 버블시키고, 생성된 가스를 HMDS(35)로서 사용하는 것도 효과적이다. HMDS를 NO2와 함께 버블시키는 것도 효과적이다.
또한, NxOy가 산화질소(45)로서 NO2를 도입함으로써 산화막에 첨가된다.
제 3 실시예에서 대응하는 성분들과 동일한 참조 번호로 주어진 다른 성분들은 동일한 배치를 가지며, 후자로서 작용한다.
질소로 도프된 완전한 산화막은 안정한 전기적 특성, 예를 들면 SIMS에 의해 측정한 필름의 탄소 농도의 깊이-방향 프로필의 최소값이 약 3 x 1019cm-3미만이었을 때 커패시터를 나타냈다. 이러한 조건을 설정하는 질소 농도는 HMDS의 양의 0.1배 이상의 양으로 NO2를 첨가함으로써 얻어졌다. 질소로 도프된 완전한 산화막은 SIMS에 의해 측정한 필름의 탄소 농도의 깊이-방향 프로필의 최대값이 약 1 x 1019cm-3이상이었을 때 알칼리 금속 블로킹 효과를 나타냈다. 이러한 조건을 설정하는 질소 농도는 HMDS의 양의 5배 이상의 양으로 NO2를 첨가함으로써 얻어졌다.
실시예 5
이 실시예는 폴리실리콘을 사용함으로써 박막 트랜지스터(이하 소위 TFT라고도 칭함)의 형성에 본 발명을 적용시킨 경우에 관한 것이다.
도 5a 내지 도 5f는 TFT의 제작 공정을 나타낸다.
도 5a 는 유리 기판(401) 상에 언더코트 필름(402)을 형성하는 단계를 나타낸다. 유리 기판(401)은 가시 광선에 관하여 매우 투명한, 보로실리케이트 유리 또는 석영 등의 물질로 제작된 유형이다. 이 실시예에서, 코닝 글래스 워크스 (Corning Glass Works)가 생산한 코닝 7059 유리가 사용되었다.
본 발명은 언더코트 필름(402)을 형성하는 데 사용되었다. 채널이 N-형인 경우, 전자는 이를 통해 캐리어로서 흐른다. 채널이 P-형인 경우, 호울이 이를 통해 캐리어로서 흐른다. TFT의 완성 후, 게이트 전압이 온-방향으로 증가될 때, 반대 타입의 채널과 같은 영역이 트루(true) 채널 하에, 즉, 기판(401)의 측면 상에서 형성되는 사건이 발생할 수 있다.
채널이 온 상태인 경우, 드레인 전류는 게이트 전압이 증가함에 따라 포화될 수 있다. 그러나, 반대 타입의 채널이 트루 채널 하에, 즉 기판(401)의 측면 상에서 발생하는 시점에서, 드레인 전류가 돌발적으로 증가하여 게이트 전압 대 드레인 전류 특성(소위 비틀림 효과라 칭함)의 일 스텝을 형성한다. 비틀림 효과는 언더코트 필름(402)을 형성하는 데에 본 발명을 적용시킴으로써 방지 또는 감소될 수 있는 가능성이 있다. 비틀림 효과의 발생 가능성은 언더코트 필름(402)이 불순물이 없는 SiOx필름인 경우에 작다.
언더코트 필름(402)은 병렬 플레이트 플라즈마 CVD 장치 및 에틸 오르토실리케이트(소위 TOES라 칭함), 산소 및 수소의 가스를 사용함으로써 형성된다. OMCTS(옥타메틸시클로테트라실록산) 및 HMDS(헥사메틸디실록산) 등의 다른 유형의유기 실란이 에틸 오르토실리케이트 대신에 효과적으로 사용될 수 있다. 기판 온도는 200-500 ℃, 양호하게는 400 ℃였고, 필름 형성 압력은 0.1-2 토르, 양호하게는 1 토르로 설정되었다. 플라즈마 전원의 주파수는 5-50 MHz, 양호하게는 20 MHz의 고주파수로 이루어지고, 그 전원은 0.1-2 W/cm2, 양호하게는 0.3 W/cm2로 설정되었다. 산소에 대한 에틸 오르토실리케이트의 공급비는 1:5-20, 양호하게는 1:5로 설정되었다. 수소의 양은(에틸 오르토실리케이트):수소 = 1:0.01-1, 양호하게는 1:0.5로 설정되었다. 언더코트 필름(402)은 500-3,000 Å, 양호하게는 2,000 Å 두께로 형성되었다.
유기 실란을 사용하여 언더코트 필름 등의 산화막을 형성하는 데 있어서, 병렬 플레이트 플라즈마 CVD 방법을 포함하는 임의의 플라즈마 CVD 방법에서 수소 라디칼 및 수소 이온에 의해 필름으로부터 탄소를 제거하는 것이 매우 효과적이다.
상압 CVD에 의해 언더코트 필름(402)을 형성하는 경우에, 촉매 방법에 의해 수소 라디칼을 생성하고, 필름 형성 과정 동안 이들을 사용함으로써 필름 형성 과정 동안 탄소를 제거할 수도 있다. 따라서, 본 발명은 유기 실란을 사용하여 상압 CVD에 효과적으로 적용시킬 수 있다.
본 발명을 상압 CVD에 의해 필름 형성에 적용하는 경우, 촉매 방법이 수소를 수소 라디칼로 전환시키기 위해 사용된다. 촉매의 적절한 예로는 백금, 팔라듐, 환원된 니켈, 코발트, 티탄, 바나듐 및 탄탈륨 등의 3d-전이 금속; 알루미늄, 니켈, 백금-실리콘, 백금-염소, 백금-레늄, 니켈-볼리브덴 및 코발트-몰리브덴 등의 금속의 화합물; 및 상기 전이 금속들 중의 임의의 것 및 알루미나 또는 실리카겔의혼합물 또는 화합물을 들 수 있다. 또한, 코발트, 루테늄, 팔라듐, 니켈 등의 라네이 촉매 및 이들 라네이 촉매중의 임의의 것과 탄소의 혼합물 또는 화합물이 사용될 수 있다. 이들 촉매는 그래뉼화된 망상 또는 분말 상태로 사용된다. 낮은 융점을 갖고 반응성 기질의 초기 흡수율이 현저히 증가된 물질 및 나트륨 등의 알칼리 금속을 함유하고 용이하게 증기화되는 물질은 촉매(44)로서 적합하지 않다. 이와 같이 바람직하지 못한 물질의 예로는 구리 및 텅스텐을 들 수 있다.
실험은 반응성 기질의 분해 온도보다 더 높은 온도에서 촉매가 상당히 분해됨을 보였다.
촉매의 양 및 밀도는 반응성 가스와의 유효 접촉 영역에 좌우되며, 필요할 경우 조절할 수 있다.
활성 수소 라디칼은 가열된 촉매(44)를 통해 수소를 통과시킴으로써 생성된다. 활성 오존은 오존 발생기를 통해 산소를 통과시킴으로써 생성된다.
기판(401)은 상압 CVD 장치에서 가열된다. 에틸 오르토실리케이트는 탱크에 함유된 이를 질소 등의 캐리어 가스와 함께 버블시킴으로써 장치에 도입된다. 산소는 오존 발생기를 통해 장치로 도입된다. 수소는 촉매를 통해 장치로 도입된다. 이들 가스 모두는 분산 메카니즘을 갖는 가스 노즐로부터 혼합된 상태로 기판(401)에 공급된다.
에틸 오르토실리케이트 및 오존만을 사용하는 상압 CVD에 의해 필름을 형성하는 데 있어서, 산화막은 기판의 표면이 친수성인가 또는 소수성인가에 따라 훨씬 상이하게 형성된다. 투명한 필름이 소수성 표면을 갖는 기판 상에 형성될 수 있는한편, 비정상적인 필름 형성 또는 필름 형성 속도의 감소가 친수성 표면에 의해 용이하게 발생한다.
수소 라디칼을 사용하는 것과 연관된 본 발명은 활성 수소가 기판 표면을 종결시킴으로써 소수성 표면을 생성하기 때문에, 탄소 제거 효과를 제공할 수 있을 뿐만 아니라, 비정상적인 필름 형성 및 필름 형성 속도의 감소를 방지한다. 특히, 이들 효과는 수소가 N2캐리어 가스의 양의 0.01 내지 1배의 양으로 도입될 때 현저하다. 에틸 오르토실리케이트가 이를 가열함으로써 직접적으로 가스화되는 경우, 이들 효과는 수소가 에틸 오르토실리케이트의 양의 0.1 내지 1배의 양으로 도입될 때 증진된다.
도 5b 는 무정형 실리콘이 기판(401) 상에 형성된 언더코트 필름(402) 상의 활성층(403)으로서 형성되었다.
무정형 실리콘 필름은 플라즈마 CVD, 저압 열 CVD, 스퍼터링 등에 의해 50-3,000 Å, 양호하게는 400-1,000 Å으로 형성되었다. 이 실시예에서, 무정형 실리콘 필름은 200-400 ℃, 양호하게는 250-350 ℃로 설정된 기판 온도를 갖고, 실란을 분해함으로써 플라즈마 CVD에 의해 형성되었다.
이하, 무정형 실리콘 필름은 이를 소위 말하는 고체상(solid phase) 성장시킴으로써 다결정화되었다(즉, 폴리실리콘 필름으로 전환됨). 이는 양수인의 미심사된 일본국 특개평 6-233059호, 6-244103호, 및 6-244104호에 기재된 발명을 사용하여 600 ℃보다 낮은 온도에서 행해진다. 고체상 성장 전에 무정형 실리콘 필름으로부터 어느 정도까지 수소가 제거되지 않는 한, 고체상 성장을 위한 가열은 무정형 실리콘 필름으로부터 수소를 돌발적으로 방출할 수 있거나, 최악의 경우 호울을 형성할 수 있다. 따라서, 고체상 성장 전에 400-500 ℃(양호하게는 400 ℃)에서 질소 분위기 중에 0.5 내지 5 시간(양호하게는 1-2 시간) 동안 수행되는 수소 제거 단계가 부가되는 것이 효과적이다.
고체상 성장은 기판(401)이 석영의 경우에서와 같이 높은 스트레인 온도를 갖는 경우를 제외하고 이른바 수축 문제(기판(401)의 수축)를 수반한다. 이 수축 문제는 높은 초기 온도를 미리 설정하고. 후속 공정을 이 초기 온도보다 더 낮은 온도에서 수행함으로써 어는 정도까지 피할 수 있다. 즉, 고체상 성장을 행하는 데 있어서, 수축 문제에 관한 특정 조치를 취할 필요도 있다.
상기 3개의 공보에 기재된 발명을 사용함으로써, 고체상 성장은 600 ℃보다 낮은 온도, 예를 들면 500 ℃에서 수행될 수 있다. 이러한 방법을 사용하지 않으면, 고체상 성장은 600 ℃에서 약 4 내지 24 시간 동안 이루어진다.
고체상 성장은 무정형 실리콘을 활성층(403) 중의 폴리실리콘으로 전환시킨다. 폴리실리콘 활성층(403)이 소량의 무정형 성분을 함유하는 경우, 활성층(403)에 레이저 광선을 가함으로써 무정형 성분들이 결정화되는 것이 효과적이다.
가열에 의해 고체상 성장을 수행하는 대신에 수소 제거 단계 후에 레이저 광선으로 일루미네이트함으로써 활성층(403) 중의 무정형 실리콘을 폴리실리콘으로 전화시키는 것이 효과적일 수도 있다. 레이저-관련 조건에 대해서와 같이, 레이저 광원의 예로는 ArF, ArCl, KrF, KrCl, XeF, XeCl 등의 엑시머 레이저이다. 레이저 광 에너지(밀도)는 레이저 주 몸체의 출구에서 400-1,000 mJ이고, 기판(401)의 표면(광학 시스템에 의해 형상화됨) 상에서 150-500 mJ/cm2이다. 이들 에너지(밀도) 값은 레이저 광의 샷(shot) 당의 것이다. 기판 온도는 실온 내지 300 ℃이다. 일루미네이션의 반복 주파수는 20 - 100 Hz이다. 기판(401)에 상대적인 레이저 빔의 이동 속도는 레이저 빔이 기판(401)을 스캔하기 위해 이동하거나 또는 기판(401)과 함께 설치된 스테이지가 이동하는 경우에 1 - 5 mm/초이다. 이 실시예에서, KrF 엑시머 레이저가 사용되었으며, 레이저 광 에너지 밀도는 레이저 주 몸체의 출구에서 180 - 230 mJ로, 기판 상에서 180 - 230 mJ/cm2로 설정하였다.
일루미네이션의 반복 주파수는 35 -45 Hz로 설정되었다. 기판(401)과 함께 설치된 스테이지는 2.0 - 3.0 mm/초로 이동하였다.
도 5c는 무정형 실리콘이 언더코트 필름(402)을 통해 기판(401) 상에 형성된 활성층(403) 중의 폴리실리콘으로 전환된 후, 활성층(403)이 아일랜드(404)로 패턴화된 상태를 나타낸다. 아일랜드(404)는 공지된 사진인쇄술에 의해 레지스트를 패턴화한 후, 레지스트 패턴을 마스크로서 사용하여 활성층(403)을 에칭함으로써 형성되었다. 에칭은 습식 에칭, 건식 에칭 등에 의해 수행될 수 있다. 이 실시예에서, CF4및 O2를 사용하는 병렬 플레이트 고-주파수 플라즈마 처리 장치가 사용되었다.
도 5d는 게이트 절연 필름(405)이 아일랜드(404)를 커버하도록 형성된 상태를 나타낸다. 본 발명은 아일랜드(404)와 게이트 절연 필름(405) 사이의 인터페이스가 최종적으로 생산된 TFT의 특성에 크게 영향을 미치기 때문에 게이트 절연 필름(405)의 형성에 적용된다. 이와 관련하여, 게이트 절연 필름(405)의 형성 전에 아일랜드(404)를 세척하는 것은 매우 중요하다. 탄소 등의 유기 기질은 과산화수소 용액에 황산을 첨가함으로써 얻어진 용액으로 세척하거나 또는 산소 플라즈마로 건식 애쉬함으로써 제거할 수 있다. 그러나, 본 양수인의 연구는 탄소의 제거가 그렇게 단순하지 않음을 밝혀준다.
탄소 오염의 제공원에 대해서와 같이, 사진 인쇄 공정에서 목적하는 패턴을 형성하기 위해 사용된 포토레지스트는 감광성 유기 기질이고, 탄소 오염을 유발할 수 있다. 박막 필름 공정은 현재 반도체 장치 제작시에 필수적이고, 진공 장치는 이러한 공정을 위해 절대적으로 필요하다. 진공 장치를 진공시키기 위한 진공 펌프의 특정 유형은 여전히 오일을 사용하고, 이는 탄소 오염을 유발하기 쉽다. 탄소 오염의 다른 가능한 제공원은 테플론(PFA), 폴리프로필렌(PP), 폴리비닐리덴 플루오라이드(PVDF), 에틸렌 트리플루오라이드 수지(ECTFE), 에틸렌 테트라플루오라이드 수지(ETFE), 및 폴리에틸렌(PE)으로 제작한 기판 캐리어 및 청정실에 사용된 마루 및 벽 재료로부터 증기를 포함한다.
종래 방법은 건식 애쉬를 사진 인쇄 단계 전에 수행하고, 각 단계 직전에 과산화수소 용액과 황산의(1:1) 용액(80 ℃까지 가열)을 도포하고(이하 습식 애쉬라 칭함)함으로써 유기 기질을 제거하고, 다음 처리를 즉각적으로 수행하는 것이다.
거의 모든 유기 기질이 건식 애쉬 및 습식 애쉬에 의해 제거될 수 있음은 이미 이해되고 있지만, 공지된 XPS 기술에 의한 기판 표면의 탄소 오염 평가는 유일한 C-C 결합이 드물게 제거됨을 나타낸다.
수소 라디칼 또는 수소 이온은 기판 표면에 부착된 C-C 단일 결합을 제거하기 위해 효과적으로 작용한다. 수소 라디칼을 사용하는 것만으로 충분하지만, C-C 단일 결합을 제거하는 효과는 산소 라디칼, 오존 또는 산소 이온을 첨가함으로써 증진되는 것으로 밝혀졌다. 이는 수소 및 산소 라디칼 등이 탄소 결합과 반응하여 CHx, COx, COH와 같은 가스를 형성하는, 즉 탄소를 가스화하는 현상에 기인한 것으로 생각된다.
수소 라디칼 또는 수소 이온을 생성하기 위해, 기판은 경우에 따라 병렬 플레이트 플라즈마 장치 내에 위치한다. 이 경우, 기판을 양극 측에 배치하여 플라즈마 이온 등에 의해 손상되는 것을 방지하는 것이 바람직하다. 또한, 이 장치는 기판을 가열할 수 있도록 적응되는 것이 바람직하고, 이 경우 탄소 제거 효과는 열에 의한 추가의 제거로 증진된다.
플라즈마는 수소 가스를 장치에 도입하고, 병렬 플레이트 사이에 고주파수 전력을 인가함으로써 생성된다. 수소 이온 및 전자 뿐만 아니라, 큰 활성의 중성 수소 라디칼이 플라즈마에서 생성된다. 고주파수 전력의 증가는 수소 라디칼 및 이온의 양을 증가시키는 것이 효과적이지만, 마이크로웨이브에 의한 전자 사이클로트론 공명을 이용함으로써 추가로 증가될 수 있다. 생성된 수소 라디칼 및 이온은 기판 표면에 도달하고 C-C 단일 결합과 반응함으로써 탄소 결합을 제거한다. 생성된 탄소 가스는 펌프에 의해 배출한다.
아일랜드(404)의 표면을 세척하기 위해, 탄소 오염물은 기판 구조물을 황산과 과산화수소 용액의 혼합물(1:1; 80 ℃)에 5-10분 동안 침지함으로써 먼저 어느정도까지 제거하고, 중금속은 기판 구조물을 염화수소산과 과산화수소 용액의 혼합물(1:1; 80 ℃)에 5-10분 동안 침지함으로써 제거하였다. 이러한 유형의 세척은 기판(401) 등에 부작용을 미치는 경우에 생략된다. 이어서, 아일랜드(404)의 표면으로부터 탄소 단일 결합을 함유하는 탄소 오염물의 적어도 일부를 제거하기 위해, 기판 구조물은 플라즈마 처리 장치에 위치시켰다.
이러한 플라즈마 처리 장치는 아일랜드(404)를 세척한 후 게이트 절연 필름(405)을 형성하기 위해 사용되기 때문에, 이 장치는 게이트 절연 필름(405)의 형성 및 탄소 단일 결합을 함유하는 탄소 오염물의 적어도 일부의 제거가 동일한 반응 챔버 내에서 수행되도록 구축되는 것이 바람직하다. 게이트 절연 필름(405)을 형성하기 위한 장치로서 및 탄소 단일 결합을 함유하는 탄소 오염물의 적어도 일부를 제거하기 위한 플라즈마 처리 장치로서 작용하는 장치의 예로는 병렬 플레이트 플라즈마 CVD 장치, 전자 사이클로트론 공명을 이용하는 마이크로웨이브 플라즈마 CVD 장치 및 전극이 석영 챔버 둘레에 배치된 무전극 방출 플라즈마 CVD 장치가 있다. 이 실시예에서는 병렬 플레이트 플라즈마 CVD가 사용되었다.
탄소 단일 결합을 함유하는 탄소 오염물의 적어도 일부를 제거하기 위한 플라즈마 처리를 수행하기 위해, 아일랜드(404)와 함께 형성된 기판(401)을 병렬 플레이트 플라즈마 처리 장치의 양극 측면 상에 위치시켰다. 양극과 음극(병렬 플레이트 전극) 사이의 인터벌은 30 -150 mm의 범위로 조정하였다. 전형적인 인터벌은 70 mm였다. 조건이 적절히 선택된 경우, 70 mm보다 더 크거나 또는 더 작은 인터벌에서 심각한 문제점을 발생하지 않았다. 가스는 샤우어 헤드로서 작용하도록 구축된 음극 전극을 통해 반응 공간으로 도입되었다. 샤우어 헤드는 가스가 기판(401)의 표면에 균일하게 도포되도록 분산 플레이트 등과 함께 제공되었다. 수소 가스 및 산소 가스가 동일한 양으로 도입되었다. 가스의 양은 플라즈마 처리 압력이 50 내지 10 mTorr이고 가스 체류 시간이 5초 미만이 되도록 설정되었지만, 이들 수치는 처리 챔버의 크기에 좌우된다. 때때로 가스화된 탄소의 재부착이 발생하기 때문에, 제거된 탄소를 신속히 배출하도록 체류 시간은 5초 미만으로 설정되었다. 그러나, 체류 시간이 약 10초 미만인 경우 문제점을 발생하지 않는다. 예를 들면, 체류 시간은 챔버 커패시터 및 챔버 압력을 가스 유량으로 나눈 몫과 동일하기 때문에, 가스가 1 토르 압력에서 40 리터의 챔버에 316 SCCM으로 도입되는 경우, 체류 시간은 약 10초이다. 따라서, 체류 시간을 감소시키기 위해, 챔버 커패시터 또는 압력을 감소시키거나 또는 가스 유량을 증가시킬 필요가 있다.
이 실시예에서, 체류 시간은 챔버 커패시터, 처리 압력, 및 산소와 수소의 유량을 각각 40 리터, 1 토르, 400 SCCM 및 400 SCCM으로 함으로써 약 4초로 설정되었다.
플라즈마는 큰-주파수 방출에 의해 생성되었다. 큰-주파수 전력의 주파수는 이 실시예에서 10-100 MHz 및 20 MHz로 설정되었다. 인가 전력은 0.1-2 W/cm2였다. 전력이 0.1 W/cm2보다 작은 경우, 처리 시간은 너무 길게 되지만, 탄소가 제거될 수 있다. 한편, 전력이 2 W/cm2보다 큰 경우, 전극이 가열된다. 전극은 냉각시킬 필요가 있기 때문에, 장치는 크고 비용이 많이 들게 된다. 이 실시예에서, 0.8 W/cm2의 전력이 인가되었다. 탄소 제거 능력은 기판을 양호하게는 200-500 ℃로 가열함으로써 개선된다. 실온 내지 200 ℃ 범위에서 충분한 탄소 제거 효과가 얻어지지만, 기판 온도는 300-400℃로 설정되었으며, 이는 후속하는 게이트 절연 필름(405)의 형성에 있어서 기판 온도와 동일하다. 플라즈마 처리 시간은 약 1-10 분이었다. 플라즈마 처리 시간은 가스 체류 시간, 큰-주파수 전력의 주파수, 인가 전력, 및 기판 온도 등의 다양한 조건에 따라 훨씬 더 크게 변화한다. 제작 공정의 시간 부분을 고려할 때 체류 시간은 너무 길지 않아야 한다. 이 실시예에서, 이는 2분으로 설정되었다.
H2O는 수소 및 산소 가스를 사용하는 대신에 수소 라디칼 등 및 산소 라디칼 등을 생성하기 위해 사용될 수 있다. H2O는 여러 가지 방식으로 도입될 수 있다. 한가지 방법은 탱크 내의 H2O를 He, Ne 또는 Ar 등의 불활성 가스와 함께 버블시킨 후, 생성된 H2O 가스를 처리 챔버로 수송하는 것이다. 다른 방법은 H2O 탱크로부터 처리 챔버까지의 전체 파이프를 가열하여 증기압을 증가시킴으로써 H2O 가스를 처리 챔버로 수송하는 것이다. 도입된 H2O는 플라즈마에 의해 분해되어 동시에 수소 이온, 수소 라디칼, 산소 이온, 산소 라디칼 및 오존을 생성한다. 마찬가지 효과가 탱크 내의 H2O를 500-1,000 SCCM으로 공급되는 He 캐리어 가스와 함께 버블링시킴으로써 얻어졌다.
탄소 단일 결합을 함유하는 탄소 오염물의 적어도 일부를 제거하는 단계 후에, 게이트 절연 필름(405)은 에틸 오르토실리케이트(TEOS라 칭함), 산소 및 수소를 사용함으로써 형성되었다. 에틸 오르토실리케이트 대신에 OMCTS(옥타메틸시클로테트라실록산) 및 HMDS(헥사메틸디실록산) 등의 다른 유형의 유기 실란을 사용하는 것이 효과적이다. 기판 온도는 200-500 ℃, 양호하게는 400 ℃였고, 필름 형성 압력은 0.1-2 토르, 양호하게는 0.5-1 토르로 설정되었다. 플라즈마 전원의 주파수는 5-50 MHz, 양호하게는 20 MHz이고, 그 전원은 0.1-2 W/cm2, 양호하게는 0.3-0.5 W/cm2로 설정되었다. 산소에 대한 에틸 오르토실리케이트의 공급비는 1:5-20, 양호하게는 1:10으로 설정되었다. 수소의 양에 대해서와 같이, 수소에 대한 에틸 오르토실리케이트의 비율은 1:0.01-1, 양호하게는 1:0.5로 설정되었다. 게이트 절연 필름(405)은 250-2,000 Å, 양호하게는 500-1,200 Å의 두께로 형성되었다.
필름 형성 과정 동안, 탄소는 수소 라디칼 및 수소 이온에 의해 CHx및 COH로 가스화된 것으로 제거하였다. 상기 단계의 완료 후, 게이트 절연 필름(405) 중의 탄소 함량을 SIMS에 의해 측정하였다. 수소를 첨가하지 않은 게이트 절연 필름(405)으로서 형성된 산화막은 1 x 1019cm-3의 깊이-방향 프로필에서 최소 탄소 함량 값을 갖고, 수소를 첨가한 게이트 절연 필름(405)으로서 형성된 산화막은 2 x 1018내지 7 x 1018cm-3의 대응하는 값을 가졌다.
게이트 전극 필름은 게이트 절연 필름(405) 상에 형성된 후, 게이트전극(406)으로 패턴화되었다. 이어서, 소스 및 드레인(407)에 대한 불순물 영역이 도 5e 의 상태를 제공하도록 형성되었다. 보다 상세하게는, Al, 도우핑된 폴리실리콘, Cr, Ta 등이 놓인 후, 레지스트 필름이 사진 인쇄에 의해 패턴화되었다. 게이트 전극(406)은 레지스트 패턴을 마스크로서 사용함으로써 도전성 필름을 목적하는 형상으로 에칭함으로써 형성하였다. 이 실시예에서, Al 필름은 스퍼터링에 의해 형성되었다. 이후, 소스 및 드레인(407)이 5 x 1015cm-2의 선량으로 이온 함침시킴으로써 인으로 도프한 아일랜드(404)를 통해 형성되었다. 이온 함침을 사용하는 대신에, PHx가 플라즈마 도핑에 의해 이식될 수 있다. 이식 후, 기판 구조물은 600 ℃에서 5 시간 동안 가열되어 이식된 이온을 활성화시킨다. 도프된 폴리실리콘 필름은 증착되어 금속보다는 오히려 폴리실리콘으로 게이트 전극(406)을 형성한다. 도프되지 않은 폴리실리콘 필름을 증착시킨 후 이온 이식 또는 플라즈마 도핑에 의해 도프시켜 소스 및 드레인(407)을 형성할 수 있다.
순차로, 층간 절연 필름(408)을 형성하고, 게이트 전극(406)을 위한 접촉 전극(409)과, 소스 및 드레인(407)을 위한 접촉 전극(410)을 형성하였다. 따라서, 톱-게이트 폴리실리콘 박막 트랜지스터는 도 5f 에 나타낸 바와 같이 완성되었다. 본 발명은 층간 절연 필름(408)을 형성하는 데 사용되었다. 상압 CVD에 의해 층간 절연 필름(408)을 형성하는 데 있어서, 탄소는 촉매법에 의해 수소 라디칼을 생성하고 필름 형성 과정 동안 이들을 사용함으로써 필름 형성 과정 동안 제거될 수 있다. 본 발명은 유기 실란을 사용하는 상압 CVD 방법에서 효과적이다. 상압 CVD에 의한 필름 형성에 본 발명을 적용하는 경우, 수소를 수소 라디칼로 전환시키기 위해 촉매법이 사용된다. 촉매의 적절한 예로는 백금, 팔라듐, 환원된 니켈, 코발트, 티탄, 바나듐 및 탄탈륨 등의 3d-전이 금속; 알루미늄, 니켈, 백금-실리콘, 백금-염소, 백금-레늄, 니켈-볼리브덴 및 코발트-몰리브덴 등의 금속의 화합물; 및 상기 전이 금속들 중의 임의의 것 및 알루미나 또는 실리카겔의 혼합물 또는 화합물을 들 수 있다. 또한, 코발트, 루테늄, 팔라듐, 니켈 등의 라네이 촉매 및 이들 라네이 촉매중의 임의의 것과 탄소의 혼합물 또는 화합물이 사용될 수 있다. 이들 촉매는 그래뉼화된 망상 또는 분말 상태로 사용된다. 낮은 융점을 갖고 반응성 기질의 초기 흡수율이 현저히 증가된 물질 및 나트륨 등의 알칼리 금속을 함유하고 용이하게 증기화되는 물질은 촉매로서 적합하지 않다. 이와 같이 바람직하지 못한 물질의 예로는 구리 및 텅스텐을 들 수 있다. 실험은 반응성 기질의 분해 온도보다 더 높은 온도에서 촉매가 상당히 분해됨을 보였다. 촉매의 양 및 밀도는 반응성 가스와의 유효 접촉 영역에 좌우되며, 필요할 경우 조절할 수 있다. 활성 수소 라디칼은 수소를 가열된 촉매를 통해 통과시킴으로써 생성된다. 활성 오존은 산소를 오존 발생기를 통해 통과시킴으로써 생성된다.
기판 구조물이 가열되는 상압 CVD 장치에서, 에틸 오르토실리케이트는 탱크 내에 함유된 이를 질소 등의 캐리어 가스와 함께 버블시킴으로써 장치에 도입된다. 산소는 오존 발생기를 통해 장치에 도입된다. 수소는 촉매를 통해 장치에 도입된다. 이들 가스 모두는 분산 메카니즘을 갖는 가스 노즐로부터 혼합된 상태로 기판 구조물에 공급된다. 수소는 N2캐리어 가스의 0.01 내지 1배의 양으로 도입하는 것이 매우 효과적이다. 에틸 오르토실리케이트는 이를 가열함으로써 직접적으로 가스화되는 경우, 그 효과는 수소가 에틸 오르토실리케이트의 양의 0.1 내지 1배의 양으로 도입될 때 증진된다. 이 실시예에서, 수소 라디칼은 500 ℃의 촉매 온도를 갖는 Ni를 사용함으로써 수소로부터 생성하였다. 수소의 양은 N2캐리어 가스의 양의 0.3-0.8배로 설정되었다. 기판 온도는 350 ℃로 설정되었다. 따라서, 층간 절연 필름(408)은 7,000 - 15,000 Å, 양호하게는 9,000 - 12,000 Å의 두께로 형성되었다.
이 실시예에서, 언더코트 필름(402), 게이트 절연 필름(405), 및 층간 절연 필름(408) 모두는 유기 실란을 사용하여 형성된 산화막이지만, 이들 필름중 유일한 것은 실시예에 따라 형성된 산화막일 것이다. 즉, 이 실시예에서, 산화막은 유기 실란형 가스를 사용하는 필름 형성 과정 동안 탄소를 제거함으로써 형성되기 때문에, 이 실시예는 유기 실란이 사용되지 않은 경우에 필름 형성 과정에 사용될 필요가 없다. 또한, 작은 탄소 함량 이외의 필름 특성이 중요한 경우, 본 발명의 산화막의 사용을 피할 수 있다. 예를 들면, 언더코트 필름(402) 및 층간 절연 필름(408) 만이 본 발명에 따라 형성된 산화막일 수 있고, 게이트 절연 필름(405)은 열 산화 필름 또는 실란 및 산소를 사용하여 형성된 산화막이다. 산화막의 다른 다양한 조합도 가능하다.
이 실시예의 산화막을 사용하여 완성한 TFT는 8 ㎛ 의 채널 길이 및 100 ㎛ 의 채널 폭을 갖는다. 특성의 경우, 이동성은 N-채널 TFT의 경우에 153 cm2/Vs였고, P-채널 TFT의 경우에 119 cm2/Vs였으며, 비틀림 효과는 전혀 관측되지 않았다.TFT가 150 ℃ 및 60 %RH의 분위기에서 12 시간 동안 방치된 후 습기 저항에서 편차는 발생하지 않았다. TFT가 통상의 경우와 같이 SiNx보호 필름을 가진 경우, 습기 저항은 추가로 개선되었다. 따라서, 탄소 함량이 이 실시예의 산화막이 사용되지 않은 경우에 비하여 언더코트 필름(402), 게이트 절연 필름(405), 및 층간 절연 필름(408) 모두에서 크게 감소되기 때문에 TFT는 특성 및 신뢰도에서 개선되었다.
실시예 6
이 실시예는 도 5a 내지 도 5f 에 나타낸 폴리실리콘을 사용하는 박막 트랜지스터 제작 공정에서 언더코트 필름(402), 게이트 절연 필름(405), 및 층간 절연 필름(408)로서 질소-첨가된 산화막을 형성하는 경우에 관한 것이다. 이 실시예의 제작 방법은 도 5a 내지 도 5f 를 참조하여 기재하였지만, 제 5 실시예에서와 동일한 단계는 기재하지 않을 것이다.
언더코트 필름(402)은 병렬 플레이트 플라즈마 CVD 장치 및 에틸 오르토실리케이트(TEOS라 칭함), NO, 및 수소 가스를 사용하여 형성하였다. 에틸 오르토실리케이트 대신에 OMCTS(옥타메틸시클로테트라실록산) 및 HMDS(헥사메틸디실록산) 등의 다른 유형의 유기 실란을 사용하는 것이 효과적이다. 기판 온도는 200-500 ℃, 양호하게는 400 ℃였고, 필름 형성 압력은 0.1-2 토르, 양호하게는 1 토르로 설정되었다. 플라즈마 전원의 주파수는 5-50 MHz, 양호하게는 20 MHz이고, 그 전원은 0.1-2 W/cm2, 양호하게는 0.3 W/cm2로 설정되었다. NO에 대한 에틸 오르토실리케이트의 공급비는 1:5-20, 양호하게는 1:5로 설정되었다. 수소의 양에 대해서와 같이, 수소에 대한 에틸 오르토실리케이트의 비율은 1:0.01-1, 양호하게는 1:0.5로 설정되었다. 언더코트 필름(402)은 500-3,000 Å, 양호하게는 2,000 Å의 두께로 형성되었다.
산화막이 유기 실란을 사용함으로써 형성되는 경우, 병렬 플레이트 플라즈마 CVD 이외의 임의의 플라즈마 CVD 방법으로 수소 라디칼 및 수소 이온에 의해 언더코트 필름(402)을 형성하는 동안 탄소를 제거하는 것이 매우 효과적이다.
상압 CVD에 의해 언더코트 필름(402)을 형성하는 데 있어서, 탄소는 촉매법에 의해 수소 라디칼을 생성하고, 이들을 필름 형성 과정 동안 사용함으로써 필름 형성 과정 동안 제거할 수 있다. 본 발명은 유기 실란을 사용하는 상압 CVD법에서도 효과적이다.
비틀림 효과는 언더코트 필름(402)의 형성에 본 발명을 사용함으로써 발생할 가능을 방지하거나 또는 감소시킨다. 비틀림 효과의 발생 가능성은 언더코트 필름(402)이 불순물을 함유하지 않는 SiOx필름인 경우에 적다. 기판(401)으로부터 불순물의 확산을 블로킹할 필요가 있다.
기판 구조물이 가열된 상압 CVD 장치에서, 에틸 오르토실리케이트는 탱크에 함유된 이를 산화질소 등의 캐리어 가스와 함께 버블링시킴으로써 장치에 도입된다. 산소는 오존 발생기를 통해 장치에 도입된다. 수소는 촉매를 통해 장치에 도입된다. 이들 가스 모두는 분산 메카니즘을 갖는 가스 노즐로부터 혼합된 상태로 기판 구조물에 공급된다.
에틸 오르토실리케이트 및 오존만을 사용하는 상압 CVD에 의해 필름을 형성하는 데 있어서, 산화막은 기판의 표면이 친수성인가 또는 소수성인가에 따라 훨씬 상이하게 형성된다. 투명한 필름이 소수성 표면을 갖는 기판 상에 형성될 수 있는 한편, 비정상적인 필름 형성 또는 필름 형성 속도의 감소가 친수성 표면에 의해 용이하게 발생한다.
수소 라디칼을 사용하는 것과 연관된 본 발명은 활성 수소가 기판 표면을 종결시킴으로써 소수성 표면을 생성하기 때문에, 탄소 제거 효과를 제공할 수 있을 뿐만 아니라, 비정상적인 필름 형성 및 필름 형성 속도의 감소를 방지한다. 특히, 이들 효과는 수소가 산화질소의 양의 0.01 내지 1배의 양으로 도입될 때 현저하다. 에틸 오르토실리케이트가 이를 가열함으로써 직접적으로 가스화되는 경우, 이들 효과는 수소가 에틸 오르토실리케이트의 양의 0.1 내지 1배의 양으로 도입될 때 증진된다.
도 5d 는 게이트 절연 필름(405)이 아일랜드(404)를 커버하도록 형성된 상태를 나타낸다. 본 발명은 아일랜드(404)와 게이트 절연 필름(405) 사이의 인터페이스가 최종적으로 생산된 TFT의 특성에 크게 영향을 미치기 때문에 게이트 절연 필름(405) 자체의 형성에 적용된다. 이와 관련하여, 게이트 절연 필름(405)의 형성 전에 아일랜드(404)를 세척하는 것은 매우 중요하다. 탄소 등의 유기 기질이 과산화수소 용액에 황산을 첨가함으로써 얻어진 용액으로 세척하거나 또는 산소 플라즈마로 건식 애쉬함으로써 제거할 수 있다는 것은 잘 알려져 있다. 그러나, 본 양수인의 연구는 탄소의 제거가 그렇게 단순하지 않음을 밝혀준다.
탄소 단일 결합을 함유하는 탄소 오염물의 적어도 일부를 제거하는 단계 후에, 게이트 절연 필름(405)은 유기 실란형 소스 가스로서 에틸 오르토실리케이트(TEOS라 칭함) 산화질소로서 N2O를 사용함으로써 형성되었다. 에틸 오르토실리케이트 대신에 OMCTS(옥타메틸시클로테트라실록산) 및 HMDS(헥사메틸디실록산) 등의 다른 유형의 유기 실란을 사용하는 것이 효과적이다. 기판 온도는 200-500 ℃, 양호하게는 300-400 ℃였고, 필름 형성 압력은 0.1-2 토르, 양호하게는 0.5-1 토르로 설정되었다. 플라즈마 전원의 주파수는 5-50 MHz, 양호하게는 20 MHz이고, 그 전원은 0.1-2 W/cm2, 양호하게는 0.3-0.5 W/cm2로 설정되었다.
N2O에 대한 에틸 오르토실리케이트의 비율은 1:5-20, 양호하게는 1:10으로 설정되었다. 수소의 양에 대해서와 같이, 수소에 대한 에틸 오르토실리케이트의 비율은 1:0.01-1, 양호하게는 1:0.5로 설정되었다. 게이트 절연 필름(405)은 250-2,000 Å, 양호하게는 500-1,200 Å의 두께로 형성되었다. 필름 형성 과정 동안, 탄소는 수소 라디칼 및 수소 이온에 의해 CHx및 COH로 가스화된 것으로 제거하였다.
상기 단계의 완료 후, 게이트 절연 필름(405)중의 탄소 함량을 SIMS에 의해 측정하였다. 수소를 첨가하지 않은 게이트 절연 필름(405)으로서 형성된 산화막은 1 x 1019cm-3의 깊이-방향 프로필에서 최소 탄소 함량 값을 갖고, 수소를 첨가한 게이트 절연 필름(405)으로서 형성된 산화막은 2 x 1018내지 7 x 1018cm-3의 대응하는 값을 가졌다.
층간 절연 필름(408)을 형성하는 데 있어서, 탄소는 촉매법에 의해 수소 라디칼을 생성하고, 필름 형성 과정 동안 이들을 사용함으로써 필름 형성 과정 동안 제거할 수 있다. 본 발명은 유기 실란을 사용하는 상압 CVD에 효과적이다.
본 발명을 상압 CVD에 의한 필름 형성에 적용하는 경우, 촉매법이 수소를 수소 라디칼로 전환시키기 위해 사용된다. 활성 수소 라디칼은 가열된 촉매를 통해 수소를 통과시킴으로써 생성된다. 활성 오존은 산소를 오존 발생기를 통해 통과시킴으로써 생성된다.
기판 구조물이 가열되는 상압 CVD 장치에서, 에틸 오르토실리케이트는 탱크 내에 함유된 이를 N2O 등의 캐리어 가스와 함께 버블시킴으로써 장치에 도입된다. 산소는 오존 발생기를 통해 장치에 도입된다. 수소는 촉매를 통해 장치에 도입된다. 이들 가스 모두는 분산 메카니즘을 갖는 가스 노즐로부터 혼합된 상태로 기판 구조물에 공급된다. 수소는 산화질소의 양의 0.01 내지 1배의 양으로 도입하는 것이 매우 효과적이다. 에틸 오르토실리케이트는 이를 가열함으로써 직접적으로 가스화되는 경우, 그 효과는 수소가 에틸 오르토실리케이트의 양의 0.1 내지 1배의 양으로 도입될 때 증진된다. 이 실시예에서, 수소 라디칼은 500 ℃의 촉매 온도를 갖는 Ni를 사용함으로써 수소로부터 생성하였다. 수소의 양은 산화질소의 양의 0.3-0.8배로 설정되었다. 기판 온도는 350 ℃로 설정되었다. 따라서, 층간 절연 필름(408)은 7,000 - 15,000 Å, 양호하게는 9,000 - 12,000 Å의 두께로 형성되었다.
이 실시예에서, 언더코트 필름(402), 게이트 절연 필름(405), 및 층간 절연필름(408) 모두는 질소를 첨가한 유기 실란을 사용하여 형성된 산화막이지만, 이들 필름중 유일한 것은 실시예에 따라 형성된 산화막일 것이다. 즉, 이 실시예에서, 산화막은 유기 실란형 가스를 사용하고, 알칼리 금속 블로킹 효과를 갖는 필름 형성 과정 동안 탄소를 제거함으로써 형성되기 때문에, 이 실시예는 유기 실란이 사용되지 않은 경우에 필름 형성 과정에 사용될 필요가 없다. 또한, 작은 탄소 함량 이외의 필름 특성이 중요한 경우, 본 발명의 산화막의 사용을 피할 수 있다. 예를 들면, 언더코트 필름(402) 및 층간 절연 필름(408) 만이 본 발명에 따라 형성된 산화막일 수 있고, 게이트 절연 필름(405)은 열 산화 필름 또는 실란 및 산소를 사용하여 형성된 산화막이다. 산화막의 다른 다양한 조합도 가능하다.
이 실시예의 산화막을 사용하여 완성한 TFT는 8 ㎛ 의 채널 길이 및 100 ㎛ 의 채널 폭을 갖는다. 특성의 경우, 이동성은 N-채널 TFT의 경우에 153 cm2/Vs였고, P-채널 TFT의 경우에 119 cm2/Vs였으며, 비틀림 효과는 전혀 관찰되지 않았다. TFT가 150 ℃ 및 60 %RH의 분위기에서 12 시간 동안 방치된 후 습기 저항에서 편차는 발생하지 않았다. TFT가 통상의 경우와 같이 SiNx보호 필름을 가진 경우, 습기 저항은 추가로 개선되었다. 따라서, 이 실시예의 산화막이 사용되지 않은 경우에 비하여 언더코트 필름(402), 게이트 절연 필름(405), 및 층간 절연 필름(408) 모두에서 불순물에 관하여 탄소 함량이 크게 감소되고, 블로킹 효과가 개선되기 때문에 TFT는 특성 및 신뢰도에서 개선되었다.
실시예 7
이 실시예는 본 발명이 측면에서 측면으로 배열된 라인-및-공간 와이어링 라인을 매립(burying)하고 평면화시키기 위해 절연 필름의 형성에 적용되는 경우에 관한 것이다.
도 6은 금속 와이어링 라인이 어떻게 매립되는지를 나타낸다.
열 산화 필름(52)은 반도체 기판(51) 상에 형성되고, 금속 와이어링 라인(53)은 그 위에 형성되고, 매립된 절연 필름(54)이 그 위에 추가로 형성된다. 단결정 실리콘 웨이퍼가 반도체 기판(51)으로서 주로 사용되지만, 이는 GaAs 기판 또는 다결정질 반도체 기판 등의 화합물 반도체 기판일 수 있다. 이 실시예에서,(100) 플랜의 P-형 실리콘 웨이퍼가 사용되었다. 열 산화 필름(52)은 습식 산화에 의해 형성된 필름 또는 건식 산화에 의해 형성된 필름일 수 있다. 이 실시예에서, 열 산화 필름(52)은 건식 산화에 의해 반도체 기판(51)의 전 표면 상에서 약 500 Å의 두께로 성장하였다. 그 위에 금속 와이어링 라인(53)을 형성하기 위해, Al 필름이 스퍼터링에 의해 증착되었다. Al 스퍼터링은 Si를 2% 함유하는 타겟을 사용하여 Al 힐록(hillock)을 방지함으로써 수행하였다. Al 필름은 두께 및 높이가 모두 1 ㎛ (가로세로비: 1)인 금속 와이어링 라인(53)으로 이방성 건식 에칭에 의해 형상화하였다. 와이어링 라인 인터벌은 0.3-1.0 ㎛ 로 변화하였다.
본 발명은 절연 필름(54)을 형성하는 데 사용하였다. 유기 실란을 사용하는 본 발명에 따른 필름 형성 방법은 플라즈마 CVD 방법 및 상압 CVD 방법을 포함하지만, 이 실시예에서 절연 필름(54)은 상압 CVD 방법에 의해 형성하였다. 상압 CVD에 의해 절연 필름(54)을 형성하는 데 있어서, 탄소는 촉매법에 의해 수소 라디칼을 생성하고 필름 형성 과정 동안 이들을 사용함으로써 필름 형성 과정 동안 제거될 수 있다. 본 발명은 유기 실란을 사용하는 상압 CVD 방법에서 효과적이다. 상압 CVD에 의한 필름 형성에 본 발명을 적용하는 경우, 수소를 수소 라디칼로 전환시키기 위해 촉매법이 사용된다. 촉매의 적절한 예로는 백금, 팔라듐, 환원된 니켈, 코발트, 티탄, 바나듐 및 탄탈륨 등의 3d-전이 금속; 알루미늄, 니켈, 백금-실리콘, 백금-염소, 백금-레늄, 니켈-볼리브덴 및 코발트-몰리브덴 등의 금속의 화합물; 및 상기 전이 금속들 중의 임의의 것 및 알루미나 또는 실리카겔의 혼합물 또는 화합물을 들 수 있다. 또한, 코발트, 루테늄, 팔라듐, 니켈 등의 라네이 촉매 및 이들 라네이 촉매중의 임의의 것과 탄소의 혼합물 또는 화합물이 사용될 수 있다. 이들 촉매는 그래뉼화된 망상 또는 분말 상태로 사용된다. 낮은 융점을 갖고 반응성 기질의 초기 흡수율이 현저히 증가된 물질 및 나트륨 등의 알칼리 금속을 함유하고 용이하게 증기화되는 물질은 촉매로서 적합하지 않다. 이와 같이 바람직하지 못한 물질의 예로는 구리 및 텅스텐을 들 수 있다. 실험은 반응성 기질의 분해 온도보다 더 높은 온도에서 촉매가 상당히 분해됨을 보였다. 촉매의 양 및 밀도는 반응성 가스와의 유효 접촉 영역에 좌우되며, 필요할 경우 조절할 수 있다. 활성 수소 라디칼은 수소를 가열된 촉매를 통해 통과시킴으로써 생성된다. 활성 오존은 산소를 오존 발생기를 통해 통과시킴으로써 생성된다. 기판 구조물이 가열되는 상압 CVD 장치에서, 에틸 오르토실리케이트는 탱크 내에 함유된 이를 질소 등의 캐리어 가스와 함께 버블시킴으로써 장치에 도입된다. 산소는 오존 발생기를통해 장치에 도입된다. 수소는 촉매를 통해 장치에 도입된다. 이들 가스 모두는 분산 메카니즘을 갖는 가스 노즐로부터 혼합된 상태로 기판 구조물에 공급된다.
유기 실란으로서 에틸 오르토실리케이트 및 오존만을 사용하는 상압 CVD에 의해 필름을 형성하는 데 있어서, 산화막은 기판의 표면이 친수성인가 또는 소수성인가에 따라 훨씬 상이하게 형성된다. 투명한 필름이 소수성 표면을 갖는 기판 상에 형성될 수 있는 한편, 비정상적인 필름 형성 또는 필름 형성 속도의 감소가 친수성 표면에 의해 용이하게 발생한다. 절연 필름(54)을 형성하는 경우에, 이는 임의의 문제를 유발하지 않고 금속 와이어링 라인(53) 상에 형성될 수 있다. 그러나, 열 산화 필름(52)의 표면은 친수성이기 때문에, 저-밀도 오존이 필름 형성의 초기 단계에 사용되고 고-밀도 오존이 사용되지 않는 한, 비정상적인 필름 형성이 통상적으로 발생하기 쉽다. 즉, 종래에는 필름이 친수성 표면의 적어도 일부 상에 형성될 때 문제가 있다. 이와 대조적으로, 수소 라디칼을 사용하는 것과 연관된 본 발명은 탄소 제거 효과를 제공할 뿐만 아니라, 활성 수소가 기판 표면을 종결시킴으로써 소수성 표면을 생성하기 때문에, 탄소 제거 효과를 제공할 수 있을 뿐만 아니라, 비정상적인 필름 형성 및 필름 형성 속도의 감소를 방지한다. 따라서, 본 발명에서, 필름 형성은 필름 형성의 초기 단계에서 종료시까지 오존 밀도를 변화시키지 않고, 작은 편차만을 갖는 두께-방향 프로필을 제공하도록 수행될 수 있다. 이 실시예에서, 이 필름 형성은 1.5-3%로 설정된 오존 밀도로 수행하였다.
도프되지 않은 SiOx보다 낮은 탄소 함량 및 보다 작은 유전율을 갖는 F-도프된 SiOx필름은 에틸 오르토실리케이트 대신에 FSi(OC2H6)4등의 불소를 함유하는 유기 실란을 사용함으로써 형성될 수 있다. 따라서, LSI의 와이어링 라인들 사이의 측면 커패시턴스를 감소시킬 수 있다. 수소는 N2캐리어 가스 양의 0.01 내지 1배의 양으로 도입하는 것이 매우 효과적이다. 에틸 오르토실리케이트는 이를 가열함으로써 직접적으로 가스화되는 경우, 그 효과는 수소가 에틸 오르토실리케이트의 양의 0.1 내지 1배의 양으로 도입될 때 증진된다.
본 발명의 완성된 구조에서, 금속 와이어링 라인(53)은 와이어링 라인 인터벌이 0.5-1.0 ㎛ 였을 때 절연 필름(54)에 의해 완전히 매립되었다. 수소를 첨가하지 않는 종래 방법에 따라, 저-밀도 오존(1% 미만)이 필름 형성의 초기 단계에 사용된 후 오존 밀도가 증가하였을 때 매립이 완료되었다. 그러나, 고-밀도 오존(1% 이상)이 필름 형성의 초기 단계에 사용된 후, 비정상적인 필름 형성이 열 산화 필름(52) 상에서 발생하고, 매립은 효과적이지 못하였다. 와이어링 라인 인터벌이 0.3-0.5 ㎛ 였을 경우, 본 발명을 사용하는 것에 개의치 않고 매립은 완전히 수행될 수 없다(인터벌이 0.3 ㎛ 에 근접할 때 성능은 악화된다). 이는 필름 형성 방법으로서 상압 CVD의 한계를 나타낸다.
완성된 필름의 흡습성은 60 ℃ 및 80 %RH의 분위기에 50 시간 동안 방치한 후 평가하였다. 습기 흡수로 인한 적외선 흡수 모드는 본 발명에 따라 형성된 필름에서 검출되지 않았다. 이와는 대조적으로, Si-OH 적외선 흡수는 본 발명을 사용하지 않고 형성된 모든 필름에서 3,660 cm-1근처에서 검출되었다.
실시예 8
이 실시예는 본 발명이 측면에서 측면으로 배열된 라인-및-공간 와이어링 라인을 매립하고 평면화시키기 위해 절연 필름의 형성에 적용되는 경우에 관한 것이다. 이 실시예에서, 절연 필름(54)은 질소를 첨가함으로써 형성된 산화막이다.
도 6은 금속 와이어링 라인이 어떻게 매립되는지를 나타낸다. 제7 실시예의 경우와 같이, 약 500 Å 두께의 열 산화 필름(52)은 반도체 기판(51) 상에 형성되고, 금속 와이어링 라인(53)은 그 위에 형성된다. 금속 와이어링 라인(53)은 두께 및 높이가 모두 1 ㎛ (가로세로비: 1)인 와이어링 라인으로 부등방성 건식 에칭에 의해 Al 필름을 패턴화함으로써 형성하였다. 와이어링 라인 인터벌은 0.3-1.0 ㎛ 로 변화하였다.
본 발명은 절연 필름(54)을 형성하는 데 사용하였다. 유기 실란을 사용하는 본 발명에 따른 필름 형성 방법은 플라즈마 CVD 방법 및 상압 CVD 방법을 포함하지만, 이 실시예에서 절연 필름(54)은 상압 CVD 방법에 의해 형성하였다. 상압 CVD에 의해 절연 필름(54)을 형성하는 데 있어서, 탄소는 촉매법에 의해 수소 라디칼을 생성하고 필름 형성 과정 동안 이들을 사용함으로써 필름 형성 과정 동안 제거될 수 있다. 본 발명은 유기 실란을 사용하는 상압 CVD 방법에서 효과적이다.
상압 CVD에 의한 필름 형성에 본 발명을 적용하는 경우, 제7 실시예의 경우와 같이 수소를 수소 라디칼로 전환시키기 위해 촉매법이 사용된다.
활성 수소 라디칼은 수소를 가열된 촉매를 통해 통과시킴으로써 생성된다. 활성 오존은 산소를 오존 발생기를 통해 통과시킴으로써 생성된다. 기판 구조물이가열되는 상압 CVD 장치에서, 탱크 내에 함유된 HMDS는 N2O와 함께 버블된다. 산소는 오존 발생기를 통해 장치에 도입된다. 수소는 촉매를 통해 장치에 도입된다. 이들 가스 모두는 분산 메카니즘을 갖는 가스 노즐로부터 혼합된 상태로 기판 구조물에 공급된다.
유기 실란으로서 HMDS 및 오존만을 사용하는 상압 CVD에 의해 필름을 형성하는 데 있어서, 산화막은 기판의 표면이 친수성인가 또는 소수성인가에 따라 훨씬 상이하게 형성된다. 투명한 필름이 소수성 표면을 갖는 기판 상에 형성될 수 있는 한편, 비정상적인 필름 형성 또는 필름 형성 속도의 감소가 친수성 표면에 의해 용이하게 발생한다.
절연 필름(54)을 형성하는 경우에, 이는 임의의 문제를 유발하지 않고 금속 와이어링 라인(53) 상에 형성될 수 있다. 그러나, 열 산화 필름(52)의 표면은 친수성이기 때문에, 저-밀도 오존이 필름 형성의 초기 단계에 사용된 후 고-밀도 오존이 사용되지 않는 한, 비정상적인 필름 형성이 통상적으로 발생하기 쉽다. 즉, 종래에는 필름이 친수성 표면의 적어도 일부 상에 형성될 때 문제가 있다. 이와 대조적으로, 수소 라디칼을 사용하는 것과 연관된 본 발명은 탄소 제거 효과를 제공할 뿐만 아니라, 활성 수소가 기판 표면을 종결시킴으로써 소수성 표면을 생성하기 때문에, 탄소 제거 효과를 제공할 수 있을 뿐만 아니라, 비정상적인 필름 형성 및 필름 형성 속도의 감소를 방지한다. 따라서, 본 발명에서, 필름 형성은 필름 형성의 초기 단계에서 종료시까지 오존 밀도를 변화시키지 않고, 작은 편차만을 갖는 두께-방향 프로필을 제공하도록 수행될 수 있다. 이 실시예에서, 이 필름 형성은 1.5-3%로 설정된 오존 밀도로 수행하였다.
도프되지 않은 SiOx보다 낮은 탄소 함량 및 보다 작은 유전율을 갖는 F-도프된 SiOx필름은 에틸 오르토실리케이트 대신에 FSi(OC2H6)4등의 불소를 함유하는 유기 실란을 사용함으로써 형성될 수 있다. 따라서, LSI의 와이어링 라인들 사이의 측면 커패시턴스를 감소시킬 수 있다. 이들 효과는 수소가 N2캐리어 가스 양의 0.01 내지 1배의 양으로 도입될 때 현저하다. HMDS 등의 유기 실란은 이를 가열함으로써 직접적으로 가스화되는 경우, 그 효과는 수소가 에틸 오르토실리케이트의 양의 0.1 내지 1배의 양으로 도입될 때 증진된다. 그러나, 이 경우, 유전율의 증가를 피하기 위해 N2O 등의 산화질소의 양을 과도하게 증가시키지 않도록 주의를 기울여야 한다.
본 발명의 완성된 구조에서, 금속 와이어링 라인(53)은 와이어링 라인 인터벌이 0.5-1.0 ㎛ 였을 때 절연 필름(54)에 의해 완전히 매립되었다. 수소를 첨가하지 않는 종래 방법에 따라, 저-밀도 오존(1% 미만)이 필름 형성의 초기 단계에 사용된 후 오존 밀도가 증가하였을 때 매립이 완료되었다. 그러나, 고-밀도 오존(1% 이상)이 필름 형성의 초기 단계에 사용된 후, 비정상적인 필름 형성이 열 산화 필름(52) 상에서 발생하고, 매립은 효과적이지 못하였다. 와이어링 라인 인터벌이 0.3-0.5 ㎛ 였을 경우, 본 발명을 사용하는 것에 개의치 않고 매립은 완전히 수행될 수 없다(인터벌이 0.3 ㎛ 에 근접할 때 성능은 악화된다). 이는 필름 형성 방법으로서 상압 CVD의 한계를 나타낸다.
완성된 필름의 흡습성은 60 ℃ 및 80 %RH의 분위기에 50 시간 동안 방치한 후 평가하였다. 습기 흡수로 인한 적외선 흡수 모드는 본 발명에 따라 형성된 필름에서 검출되지 않았다. 이와는 대조적으로, Si-OH 적외선 흡수는 본 발명을 사용하지 않고 형성된 모든 필름에서 3,660 cm-1근처에서 검출되었다.
본 발명은 스텝 커버리지가 우수하고, 종래의 필름보다 탄소 함량이 적고 흡습성이 적은 필름을 형성할 수 있는 반도체 장치 제작 방법을 제공하고, 그로 인해 반도체 장치의 특성 및 신뢰도가 개선된다. 또한, 본 발명은 상기 제작 방법을 수행하기 위한 반도체 장치 제작 장치를 제공한다. 이러한 장치를 사용함으로써, 유기 실란형 소스 가스를 사용하는 필름 형성 과정 동안 탄소를 제거할 수 있게 되었다.
또한, 본 발명에 따라 형성된 산화막을 사용함으로써 우수한 스텝 커버리지, 종래 필름에서보다 낮은 탄소 함량, 낮은 흡습성, 알칼리 금속 등의 불순물을 블로킹하는 성능 및 기타 우수한 특성을 얻을 수 있고, 따라서 반도체 장치의 특성 및 신뢰도가 개선될 수 있다.
본 발명은 반도체 장치의 특성 및 신뢰도를 개선시키기 위해 상기 제작 방법을 수행하는 반도체 장치 제작 장치를 제공한다. 이러한 장치를 사용함으로써, 유기 실란형 소스 가스를 사용하는 필름 형성 과정 동안 탄소를 제거할 수 있게 되었다.

Claims (37)

  1. 청구항1는 삭제 되었습니다.
  2. 청구항2는 삭제 되었습니다.
  3. 청구항3는 삭제 되었습니다.
  4. 청구항4는 삭제 되었습니다.
  5. 청구항5는 삭제 되었습니다.
  6. 청구항6는 삭제 되었습니다.
  7. 청구항7는 삭제 되었습니다.
  8. 청구항8는 삭제 되었습니다.
  9. 청구항9는 삭제 되었습니다.
  10. 청구항10는 삭제 되었습니다.
  11. 청구항11는 삭제 되었습니다.
  12. 청구항12는 삭제 되었습니다.
  13. 청구항13는 삭제 되었습니다.
  14. 청구항14는 삭제 되었습니다.
  15. 청구항15는 삭제 되었습니다.
  16. 청구항16는 삭제 되었습니다.
  17. 청구항17는 삭제 되었습니다.
  18. 청구항18는 삭제 되었습니다.
  19. 청구항19는 삭제 되었습니다.
  20. 청구항20는 삭제 되었습니다.
  21. 청구항21는 삭제 되었습니다.
  22. 청구항22는 삭제 되었습니다.
  23. 청구항23는 삭제 되었습니다.
  24. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 제 1 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 제 1 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  25. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 제 1 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서의 방출에 의해 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 제 1 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  26. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 제 1 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서 촉매법에 의해 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 제 1 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  27. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 게이트 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실의 외부에서 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 게이트 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  28. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 게이트 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서의 방출에 의해 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 게이트 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  29. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 게이트 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서 촉매법에 의해 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 게이트 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  30. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 층간 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 층간 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  31. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 층간 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서의 방출에 의해 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 층간 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  32. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 층간 절연막은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서 촉매법에 의해 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 층간 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  33. 반도체 장치 제작 방법에 있어서,
    기판위에 산화 규소로 구성되는 제 1 절연막을 형성하는 단계와,
    상기 제 1 절연막상에 결정성 규소로 구성되는 반도체 층을 형성하는 단계와,
    상기 반도체 층상에 산화 규소로 구성되는 게이트 절연막을 형성하는 단계와,
    상기 반도체 층 위에 게이트 절연막을 개재하여 게이트 전극을 형성하는 단계 및,
    상기 게이트 전극과 반도체 층 위에 산화 규소로 구성되는 층간 절연막을 형성하는 단계를 포함하며,
    상기 절연막 각각은,
    반응실내에 기판을 배치하는 단계와,
    상기 반응실 외부에서 수소를 수소 라디칼로 전환시키는 단계와,
    상기 수소 라디칼을 반응실 내로 도입하는 단계와,
    유기실란형 소스 가스와 산소 또는 산소에서 발생되는 오존을 포함한 소스 가스를 상기 반응실내로 도입하는 단계 및,
    상기 수소 라디칼과 상기 유기 실란형 소스 가스 및 산소 또는 오존을 포함한 소스 가스를 사용하여 상기 절연막을 플라즈마 CVD 또는 상압 CVD로 형성하는 단계를 포함하는 반도체 장치 제작 방법.
  34. 제 24 항 내지 제 33 항중 어느 한 항에 있어서, 상기 기판은 유리로 제조되는 반도체 장치 제작 방법.
  35. 제 24 항 내지 제 33 항중 어느 한 항에 있어서, 상기 유기 실란형 소스 가스는 TEOS, OMCTS, HMDS 그룹에서 선택된 가스인 반도체 장치 제작 방법.
  36. 제 24 항 내지 제 33 항중 어느 한 항에 있어서, 상기 촉매법에서, 수소 라디칼은 수소를 가열된 촉매에 통과시키므로써 생성되는 반도체 장치 제작 방법.
  37. 제 24 항 내지 제 33 항중 어느 한 항에 있어서, 상기 기판은 가열되는 반도체 장치 제작 방법.
KR1020010003535A 1995-09-08 2001-01-22 반도체 장치 제작 방법 KR100333158B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP25696995A JP3488324B2 (ja) 1995-09-08 1995-09-08 半導体装置の製造方法および半導体装置の製造装置
JP95-256969 1995-09-08
JP95-262519 1995-09-16
JP26251995A JP3672639B2 (ja) 1995-09-16 1995-09-16 半導体装置の作製方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019960039195A Division KR100319333B1 (ko) 1995-09-08 1996-09-06 반도체장치제작방법

Publications (1)

Publication Number Publication Date
KR100333158B1 true KR100333158B1 (ko) 2002-04-18

Family

ID=26542992

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019960039195A KR100319333B1 (ko) 1995-09-08 1996-09-06 반도체장치제작방법
KR1020010003535A KR100333158B1 (ko) 1995-09-08 2001-01-22 반도체 장치 제작 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019960039195A KR100319333B1 (ko) 1995-09-08 1996-09-06 반도체장치제작방법

Country Status (4)

Country Link
US (3) US6323142B1 (ko)
KR (2) KR100319333B1 (ko)
CN (2) CN1311533C (ko)
TW (1) TW371796B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160062964A (ko) * 2014-11-26 2016-06-03 주식회사 원익아이피에스 실리콘 산화막 형성 방법 및 장치
KR20220104422A (ko) * 2021-01-18 2022-07-26 주식회사 유진테크 박막의 불순물 제거방법 및 기판 처리 장치

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JP2001044202A (ja) * 1999-07-30 2001-02-16 Nec Corp 半導体装置及びその製造方法
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
TWI228548B (en) * 2000-05-26 2005-03-01 Ebara Corp Apparatus for processing substrate and apparatus for processing treatment surface of substrate
JP2002075980A (ja) * 2000-08-30 2002-03-15 Miyazaki Oki Electric Co Ltd 真空紫外光cvdによる低誘電体膜の製造方法
JP4663139B2 (ja) 2001-02-16 2011-03-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP2004071696A (ja) * 2002-08-02 2004-03-04 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
US7605023B2 (en) * 2002-08-29 2009-10-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for a semiconductor device and heat treatment method therefor
JP2004095953A (ja) * 2002-09-02 2004-03-25 Canon Inc 窒化シリコンの堆積膜形成方法
JP4627961B2 (ja) * 2002-09-20 2011-02-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6933733B2 (en) 2003-03-14 2005-08-23 Steris Inc. Method and apparatus for measuring the concentration of hydrogen peroxide in a fluid
US6927582B2 (en) * 2003-03-14 2005-08-09 Steris Inc. Method and apparatus for monitoring the state of a chemical solution for decontamination of chemical and biological warfare agents
US6930493B2 (en) * 2003-03-14 2005-08-16 Steris Inc. Method and apparatus for monitoring detergent concentration in a decontamination process
US6946852B2 (en) * 2003-03-14 2005-09-20 Steris Inc. Method and apparatus for measuring concentration of a chemical component in a gas mixture
US6992494B2 (en) * 2003-03-14 2006-01-31 Steris Inc. Method and apparatus for monitoring the purity and/or quality of steam
US6897661B2 (en) * 2003-03-14 2005-05-24 Steris Inc. Method and apparatus for detection of contaminants in a fluid
US6960921B2 (en) 2003-03-14 2005-11-01 Steris Inc. Method and apparatus for real time monitoring of metallic cation concentrations in a solution
JP2004336019A (ja) 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
US6917885B2 (en) * 2003-06-06 2005-07-12 Steris Inc. Method and apparatus for formulating and controlling chemical concentration in a gas mixture
US6909972B2 (en) * 2003-06-06 2005-06-21 Steris Inc. Method and apparatus for formulating and controlling chemical concentrations in a solution
US7145182B2 (en) * 2003-09-12 2006-12-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Integrated emitter devices having beam divergence reducing encapsulation layer
TW200524018A (en) * 2003-11-20 2005-07-16 Ulvac Inc Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device
DE102004003761A1 (de) * 2004-01-23 2005-08-25 Forschungszentrum Jülich GmbH Herstellungsverfahren für Siliziumsolarzellen umfassend µc-Siliziumschichten
CN100539026C (zh) * 2004-06-28 2009-09-09 东京毅力科创株式会社 成膜装置
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7163902B2 (en) * 2004-08-25 2007-01-16 Atomic Energy Council-Institute Of Nuclear Energy Research Infra-red light-emitting device and method for preparing the same
US7431886B2 (en) * 2004-09-24 2008-10-07 Steris Corporation Method of monitoring operational status of sensing devices for determining the concentration of chemical components in a fluid
US8182884B2 (en) * 2005-02-28 2012-05-22 GM Global Technology Operations LLC Process for application of a hydrophilic coating to fuel cell bipolar plates
JPWO2006098300A1 (ja) 2005-03-16 2008-08-21 株式会社日立国際電気 基板処理方法及び基板処理装置
DE112006002140B4 (de) * 2005-08-12 2022-07-14 GM Global Technology Operations LLC (n. d. Ges. d. Staates Delaware) Hydrophile Beschichtung für Brennstoffzellen-Bipolarplatte und Verfahren zur Herstellung derselben
CN101550531B (zh) * 2008-04-03 2013-04-24 清华大学 硅纳米结构的制备方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
JP5665627B2 (ja) * 2011-03-30 2015-02-04 東京エレクトロン株式会社 シリコン酸化物膜及びシリコン窒化物膜の積層方法、並びに成膜装置及び半導体装置の製造方法
US9401396B2 (en) 2011-04-19 2016-07-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and plasma oxidation treatment method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
GB2494168B (en) * 2011-09-01 2014-04-09 Memsstar Ltd Improved deposition technique for micro electro-mechanical structures (MEMS)
CN102319656B (zh) * 2011-09-21 2013-06-12 上海先进半导体制造股份有限公司 Hmds自动供应系统及其自动供应的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103779192A (zh) * 2012-10-23 2014-05-07 联胜(中国)科技有限公司 形成多晶硅薄膜的方法以及形成薄膜晶体管的方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20150050816A1 (en) * 2013-08-19 2015-02-19 Korea Atomic Energy Research Institute Method of electrochemically preparing silicon film
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6322131B2 (ja) * 2014-12-24 2018-05-09 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102504805B1 (ko) * 2021-03-15 2023-02-28 주식회사 지티아이코리아 웨이퍼 막질 제거 장치
KR102504807B1 (ko) * 2021-03-15 2023-02-28 주식회사 지티아이코리아 반도체 하이브리드 식각 장치 및 방법
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920018827A (ko) * 1991-03-01 1992-10-22 이노우에 아키라 처리시스템

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4196232A (en) * 1975-12-18 1980-04-01 Rca Corporation Method of chemically vapor-depositing a low-stress glass layer
JPS5911629A (ja) 1982-07-12 1984-01-21 Toshiba Corp 表面清浄化方法
JPH0614552B2 (ja) * 1983-02-02 1994-02-23 富士ゼロックス株式会社 光電変換素子の製造方法
JPH0647727B2 (ja) * 1985-12-24 1994-06-22 キヤノン株式会社 堆積膜形成法
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPS6448425U (ko) 1987-09-21 1989-03-24
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JP2691927B2 (ja) * 1989-06-08 1997-12-17 日本パイオニクス株式会社 有害成分の除去方法
JP2844745B2 (ja) 1989-11-16 1999-01-06 大同特殊鋼株式会社 線材コイルの転倒方法
JPH03190229A (ja) 1989-12-20 1991-08-20 Kojundo Chem Lab Co Ltd 半導体装置の酸化膜の製造法
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
JPH0496226A (ja) 1990-08-03 1992-03-27 Fujitsu Ltd 半導体装置の製造方法
JPH0719777B2 (ja) * 1990-08-10 1995-03-06 株式会社半導体プロセス研究所 半導体装置の製造方法
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JPH04235282A (ja) 1991-01-09 1992-08-24 Toshiba Corp 光cvd法及び光cvd装置
JP2667605B2 (ja) 1991-02-21 1997-10-27 株式会社東芝 不揮発性半導体記憶装置およびその製造方法
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JPH04343456A (ja) 1991-05-21 1992-11-30 Fujitsu Ltd 半導体装置の製造方法
JP2648746B2 (ja) 1991-09-26 1997-09-03 株式会社ジーティシー 絶縁膜形成方法
JPH05175132A (ja) 1991-12-20 1993-07-13 Kojundo Chem Lab Co Ltd 半導体装置のケイ素酸化膜の製造法
JP2574095B2 (ja) 1992-02-27 1997-01-22 株式会社ジーティシー 酸化ケイ素薄膜の形成方法
CN1244891C (zh) 1992-08-27 2006-03-08 株式会社半导体能源研究所 有源矩阵显示器
JP3122699B2 (ja) 1992-08-27 2001-01-09 株式会社半導体エネルギー研究所 薄膜状半導体装置の作製方法。
US5459108A (en) * 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone
JP3065825B2 (ja) * 1992-10-21 2000-07-17 株式会社半導体エネルギー研究所 レーザー処理方法
US5344797A (en) 1992-10-30 1994-09-06 At&T Bell Laboratories Method of forming interlevel dielectric for integrated circuits
KR960000190B1 (ko) 1992-11-09 1996-01-03 엘지전자주식회사 반도체 제조방법 및 그 장치
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US6001431A (en) 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
JP3437863B2 (ja) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
US5843225A (en) 1993-02-03 1998-12-01 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor and process for fabricating semiconductor device
JP3497198B2 (ja) 1993-02-03 2004-02-16 株式会社半導体エネルギー研究所 半導体装置および薄膜トランジスタの作製方法
EP0612102B1 (en) 1993-02-15 2001-09-26 Semiconductor Energy Laboratory Co., Ltd. Process for the fabrication of a crystallised semiconductor layer
JPH06244103A (ja) 1993-02-15 1994-09-02 Semiconductor Energy Lab Co Ltd 半導体の製造方法
JP3562588B2 (ja) 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
KR0143873B1 (ko) 1993-02-19 1998-08-17 순페이 야마자끼 절연막 및 반도체장치 및 반도체 장치 제조방법
JP3499255B2 (ja) * 1993-05-21 2004-02-23 株式会社半導体エネルギー研究所 複合集積回路部品の作製方法
US5354698A (en) 1993-07-19 1994-10-11 Micron Technology, Inc. Hydrogen reduction method for removing contaminants in a semiconductor ion implantation process
US5382550A (en) * 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
US5474955A (en) 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
JP3443909B2 (ja) 1993-09-08 2003-09-08 セイコーエプソン株式会社 半導体膜形成方法、半導体装置の製造方法及び半導体装置
US5709895A (en) 1994-05-31 1998-01-20 Takasago International Corporation Usa Process for producing flavor-containing capsule
JP3533583B2 (ja) 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
US5480684A (en) * 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5828084A (en) * 1995-03-27 1998-10-27 Sony Corporation High performance poly-SiGe thin film transistor
JP3318818B2 (ja) 1995-06-20 2002-08-26 ソニー株式会社 絶縁膜形成方法
US5567271A (en) 1995-07-26 1996-10-22 Chartered Semiconductor Manufacturing Pte Ltd Oxygen reactive ion etch (RIE) plasma method for removing oxidized organic residues from semiconductor substrates
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
US5629246A (en) * 1995-09-27 1997-05-13 Micron Technology, Inc. Method for forming fluorine-doped glass having low concentrations of free fluorine

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920018827A (ko) * 1991-03-01 1992-10-22 이노우에 아키라 처리시스템

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160062964A (ko) * 2014-11-26 2016-06-03 주식회사 원익아이피에스 실리콘 산화막 형성 방법 및 장치
KR20220104422A (ko) * 2021-01-18 2022-07-26 주식회사 유진테크 박막의 불순물 제거방법 및 기판 처리 장치
KR102563298B1 (ko) 2021-01-18 2023-08-03 주식회사 유진테크 박막의 불순물 제거방법 및 기판 처리 장치
US11972946B2 (en) 2021-01-18 2024-04-30 Eugene Technology Co., Ltd. Method for removing impurities in thin film and substrate processing apparatus

Also Published As

Publication number Publication date
US6323142B1 (en) 2001-11-27
US20020111040A1 (en) 2002-08-15
US20040127069A1 (en) 2004-07-01
TW371796B (en) 1999-10-11
KR970018005A (ko) 1997-04-30
CN1156897A (zh) 1997-08-13
KR100319333B1 (ko) 2002-04-22
US6706648B2 (en) 2004-03-16
CN1448997A (zh) 2003-10-15
CN1311533C (zh) 2007-04-18
US7491659B2 (en) 2009-02-17
CN1118867C (zh) 2003-08-20

Similar Documents

Publication Publication Date Title
KR100333158B1 (ko) 반도체 장치 제작 방법
KR100323912B1 (ko) 절연게이트형전계효과트랜지스터의제작방법
US7718553B2 (en) Method for forming insulation film having high density
KR100313091B1 (ko) 반도체장치의 TaON 게이트절연막 형성방법
TWI492298B (zh) 雙重圖案化蝕刻製程
KR100316721B1 (ko) 실리사이드막을 구비한 반도체소자의 제조방법
US7521354B2 (en) Low k interlevel dielectric layer fabrication methods
US7052980B2 (en) Transistor manufacturing method, electrooptical apparatus and electronic apparatus
US20050020048A1 (en) Method of depositing dielectric films
KR20010039917A (ko) 반도체 소자에 금속 질화물 필름을 도입시키는 방법 및 장치
EP1432843B1 (en) Method for making carbon doped oxide film
US20110230061A1 (en) Manufacturing method of semiconductor device
JP2006511087A (ja) 高品位低温窒化シリコン層を形成する方法および装置
JPH11177104A (ja) 薄膜半導体装置作製方法
KR100344845B1 (ko) 반도체장치와 그 제조방법
JP3488324B2 (ja) 半導体装置の製造方法および半導体装置の製造装置
US7371629B2 (en) N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
JP3672639B2 (ja) 半導体装置の作製方法
JP4476984B2 (ja) 半導体装置の作製方法
KR20010052798A (ko) Ti 도핑된 ta 205층을 형성하는 방법 및 장치
US6734119B2 (en) Electro-optical apparatus and method for fabricating a film, semiconductor device and memory device at near atmospheric pressure
JPH07161705A (ja) 半導体装置の多層配線層間絶縁膜の形成方法
JPH09139370A (ja) 半導体装置作製方法及び薄膜半導体装置作製方法
KR100212014B1 (ko) 반도체 소자의 비피에스지막 형성방법
JP2001127059A (ja) 絶縁体薄膜の製造方法と製造装置および半導体装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O132 Decision on opposition [patent]
O074 Maintenance of registration after opposition [patent]: final registration of opposition
G171 Publication of modified document after post-grant opposition [patent]
G171 Publication of modified document after post-grant opposition [patent]
FPAY Annual fee payment

Payment date: 20130318

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140320

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20150320

Year of fee payment: 14

EXPY Expiration of term