CN1118867C - 制造半导体器件的方法和设备 - Google Patents

制造半导体器件的方法和设备 Download PDF

Info

Publication number
CN1118867C
CN1118867C CN96122468A CN96122468A CN1118867C CN 1118867 C CN1118867 C CN 1118867C CN 96122468 A CN96122468 A CN 96122468A CN 96122468 A CN96122468 A CN 96122468A CN 1118867 C CN1118867 C CN 1118867C
Authority
CN
China
Prior art keywords
film
gas source
type gas
hydrogen
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN96122468A
Other languages
English (en)
Other versions
CN1156897A (zh
Inventor
山崎舜平
坂间光范
深田武
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP25696995A external-priority patent/JP3488324B2/ja
Priority claimed from JP26251995A external-priority patent/JP3672639B2/ja
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1156897A publication Critical patent/CN1156897A/zh
Application granted granted Critical
Publication of CN1118867C publication Critical patent/CN1118867C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/1525Deposition methods from the vapour phase by cvd by atmospheric CVD
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在制造半导体器件过程中,形成各种类型的绝缘膜,在成膜期间,通过输入活化的氢和氧化氮,使碳气化成CHx,COH及诸如此类的气体,以便在成膜期间减少含碳量,从而改善了阻挡诸如碱金属杂质的效果。

Description

制造半导体器件的方法和设备
本发明涉及利用有机硅烷型气体源形成膜的方法。特别涉及包括含有氢和氮膜的半导体器件的制造方法,该膜含碳量低,台阶覆盖层和阻挡杂质性能优良。
在半导体器件技术领域中的LSI,现在,布线间隔小到0.2-0.4μm,布线(互连引线)的长宽比(高宽比)大于1。为了防止在平面化层间绝缘膜过程中产生孔隙,逐渐利用诸如亚硅酸乙脂(Si(OC2H5)4,称为"TEOS")的有机硅烷型气体源形成膜的方法,形成优良的台阶覆盖膜。在另一个液晶显示器的领域中,在显示器的绝缘衬底中形成许多薄膜晶体管,利用"TEOS"气体源形成优良的台阶覆盖膜,可以降低薄膜晶体管布线中"台阶断裂"的产生次数。特别是液晶显示器,在比高温处理低的600℃下处理硅片,利用TEOS气体源,形成除中间层绝缘膜外的栅氧化膜与底膜。
在LSI领域中,虽然利用TEOS形成的氧化膜作为层间绝缘膜,但是,它含有很多碳-氢键和氧氢键,因此,吸湿性强。另一方面,虽然氮化硅显示出高的耐水性和阻挡杂质性,但是它的台阶覆盖性差,还容易断裂,因为它的硬度大。
例如,在用于液晶显示器的薄膜晶体管中,利用如TEOS的有机硅烷型气体源,采用热CVD、等离子CVD或诸如此类的方法,在如玻璃衬底的绝缘衬底上,形成底膜,栅绝缘膜,层间绝缘膜等等。但是,上述膜含大量的碳,不能充分耐水和阻挡杂质。
通常,一般采用利用TEOS的等离子CVD形成膜的方法,把衬底放在处理室中,处理室有平行板电极,而且被抽空。电极之一被连到高频功率源上,也就是作为阴极。另一个电极被连到地线端,也就是作为阳极。把衬底放在地电极边上,也就是阳极边上。因为TEOS在常渐呈现液体状态,以热状态输入它到处理室,以便增加蒸气压,或者利用运载气体在容器中发泡TEOS,使它和运载气体一起输入处理室。TEOS具有下述特征,当在等离子体中分解时,它形成前体并在衬底上流,然后形成优良的台阶覆盖膜。在衬底上流动的前体相互碰撞,在等离子体和前体碰撞中形成的氧离子,氧原子团,臭氧分子在表面上产生提取反应,由此形成SiOx。如果输入大量的氧,加速因TEOS形成前体引起的表面提取反应。这样,因减小碳含量而降低台阶覆盖层的质量。
另一方面,如果输入更少量的氧,虽然改善了阶覆盖,但是更多的碳氢键和氧氢键保留在膜中,使它有高吸水性。如果进行红外测量,在3660cm-1附近的吸收将随时间而增加。3660cm-1的吸收主要是由Si-OH键产生的,它表示形成的膜是吸水性膜。
另一种利用TEOS形成膜的方法是利用臭氧和加热的常压CVD方法。这种方法把衬底加热到300到400℃。利用N2气在容器中发泡把诸如TEOS的有机碳烷型气体源输入反应室。把氧通入臭氧化发生器,产生臭氧,再把它输入反应室。因为这种方法制造的台阶覆盖膜优良,成膜速率高,所以,生产包括多层布线的器件,例如,LSI和DRAM存储器,利用这种方法形成层间绝缘膜。在膜形成后结合采用深腐蚀,SOG(玻璃旋涂)CMP(化学机械抛光)等工艺进行平面化处理。
但是,按照上述常压CVD方法获得的膜的密度很低,即形成多孔膜。因此,如果单独地使用上述膜,它则显示出很强的吸水性,可能在布线之间产生泄漏,于是降低了半导体器件的可靠性。并且,现在当使用0.3μm规则时,布线之间的横向电容量不可能忽略,这要求膜具有小的介电常数。
现在受理的日本未审查专利特开平1-48425公开了利用有机硅烷型气体源和氧化氮的成膜方法。按照上述专利公开的方法,该方法可能在不均匀表面上形成均匀涂层,该涂覆层阻挡碱金属杂质。虽然这种涂层用作层间绝缘膜时,其功能令人满意,但是,当这种涂层用作其电性能重要的绝缘膜时,例如栅绝缘膜或电容器的部件时,则要将有机硅烷型气体源中的碳含量减到最小。该涂层不能用作绝缘膜,除非用用控制碳含量来利用其电特性。
通常,利用TEOS的有机硅烷型气体源形成膜,要改变台阶覆盖膜,必然增加吸水性和含碳量,接着导致降低可靠性和半导体的特性。如果大量氧加到如TEOS的有机硅烷型气体源中,以便降低碳的含量,但会降低台阶覆盖膜的质量,因而产生孔隙,引起布线断裂等等。此外,还可能由诸如碱金属杂质污染氧化膜。一但引入杂质,则杂质在某些情况变成有效离子(可移动的离子)。
本发明的目的是形成优质膜,其台阶覆盖膜优良,比常规膜含碳量低,吸水性低,阻挡杂质优良。
本发明的另一个目的是形成优质膜,其台阶覆盖膜优良,比常规膜含碳量低,吸水性低,成膜速率高。
为达到上述目的,按照本发明的一个方案,提供制造半导体器件的方法,包含在加热衬底上形成氧化膜的步骤,利用包括有机硅烷型气体源和氧的气体,或包括由氧产生的臭氧的气体源,采用等离子CVD或常压CVD方法,其中:
在成膜期间,先加入氢,然后把氢转变成氢原子团,由此形成氧化膜;或者
在氧化膜形成期间,把氢转变成氢原子团,再输入氢原子团,由此形成氧化膜。
按照本发明的另一方案,提供半导体器件的制造方法,包括在加热衬底上形成氧化膜的步骤,采用等离子CVD或者常压CVD方法,利用包含有机硅烷型气体源和氧的气体,或者包括由氧产生臭氧的气体,其中:
在成膜期间通入水,然后由所述的水产生成氢原子团,由此形成氧化膜。
按照本发明另一方案,提供半导体器件的制造方法,它包括在至少部分加热衬底的亲水表面上形成氧化膜的步骤,采用常压CVD方法,利用包含有机硅烷型气体源或氧的气体,或者利用包含由氧产生臭氧的气体源,其中臭氧密度大于1%,其中:
在成膜期间输入氢,然后把氢转变成氢原子团,由此形成氧化膜;或者
在形成氧化膜期间,把氢转变成氢原子团,再输入氢原子团,由此形成氧化膜。
按照本发明另一方案,提供半导体器件的制造方法,包括在加热衬底上形成氧化膜的步骤,采用等离子CVD方法。利用包括有机硅烷型气体源和氧的气体或利用包括由氧产生臭氧的气体源,其中:
所述氧含量比有机硅烷气体源含量小15倍;
在成膜期间,氢的加入量不小于有机硅烷型气体源含量的0.01倍,然后把所述氢转变成氢原子团,由此形成氧化膜。
按照本发明另一方案,提供半导体器件的制造方法,包括在加热衬底上形成氧化膜的步骤,采用等离子CVD方法,利用包括有机硅烷型气体源和氧的气体,或者利用包括由氧产生的臭氧的气体源,其中;
所述氧的含量比有机硅烷型气体源含量的小15倍;
在形成氧化膜期间,利用包含水含量与有机硅烷型气体源含量比为0.1∶1的运载气体运载的发泡水来输入水,由所述水产生氢原子团,由此形成氧化膜。
按照本发明的另一方案,提供半导体器件的制造方法,其包括在加热衬底上形成氧化膜的步骤,采用常压CVD方法,利用包括有机硅烷型气体源和氧的气体,或者利用包括由氧产生臭氧的气体源,其中;
在成膜期间,加入不小于有机硅烷气体源含量的0.1倍的氢,然后把氢转变成氢原子团,由此形成氧化膜。
按照本发明的另一方案,提供半导体器件的制造方法,包括在加热的玻璃衬底上形成氧化膜的步骤,采用等离子CVD方法或常压CVD方法,利用包括有机硅烷型气体源和氧的气体,或利用包括由氧产生的臭氧的气体源,在玻璃衬底上形成薄膜晶体管的工艺中,在半导体层下面变成有源层,其中:
在成膜期间输入氢,然后把氢转变成氢原子团,由此形成氧化膜;或者
在形成氧化膜期间,把氢转变成氢原子团,然后输入氢原子团,由此形成氧化膜。
按照本发明的另一方案,提供制造半导体器件的方法,其包括在加热的玻璃衬底上形成氧化膜的步骤,采用等离子CVD方法,利用包括有机硅烷型气体源和氧的气体,或者利用包括由氧产生的臭氧的气体源,在玻璃衬底上形成薄膜晶体管的工艺中,在半导体层上面变成有源层,其中:
在成膜期间输入氢,然后把所述氢转变成氢原子团,由此形成氧化膜;或者
在形成氧化膜期间,把氢转变成氢原子团,再输入氢原子团,由此形成氧化膜。
按照本发明的另一方案,提供半导体器件的制造方法,包括在加热玻璃衬底上形成氧化膜的步骤,采用CVD方法或常压CVD方法,利用包括有机硅烷型气体源和氧的气体或利用由氧产生臭氧的气体源,在玻璃衬底上形成薄膜晶体管的工艺中,该膜位于栅绝缘膜的上面,其中:
在成膜期间,输入氢,然后把所述的氢转变成氢原子团,由此形成氧化膜;或者
在形成氧化膜期间,把氢转变成氢原子团,然后再输入氢原子团,由此形成氧化膜。
按照本发明的又一方案,提供等离子CVD设备,用于制造半导体器件,其包括:
真空室;
平行板电极;
等离子功率源,由匹配装置把它和电极中的第1电极相连;
能被加热的衬底夹持器,用于把具有成膜表面的衬底放置在第2电极上;
泵,通过流量控制阀连到真空处理室,
其中,有机硅烷气体源和氧或者部分转变成臭氧的氧,通过各自的流速控制器,再通过第1电极被输入到真空处理室;
水(H2O)单独地由运载气体一起输入真空处理室,它用通过流量控制器的运载气体,对容器中的水发泡,与有机硅烷型气体源分开地把水输入真空处理室。
按照本发明的又一方案,提供常压CVD设备,用于制造半导体器件,它包括:
能够进行加热的衬底夹持器,用于装置具有形成膜表面的衬底;
气体喷嘴,使其与衬底的成膜表面相对设置:
其中,通过流速控制器把有机硅烷型气体源和运载气体加到气体喷嘴;
通过流速控制器把氧输送到臭氧化发生器,把部分所述氧变成臭氧,然后再输送到气体喷嘴;
通过流速控制器把氢输入到催化剂上,把部分氢变成氢原子团,然后输送到气体喷嘴。
为达到上述目的,按照本发明另一方案,提供半导体器件制造方法,它包括在加热衬底上形成氧化膜的步骤,采用等离子CVD方法或常压CVD方法,利用包括有机硅烷型气体源和氢或活化的氢,其中:
在成膜期间,输入由NxOy表示的氧化氮,形成氧化膜。
按照本发明另一方案,提供制造半导体器件的方法,它包括在加热衬底上形成氧化膜的步骤,采用等离子CVD方法或常压CVD方法,利用包括有机硅烷型气体源和H2O的气体,其中:
在成膜期间,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明的另一方案,提供制造半导体器件的方法,它包括在加热衬底的至少部分亲水性表面形成氧化膜的步骤,采用常压CVD方法,利用包括有机硅烷型气体源和氧的气体,或利用包括由氧产生的臭氧,氢,活化氢的气体源,其中臭氧密度大于1%,其中:
在成膜期间,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明的另一方案,提供制造半导体器件的制造方法,它包括在加热衬底上形成氧化膜的步骤,采用等离子CVD方法,利用包括有机硅烷型气体源和氧,或利用包括由氧产生的臭氧,氢或活化氢的气体源,其中:
所述氧或包括由氧产生的臭氧的气体源含量比有机硅烷型气体源含量小15倍;
输入所述氢或活化氢的含量不小于有机硅烷气体源含量的0.01倍;
在成膜期间,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明的另一方案,提供制造半导体器件的方法,它包括在加热衬底上形成氧化膜的步骤,采用等离子CVD方法,利用包括有机硅烷型气体源和氧的气体,或利用包括由氧产生的臭氧,和水的气体源,其中:
所述氧或包括由氧产生的臭氧的气体源的含量比有机硅烷型气体源含量小15倍;
在形成氧化膜期间,由运载气体运载的水含量与有机硅烷气体源含量的比为0.1比1的发泡水,输入所述的水;
在成膜期间,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明的另一个方案,提供半导体器件的制造方法,包括在加热衬底上形成氧化膜的步骤,采用常压CVD方法,利用包括有机硅烷型气体源,氢或活化氢的气体,其中:
输入所述氢或活化氢的含量不小于有机硅烷型气体源的0.1倍;
在成膜期间,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明的另一方案,提供制造半导体器件的方法,包括在加热的玻璃衬底上形成氧化膜的步骤,采用等离子CVD方法或常压CVD方法,利用包括有机硅烷型气体源和氢或活化氢的气体源,在玻璃衬底上制造薄膜晶体管的工艺中,在半导体下面变成有源层,其中:
在成膜过程中,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明另一方案,提供半导体器件的制造方法,它包括在加热玻璃衬底上形成氧化膜的步骤,采用等离子CVD方法,利用包括有机硅烷型气体源和氢或活化氢的气体,在玻璃衬底上形成薄膜晶体管的工艺中,在半导体层的上面变成有源区,其中:
在成膜期间,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明的另一方案,提供制造半导体器件的方法,包括在加热玻璃衬底上形成氧化层的步骤采用等离子CVD方法或常压CVD方法,利用包括有机硅烷型气体源和氢或活化氢的气体,在玻璃衬底上形成薄膜晶体管的工艺中,该膜位于栅绝缘膜的上面,其中:
在成膜期间,输入由NxOy表示的氧化氮,由此形成氧化膜。
按照本发明的另一方案,按上述制造半导体器件的方法,所用有机硅烷型气体源是TEOS,OMCTS和HMDS中之一。
按照本发明的另一方案,在上述制造半导体器件的方法中,所用有机硅烷型气体源是含氟材料。
按照本发明的另一种方案,在上述制造半导体器件方法中,输入由NxOy表示的氧化氮是选自N2O,NO,N2O3,NO2,N2O4,N2O5,NO3,N2O6组中的一种。
按照本发明的另一种方案,在上述制造半导体器件方案中,利用SIMS在氧化膜纵向剖面测得的碳含量C具有小于3×1019cm-3的最小值,利用SIMS在氧化膜纵向剖面测得的氮含量N具有大于1×1019cm-3的最大值。
本受让人先前在利用TEOS等离子CVD方法形成氧化膜时,采用了氧和TEOS的混和物。通过各种实验寻找减少形成的膜中含碳量的方法,发明人发现,在成膜期间,采用氢原子团和氢离子等是有效的方法。诸如氢原子团和氢离子的活化氢,由于它和碳反应形成CHx,可以使碳气化。在成膜期间,通过切断碳单键C-C生成CH4和C-OH,可以消除碳。
氢比氧有更强的脱碳作用。而且,由于氢原子小,在膜和衬底上溅射氢的作用可以忽略不计。因此,由等离子CVD方法,采用有机硅烷型气体源,氧化氮和氢的混合气体形成膜时,可以如此决定有机碳烷型气体源和氧化氮的混合比率,以便提供能生产优良台阶覆盖膜和高生产率以及用于脱碳而混合氢的成膜速率。特别是,当输入氢的含量和有机硅烷型气体源含量比为0.1∶1时,上述效果更为显著。由有机硅烷型气体源通过等离子产生的前体,氧离子,臭氧,氧原子团,在衬底表面重复地进行成膜表面反应。在此反应中,衬底表面上的前体流转变成各种类型的前体,形成具有优良台阶覆盖层的氧化膜。由于前体,氧离子,臭氧和氧原子团的反应,形成氧化膜,同时,氢离子和氢原子团通过和衬底表面上的碳原子的反应使碳气化。气化的碳由真空泵抽走。
可能在减少含碳量的同时向氧化物掺氮,可能获得氧化膜和氮化膜的两种优点。特别是,在利用诸如TEOS的有机硅烷型气体源形成掺氮氧化膜时,在成膜期间,利用诸如N2O,NO,N2O3,NO2,N2O4,N2O5,NO3,N2O6的氧化氮(NxOy,即氮和氧的化合物),可能把氧和氮掺入膜中。掺氮氧化膜具有比非掺氮氧化膜优良的耐水性和阻挡杂质性。特别是,诸如Na和K的碱金属,穿过氧化膜成为有效离子,这是半导体不稳定特性的主要原因。掺氮氧化膜和非掺氮氧化膜相比较,大大地改善了阻挡杂质的性能,因此,可以抑制诸如Na和K碱金属的移动性。
当掺氮氧化膜的特性不够好的时候,根据所要求的特性,输入氧,适当地选择氧化氮的分子重量,可以改变掺氮氧化物的特性。
因为氧化氮是由预先混合的氮和氧组成的,所以,当氧化氮和有机硅烷型气体源反应时,容易合成形成氧化膜,即进行掺氮。利用氨或氮和氧的混合物代替氧化氮,可以形成掺氮氧化物。但是,因为分解氨需要较大的能量,采用诸如等离子方法,衬底的成膜表面,可能受到严重的损伤。此外,因为氮几乎不和其它分子合成,所以难于控制掺杂量。所以,当用有机硅烷型气体源形成氧化膜时,使用以氮掺杂氧化膜的氧化氮是很有效的。
本发明是采用常压CVD方法,并利用催化方法,部分地把氢转变成氢原子团,实现成膜。例如,催化剂包括第3过渡金属,例如,铂、钯、还原的镍、钴、钛、钒、钽;金属的化合物,例如,铝、镍、铂-硅、铂-氯、铂-铼、镍-钼、钴-钼的化合物;上述任一过渡金属和氧化铝或硅胶的化合物或混合物。此外,可以利用钴、钌、钯、镍等的阮内(Raney)催化剂,上述阮内(Raney)催化剂之一和碳的混合物或化合物。以颗粒状网眼状、粉末状态使用这些催化剂。低熔点的和显著增加反应物质初始吸收率的材料,包括诸如易蒸发的钠之类的碱金属材料,不适于作催化剂。例如,上述不适合的材料是铜和钨。在温度高于反应物质的分解温度时,实验显示出催化剂严重变质。催化剂的量和密度取决于和反应气体的有效接触面积,需要时可以进行调整。当把氢通过加热的催化剂时,产生活化的氢原子团。把氧通过臭氧发生器时,产生活化的臭氧。
利用常压CVD装置形成SiOx过程中,加热衬底,利用如氮的运载气体,发泡容器中的如TEOS的有机硅烷。氢氧输入到设备中,同时在氧通过臭氧发生器时,其一部分转变成臭氧。把氢通过催化剂后输入到设备中。
为了把氧化氮加入SiOx膜中,利用诸如NO,NO2,或N2O的氧化氮(NxOy)的运载气体,对容器中的有机硅烷进行发泡。把氧通过臭氧发生器后输入到设备中,同时氧的一部分转变成臭氧。把氢通过催化剂后,输入到设备中。
所有的气体,从具有分离机构的气体喷嘴以混合状态输送到衬底上。采用常压CVD方法,利用TEOS和臭氧形成膜的过程中,形成的氧化膜由于衬底的表面是亲水性或疏水性而有很大的差别。在衬底的疏水性表面上可能形成正常膜,在亲水性表面可能形成异常膜,或降低成膜速率。在至少部分亲水表面上形成氧化膜时,可能产生上述问题。相反,涉及利用氢原子团的本发明,不仅有阻挡杂质的效果,而且能防止异常膜的形成和成膜速率的降低,因为活化氢限定了该表面,由此,产生疏水性表面。特别是,当输入氢含量和诸如氮的运载气体含量比为0.1∶1时,这效果更为显著。当TEOS通过加热被直接气化时,该效果增强到1到5倍。
把氧化氮掺入SiOx处,可以利用氧化氮作为运载气体。使用氮或诸如此类的气体作为运载气体,而不使用氧化氮作为运载气体,并且采用单独系统输入氧化氮,可以获得类似的效果。
虽然,如上所述,通过等离子CVD中的等离子和常压CVD方法中的催化剂可产生氢原子团,但是用相应的装置也可以产生氢原子团。即,先利用催化剂方法,然后把它输入等离子CVD设备,可能产生活化的氢原子团。也可能先放电,然后和用常压CVD设备气体喷嘴输入的其它气体进行混合、也能产生活化氢原子团。
在利用有机硅烷型气体源形成氧化膜时,采用氧气源,因为需要利用活化氧原子团,氧离子,臭氧。本发明利用H2O可以辅助产生活化氢原子团或氢离子。但是,因为水H2O和有机硅烷型气体源相互强烈地反应,如果在它们在衬底上反应前,在管道中使它们相互混合,则可能阻塞管道。最好在等离子CVD设备中,分离地装配输入有机硅烷型气体源和H2O的管道。
图1表示一个平行板等离子CVD设备,用于本发明第1和第2实施例中;
图2表示评价台阶覆盖膜的方法;
图3A和图3B表示测量按照本发明形成氧化膜的数据;
图4表示一常压CVD设备,用于本发明第3和第4实施例。
图5A-5F表示按照本发明第1到第6实施例制造TFT的工艺过程;
图6表示按照本发明第7和第8实施例如何埋置金属布线。
实施例1
图1表示实施本发明的平行板等离子CVD设备。
一个能被抽成真空的真空室内设置有阴极12,作为气体喷头,衬底13用于形成膜,阳极14起衬底加热器的作用,它和加热器组合在一起,因此能加热衬底13。泵15用于对真空室11抽真空,并从真空室排出阳极14周围不需要的气体。在真空室11和泵15之间设置控制阀16,控制真空室11的压强,使其近似地保持一稳定数值。等离子功率源18通过匹配装置17与阴极12相连。
在本实施例中,成膜源气体19包括有机硅烷19a和氧19b,通过流量控制器20施加到阴极12,即,通过有机硅烷流量控制器20a和氧流量控制器20b,加到阴极12。由氢21a和除碳运载气体21b组成的除碳气体源21,通过除碳气体源量控制器22,也就是氢流量控制器22a和和运载气体流量控制器22b,输入处理室11。使除碳运载气体21b发泡装水容器24中的水23。仅仅该系统与其它气体系统分开,并直接连到真空处理室,以便防止由于TEOS和H2O反应而阻塞管道。
阴极12包含一驱动机构,例如,扩散板,把气体均匀地输入到衬底13上。因为有机硅烷19a在常温呈现液体形态,在许多情况,气压不足,因此需要提供某些措施,以便防止有机硅烷19a附在容器和真空处理室11之间。例如,要用诸如He,Ne或Ar惰性气体(运载气体)发泡容器中的有机硅烷。另外,加热容器,以便增加有机硅烷气体源的蒸气压,并且加热由容器到真空处理室的管道,使管道温度高于容器的温度。
在把真空室11抽成真空后,利用与阳极14结合在一起的加热器把衬底13加热到200到500℃。如果衬底温度太低,获得的膜的密度如此低,以致于几乎不能作为用于半导体的膜。通常,设定衬底温度为300-350℃。利用TEOS作为有机硅烷19a。把装有TEOS的容器加热到80℃,把由容器到真空处理室11的管道加热到90℃,然后把TEOS输入到真空处理室11,同时,由有机硅烷流量控制器20a控制其流量,利用氢21a作为除碳气体源21。
由等离子功率源18施加的功率是0.1-1.5w/cm2,典型的为0.2-0.5w/cm2。设定反应压强为0.1-3乇,典型的为0.8-1.5乇。输入气体比例是(TEOS)∶O2∶H2=1∶1-15∶0-10。设置阴极12和阳极13之间距离为30-150mm,典型的为70mm。
此外,没有利用任何除碳气体源21,利用其比例为TEOS∶O2=1∶1,1∶3,1∶5,1∶10 1∶15的TEOS和氧形成氧化膜。其它条件是,衬底温度为300-350℃,功率源(电源)是0.2-0.5w/cm2,电极之间的距离是70mm,反应压强是0.8-1.5乇。
表1表示对于各种情况,测量台阶覆盖层,碳含量,吸水性的结果。
                            表1
输入气体比例    1∶1    1∶3    1∶5    1∶10    1∶15
台阶覆盖膜    1.0    1.0    0.8    0.6    0.2
含碳量(cm-3)    6×1021    7×1020    6×1019    1×1019    7×1018
吸水率    10    7    1    0.1    0
参看图2,用b/a定义台阶覆盖膜。由氧化膜26覆盖在衬底13上面形成的台阶覆盖膜25。通过淀积厚度为1μm的铝,形成台阶覆盖膜25,然后把它制成宽为1μm的条形膜。符号b表示与阶图形膜25的侧面相邻接的氧化膜26的最小厚度,a是位于远离台阶图形25的位置(大约3μm)的氧化膜26的厚度。把碳含量定义为用二次离子质谱仪测定在氧化膜26到衬底表面的深度方向测得的每立方厘米中的碳原子数来表示碳浓度的最小值,吸水率定义成:把氧化层在25℃,60%RH湿度下保存12小时,由3660cm-1附近吸收峰值的初始值开始增加的数值被用nm表示的厚度除再乘以某一常数(标准化的)。虽然上述定义的吸水率不是通用的,但它能对样品进行比较。
由表1可见,氧对TEOS比率增加,台阶覆盖层降低,含碳量减少,吸水性降低。
表2表示下述实验的结果,其中,设定氢对TEOS的比率为0.01,0.05,0.1,0.2,0.5,0.8。
                                            表2
      含氢比率 0.01  0.05   0.1   0.2   0.5   0.8
  1∶1  台阶覆盖膜 1  1   1   1   1   1
 含碳量(cm-3) 2×1021  8×1020   1×1020   8×1019   6×1019   6×1019
 吸水率 10  5   2.5   1.4   1   1
  1∶3  台阶覆盖膜 1  1   1   1   1   1
 含碳量(cm-3) 7×1020  4×1020   7×1019   4×1019   2×1019   2×1019
 吸水率 7  4.5   2   1   0.7   0.7
  1∶5  台阶覆盖膜 0.8  0.8   0.8   0.8   0.8   0.8
 含碳量(cm-3) 4×1019  2×1019   1×1019   8×1018   6×1018   6×1018
 吸水率 0.9  0.6   0.3   0.2   0.1   0.1
  1∶10  台阶覆盖膜 0.6  0.6   0.6   0.6   0.6   0.6
 含碳量(cm-3) 1×1019  8×1018   7×1018   6×1018   5×1018   5×1018
 吸水率 0.1  0.08   0.04   0   0   0
  1∶15  台阶覆盖膜 0.2  0.2   0.2   0.2   0.2   0.2
 含碳量(cm-3) 7×1018  6×1018   6×1018   5×1018   4×1018   4×1018
 吸水率 0  0   0   0   0   0
只增加0.01的氢,要影响相关的所有特性,但输入氢超过0.5,却不改变上述特性。特别是,增加氢可能减少碳含量,改善吸水性并且几乎不改变台阶覆盖膜。而且应该知道,输入氧量是TEOS量的15倍时,输入氢几乎没有作用。因此得出结论,当输入的氧对TEOS的比率小于15和氢对TEOS的比率不小于0.01时,本发明有效果。
当用H2O代替氢时,则获得相似的结果。当输入的氧与TEOS的比率小于15,用运载气体发泡的水与TEOS的比率在0.1到1时,含碳量减少,改善吸水性,几乎不改变台阶覆盖层。但是,在加H2O的情况,因为H2O中含有氧,当超过应加的数量时,台阶覆盖层发生变化,这与输入氢的的情况不同。因此,输入H2O的量有一上限。
                     实施例2
本实施例涉及输入NxOy并采用第1实施例使用的平行板等离子CVD设备,形成氧化膜的情况。在该实施例,关于成膜气体源19,采用TEOS作为有机硅烷型气体源19a,N2O作为19b。把成膜气体源19a和19b通过成膜添量控制器20,即通过TEOS流量控制器20a和N2O流量控制器20b,输送到阴极12。各部件的标记与第1实施例相应部件的标记相同。
如第1实施例所述那样,因为有机硅烷19a在常温呈液体形态,在很多情况蒸气压不足,需要采取某些措施,以便防止有机硅烷19a粘附到容器和真空处理室11之间。在该实施例,输入氧化氮的一种方法是用诸如He、Ne或Ar的惰性气体(运载气体)发泡容器中的有机硅烷。并且,可以发泡氧化氮使它成为运载气体和氮和氧的气体源。
按下述方法形成膜。在真空处理室11抽成真空后,用与阳极14结合在一起的加热器把衬底13加热到200-500℃。如果衬底的温度太低,则形成膜的密度太低,使该膜不能用作半导体的膜。通常,设置衬底温度在300-350℃。把装有TEOS的容器加热到80℃,把从容器到真空处理室11的整个管道加热到90℃,然后把TEOS输入到真空处理室11,同时由TEOS流量控制器20a控制TEOS。用氢作为脱碳气体源21。
由等离子功率源18加的功率是0.1-1.5w/cm2,典型的是0.2-0.5w/cm2。设置反应气压是0.1-3乇,典型的是0.8-1.5乇。输入的气体比率是(TEOS)∶N2O∶氢=1∶1-15∶0-1。设置阴极12到阳极13的距离是30-150mm,典型的为70mm。
只输入0.01的氢就影响有关的全部特性,而输入超过0.5的氢也不影响该特性。特别是,输入氢可能减少碳含量,改善吸水性,而几乎不改变台阶覆盖膜。而且,应该知道,输入N2O的含量是TEOS含量的15倍时,输入氢几乎不起作用。因此,得出结论,当输入N2O与TEOS比率小于15,输入氢与TEOS比率不小于0.01时,本发明有效果。当用NO,NO2或诸如此类的气体代替N2O时,几乎获得相同的效果。
图3A和图3B是表示由于氢和N2O作用产生各种特性的数据。图3A表示当设定TEOS∶N2O=1∶5时,含碳量呈随输入氢量而变化的曲线。纵轴表示碳含量,它定义为由SIMS(二次离子质谱测试仪)测得的氧化膜中深度方向分布的膜的最小含碳量。横轴表示氢对TEOS的比率。由此可见,轻微的输入氢可使氧化膜中的碳含量减少,当输入氢的比率大于0.5时,碳含量接近饱和。当氢的比率是0.5时,纵剖面的最小含碳量大约是3×1019cm-3。当氢的比率大于0.5时,最小碳含量小于该值,当氢的比率小于0.5时最小碳含量大于该值。虽然输入氢的数量随工艺条件变化,但是上述含碳量的数值为一个测量值。
图3B表示称为BT的测试结果(利用MOS电容器测量有效离子电荷的移动),测试条件是,设定输入的TEOS与氢的比例为1∶0.5,输入的N2O量,可以变化,可设置氧代替N2O。利用N2O或者氧在P型硅衬底上形成1000的厚氧化膜,然后通过蒸发在氧化层上和衬底的背面淀积铝,制成MOS电容器。在蒸发过程中,利用弯曲的钨丝电阻进行加热,通过淀积铝,有意地形成含碱金属的电极。在MOS电容器的上部电极加电压,使其产生1MV/cm,在氮气中在120℃退火30分。在温度降到室外后,在低频和高频下,测量MOS电容器的电容,然后计算平带电压(+VFB)。然后对它施加-1MV/cm,在氮气中在120℃下再退火30分。在温度下降到室温后,在低频和高频下,测量MOS电容器的电容,然后计算平带电压(-VFB)。用ΔVFB表示+VFB和-VFB之间电压差的绝对值,用作图3的纵轴。
横轴表示N2O或氧对TEOS的比率。
在利用氧代替N2O的情况,除了在输入少量氧时,ΔVFB稍微增加以外,在输入氧量的整个范围,ΔVFB都是大值。另一方面,在利用N2O的情况,ΔVFB随N2O量增大而减少,当N2O与TEOS的比率大于5时,ΔVFB达到饱和。当ΔVFB是大的时候,由于施加电场,氧化膜中的碱金属(离子)移动。由此可见,利用N2O有显著效果。并且发现,当N2O和TEOS的比率大于15时,台阶覆盖膜质量变差。在输入的N2O量是5倍的TEOS输入量的情况,用SIMS测量氮的含量,发现在纵向氮(N)的最大含量是大约1×1019cm-3。由此得出结论,当N2O的比率小于5时,其最大含量小于上述值,当N2O的比率大于5时,其最大含量大于上述值。虽然,混合比率随氧化氮的分子式NxOy中的X和Y参数变化,但是用纵向的测量值,大约1×1019cm-3的特有的最大含氮量值来表示。
输入H2O代替氢可以获得类似的结果。设定N2O对TEOS的比率小于15,运载气体发泡的H2O对TEOS比率为0.1比1,则可以减少碳含量,改善吸水性,而几乎不改变台阶覆盖膜的质量。但是输入含有氧的H2O时,当超过其输入限量时,要改变台阶覆盖层的质量,这与输入氢的情况不同。因此,输入水的量有一上限;如果运载气体对TEOS的比率大于1时,则降低台阶覆盖膜的质量。
                  实施例3
本实施例涉及利用常压CVD设备形成氧化膜的情况,如图4所示。
衬底31被放在与加热器结合在一起的衬底装载器32上。在本实施例中,如此构成包含气体扩散装置的气体喷嘴33,以便能够在衬底31上面使它相互移动(如箭头34所示)。在本实施例中,气体喷嘴33不必总是装配有伴随薄膜形成而相互移动的机械装置,可以固定在某一状态,在该状态,气体喷嘴33可在衬底31的整个平面提供均匀的气体。另一方面,可以如此构成设备,固定气体喷嘴33,而移动衬底装载器32。虽然在本实施例,把衬底放在气体喷嘴33的下面,膜形成表面朝上(面向装置),衬底31也可以放在气体喷嘴33的上面,膜形成表面朝下(面向装置),气体喷嘴33,从下面向衬底输运气体。
对于气体系统,有机硅烷型气体源35,通过有机硅烷型气体源流量控制器39输入到气体喷嘴33。氧气36通过氧气流量控制器40输入到臭气发生器43,然后输入到气体喷嘴33。氢气38通过氢气流量控制器42输入到催化剂44。氧化氮气体源45(用于第4实施例),通过氧化氮流量控制器46,输入到气体喷嘴33。
臭氧发生器44由氧有效地产生臭氧。运载气体37通过运载气体流量控制器41,输入到气体喷嘴33。在该系统,有机硅烷型气体的蒸气压,通过加热装有该气体的容器而增加,由有机硅烷气体流量控制器直接控制。有效地利用如氮或氧气发泡装在容器中的有机硅烷型材料,把获得的气体作为有机硅烷型气体源35。
恰当的催化剂例子,包括第3过渡金属,例如,铂,钯,还原的镍,钴,钛,钒,钽;金属化合物,例如铝,镍,铂-硅,铂-氯,铂-铼,镍-钼,钴-钼;以及上述任一种过渡金属和氧化铝或硅胶的混和物或化合物。此外,使用钴,钌,钯,镍,以及诸如此类的阮内催化剂,及这些阮内催化剂的任一种和碳的混和物或化合物。以颗粒状,网眼状或粉末状的状态使用上述催化剂。低熔点的和显著增加反应物质初始吸收率的材料,包含容易气化的诸如钠的碱金属材料,不适合用作催化剂44。例如,上述不适合的材料是铜和钨。
实验证明,催化剂44在高于反应物质分解温度的温度下显著降低其性能。
使用催化剂44的数量和密度取决于它和反应气体的有效接触面积,需要时可以进行调整。当氢通过加热的催化剂44时,部分氢变成活化的氢原子团。在本实施例中,把铂(15wt%)混入氧化铝中,制成颗粒状混合物,由此形成催化剂44。
把衬底31加热到300-500℃,典型的为300-400℃。利用TEOS,OMCTS(亚辛基环四硅氧烷)、HMDS(六甲基二硅氧烷)等作为有机硅烷气体源35。通常使用TEOS。利用氦氮等气体作为运载气体37。通常使用氦。表3表示氢38对有机硅烷气体源的比率分别为0,0.1,0.2,0.5,1的各种情况下,测试台阶覆盖膜,含碳量、吸水性的结果。
                           表3
含氢比率    0    0.1    0.2    0.5    1
台阶覆盖膜    1    1    1    1    1
含碳量(cm-3)    4×1021    1×1021    4×1020    8×1019    8×1019
吸水率    8.0    7.5    6.0    5.0    4.9
参看图2,由b/a定义台阶覆盖膜。在图2中,用氧化膜26覆盖在衬底13上形成的台阶覆盖图形25。淀积1μm厚的铝,形成台阶图形25。然后把它刻成1μm宽的长条形铝条。符号b表示邻接台阶图形25的侧面氧化膜26的最小厚度。符号a表示远离(大约3cm)台阶图形25处的氧化膜26的厚度。把含碳量定义为最小碳浓度,用二次离子质谱仪在氧化膜26到衬底表面的纵向测得的每立方厘米中的碳原子数来表示吸水率定义为把氧化膜在25℃,60%RH湿度下保存12小时,由3660cm-1附近吸收峰的初始值开始增加的数值,被用nm表示的厚度除,再乘以某一常数(标准化的)。虽然上述定义的吸水率不是通用的,但它能对样品进行比较。
表3清楚地表示,把氢38通过催化剂44进行混合后,由氢产生氢原子团的作用。当氢38和有机硅烷气体源35的混合比率增加到0.5时,碳含量减少,吸水性改善。由此得出结论,当氢38与有机硅烷气体源的比率是在0.1-0.5时,氢原子团的作用显著,即使氢的混合比率大于0.5时,它们达到饱和,但还变坏。即,按照常压CVD形成有机硅烷/臭氧型膜时,由于输入氢可能减少含碳量。
                  实施例4
本实施例涉及,利用图4所示的常压CVD设备,通过输入氧化氮形成氧化膜的例子。把衬底加热到300-500℃,典型的是300-400℃。利用TEOS,OMCTS(亚辛基环四硅氧烷),HMDS(六甲基二硅氧烷)等作为有机硅烷气体源35。通常使用HMDS。利用氦,氮等气体作为运载气体37。通常使用氦。
在本实施例中,通过加热装有HMDS的容器来增加它的气压,并直接由HMDS流量控制器39进行控制。另外,有效地用诸如氮或氦发泡容器中的HMDS,用生成气体作HMDS。也可以用NO2有效地发泡HMDS。
另外,把NO2作为氧化氮45将NxOy引到氧化膜中。
其它部件的标记与第3实施例相应部件的标记相同,有相同的结构,起相同的作用。
当用SIMS(二次离子质谱代)测得氧化膜深度方向含碳浓度最小值小于约3×1019cm-3时,掺氮的整个氧化膜显示出如作为电容器的稳定电特性。输入NO2的含量大于0.1倍HMDS含量则获得满足上述条件的氮浓度,当用SIMS测得氧化膜深度方向含碳浓度大于约1×1019cm-3时,掺氮的整个氧化膜显示出阻挡碱金属(离子)的作用。输入NO2的数量大于5倍HMDS的数量时,可获得满足上述条件的氮浓度。
                  实施例5
本实施例涉及把该发明用于由多晶硅形成薄膜晶体管(以后称为TFT)的例子。
图5A-5F表示制造TFTR工艺。
图5A表示在玻璃衬底401上面形成底膜402的步骤。玻璃衬底401是由高透射可见光的材料,诸如硼硅玻璃或石英,组成的。在本实施例中,采用由Corning Glass Works生产的Corning 7059玻璃。
本发明用于形成底膜402。如果沟道是N-型沟道,则通过它的电子作为载流子。如果沟道是P-型的沟道,则流过它的空穴作为载流子。制完TFT后,可能发生下述情况,如在开通时增加栅电压,则在实际沟道下面,即在衬底401的侧面形成反型沟道区。
如果沟道处于导通态,漏电流将由于栅电压的增加而达到饱和。但是,在实际沟道下面,即在衬底401的侧面形成反型沟道的时刻,漏电流突然增加,在栅电压对漏电流特性曲线上形成阶跃曲线(称为Kink(转折)效应)。由本发明形成的底膜402,因为能防止或减少Kink效应,所以提高了可靠性。如果底膜402是没有掺杂的SiOx,则因为产生Kink效应而降低可靠性。
利用平行板等离子CVD设备和正硅酸乙酯(也称为TEOS),氧和氢,形成底膜402。也可以利用诸如OMTCS(亚辛基环四硅氧烷)和HMDS(六甲基二硅氧烷)的其它类型有机硅烷有效地代替TEOS。衬底温度为200-500℃,典型的为400℃,设置成膜气压为0.1-2乇,典型的1乇。采用等离子功率源的频率为5-50MHz的高频,典型为20MHZ,其功率为0.1-2w/cm2,典型的为0.3w/cm2。设定输入的TEOS和氧的比率为1∶5-20,典型的为1∶5。设定TEOS与氢的比为1∶0.1-1,典型的为1∶0.5。形成500-3000埃厚的底膜402,典型的为2000埃。
在包括平行板等离子CVD方法的任何等离子CVD方法中,利用有机硅烷形成诸如底膜402的氧化膜中,可有效地利用氢原子团和氢离子进行脱碳。
在利用常压CVD方法形成底膜的情况,在成膜期间,利用催化剂方法产生的氢原子团,可能脱碳,并且在成膜期间,利用这些氢原子团。这样,本发明能有效地用于使用有机硅烷的常压CVD方法。
在按照本发明利用常压CVD形成膜的情况,采用催化的方法,把氢转变成氢原子团。例如,催化剂包括,诸如铂,钯,还原镍,钴,钛,钒,钽的第3过渡金属;诸如铝,镍,铂-硅,铂-氯,铂-铼,镍-钼,钴-钼的金属化合物,上述任一过渡金属和氧化铝或硅胶的混合物或化合物。此外,可以利用钴,钌,钯,镍等的阮内催化剂,上述阮内催化剂之一和碳的混合物或化合物。以颗粒状,网眼状,粉末状态使用这些催化剂。低熔点的和显著增加反应物质初始吸收率的材料,包括诸如易蒸发的钠之类的碱金属的材料,不适于作催化剂。例如,上述不适合的材料是铜和钨。
实验证明,在温度高于反应物质分解温度时,催化剂严重变质。
催化剂的数量和密度取决于和反应气体的有效接触面积,需要时可以进行调整。
当把氢通过加热的催化剂时,产生活化的氢原子团。把氧通过臭氧发生器时,产生活化的臭氧。
在常压CVD设备中加热衬底401。用诸如氮的运载气体发泡装在容器中的TEOS,把TEOS输入到该设备中。把氧通过臭氧发生器,输入到设备中。把氢通过催化剂输入到设备中。把全部气体,通过装有扩散装置的喷嘴,以混合状态输送到衬底401上。
在采用常压CVD方法,只利用TEOS和臭氧形成膜的过程中,由于衬底表面是亲水性的或疏水性的表面,使形成的氧化膜大大地的不同。在衬底的硫水性表面可能形成清洁的膜,在亲水性表面可能形成异常膜,或减少成膜速率。
涉及利用氢原子团的本发明,不仅具有脱碳效果,而且也能防止异常膜形成和减少成膜速率,因为活化氢限定衬底表面,由此产生疏水表面。特别是,当输入氢的含量是0.01-1倍运载气体N2的含量时,该效果显著。当通过加热直接汽化TEOS时,当输入氢的含量是0.1-1倍TEOS含量时,增强该效果。
图5B表示,在衬底401上面形成底膜402,在底膜402上形成非晶硅膜,其作为有源层403。
利用等离子CVD,低压CVD、溅射等方法,形成厚度为50-3000埃的非晶硅膜,典型的厚度为400-1000埃。本实施例,采用等离子CV-D,通过在200-400℃,典型的为250-350℃的衬底温度下,分解硅烷,形成非晶硅膜。
此后,通过使它所谓固相生长,多晶化非晶硅膜(即把它转变成多晶硅膜)。利用已受理的日本未审查的专利特开.平6-232059,平6-244103,平6-244104所述的本发明,在低于600℃的温度,对它进行处理。除非在固相生长前,某种程度地把氢从非晶硅中除掉,则为固相生长进行加热时,氢从非晶硅膜中突然地释放出来,在最坏的情况下产生空穴。因此,在固相生长之前,要增加除氢步骤,温度为400-500℃(典型的为400℃),时间为0.5-5小时(通常为1-2小时),气氛为氮气气氛。
固态生长,除了诸如石英衬底401有高变形温度以外,存在所谓的皱缩问题(衬底401收缩)。预先制备高初始温度,然后在低于初始温度的情况下进行下步工艺,可能某种程度地避免皱缩问题。即进行固相生长时,必须采取某些措施,以便防止产生皱缩问题。
利用上述三项公开发明,可在低于600℃,例如500℃,下进行固相生长。不利用这种方法,固态生长大约进行4-24小时,温度为600℃。
通常固相生长,把有源层403中的非晶硅转变成多晶硅。多晶硅有源层403包含少量的非晶硅成分,利用激光辐照有源层403,可有效地晶化非晶硅成分。在除氢步骤以后,用激光辐照代替通过加热进行固相生长,可有效地使有源层403中的非晶硅转变成多晶硅。关于激光的条件,例如,激光光源是关于ArF,ArCl,KrF,KrCl,XeF,XeCl等的准分子激光器。激光能量(强度)是在激光器主体出口处为400-1000mJ/cm2,在衬底401的表面(由光学系统成形的)为150-500mJ/cm2。这些能量数值(强度)是每回发射激光的次数。衬底温度是室温到300℃。辐照的重复频率是20-100MHz激光束相对于衬底401的移动速度是1-5mm/sec,其中,移动激光束以便扫描衬底401,或者移动装有衬底的工作台。在本实施例中,利用KrF准分子激光器,激光器光强,在激光器主体的出口处是550-650mJ/cm2,在衬底401处是180-230mJ/cm2。重复辐照的频率是35-45Hz。装有衬底401的移动速度是2.0-3.0mm/sec。
图5C表示在衬底膜401上形成底402,在底膜402上形成有源层403,把403中的非晶硅转变成多晶硅,然后,把有源层403刻成岛状404。利用公知光刻技术形成光致抗蚀剂图形,然后利用光致蚀剂图形作为掩模,腐蚀有源层403,最后形成岛状区404。采用湿腐蚀,干腐蚀等方法进行上述的腐蚀。本实施例采用使用CF4和O2的平行板高频等离子处理设备。
图5D表示,形成栅绝缘膜405,用它覆盖岛状区404。本发明用于形成栅绝缘膜405,因为岛状区404和栅绝缘膜405之间的界面严重地影响最后形成的TFT的特性。众所周知,用加入硫酸的过氧化氢溶液进行清洁处理或者用氧等离子体进行干腐蚀灰化处理,可脱去诸如碳的的有机物质。但是本发明人经过研究发现,脱碳不那么简单。
就污染碳的源来说,因为在光刻工艺中用于形成所需的图形的光致抗蚀剂是光敏有机物质,可以引起碳的污染。薄膜工艺是目前制造半导体器件中必须的工艺,该工艺绝对需要真空设备。用于为设备抽真空的某些类型的真空泵仍然利用油,这可能引起碳的污染。污染碳的其它来源包括,来自衬底载体,清洁房间的地板和墙壁材料中的蒸汽,其中衬底载体是由聚四氟乙烯(PFA),聚丙烯(PP),聚偏氟乙烯(PVDF)、三氟乙烯树脂(ECTFE),四氟乙烯树脂(ETFE),聚乙烯(PE)组成的。
常规方法是,在光刻步骤之前进行干式灰化处理,在每步骤之前立刻利用过氧化氢加硫酸(1∶1)的溶液(加热80℃)(以后称为湿式灰化处理)除去有机物质,然后立刻进行下步工艺。
虽然以前已了解,几乎所有的有机物质都能利用干式灰化或湿式灰化方法除掉,但是利用公知的XPS技术对碳污染分析,证明只有C-C键几乎没被除掉。
氢原子团或氢离子可有效地除掉与衬底表面相连的C-C单键。
虽然只利用氢原子团是足够的,但是发现,加入氧原子团,臭氧或氧离子子能增强除掉C-C单键的效果。这样考虑是因为氢原子团和氧原子团等与碳键发生反应,形成诸如CHx,COx,CoH,即气化碳。
为了产生氢原子团或氢离子,例如,把衬底放置在平行板等离子设备中。在这种情况下,最好把衬底放置在阳极边上,以便防止衬底受到等离子的损伤。最好改装设备其能够加热衬底,由于加热增加移动可以加强除碳效果。
通过把氢输入到设备中,并且在平行板之间加高频,产生等离子。在等离子体中产生高度活化的中性氢原子团,以及氢离子和电子。增加高频功率,则有效地增加氢原子团和离子的数量,利用电子回旋加速器和微波共振,可使其进一步增加。产生的氢原子团和离子和衬底表面进行反应,并且和C-C单键反应,因此,除掉碳键。由泵排出产生的碳气。
为了清洁处理岛404的表面,首先把衬底浸入硫酸和过氧化氢溶液的混合液(1∶1;80℃)中,时间为5-10分钟,除去污染碳,然后,把衬底浸入盐酸和过氧化氢溶液的混合溶液(1∶1,80℃)中,时间为5-10分钟,除掉重金属。这种清洁处理,如果对衬底401等造成有害影响,则可省去。为了从岛表面至少部分地除掉包含碳单键的污染碳,则把衬底放置在等离子设备中。
因为等离子处理设备,也用于在清洁处理岛404后形成栅绝缘膜405,希望如此构成该设备,以便在同一反应室中能形成栅绝缘膜405和至少部分地除去包含碳单键的污染碳。例如,作为形成栅绝缘405的设备和用于至少部分地除掉包含碳单键的污染碳的等离子处理设备,是平行板等离子设备,利用电子回旋加速器谐振的微波等离子CVD设备,电极设备在石英反应室周围的无电极放电等离子CVD设备。本实施例中采用平行板等离子CVD设备。
为了至少部分地除掉包含碳单键的碳,有效地进行等离子处理,把具有岛404的衬底401放置在平行板等离子处理设备的阳极侧面上。阳极和阴极(平行板电极)板之间的距离调节到30-150mm。典型的距离为70mm。如果适当地选择条件,即使它们之间距离大于或小于70mm,就不会产生严重问题。把气体通过阳极输入反应空间,该阴极构成为喷射气体的喷头。用扩散板或诸如此类的部件装配气体喷头,使气体均匀地输入到衬底401的表面。以相同量输入氢和氧。气体流速设定成使等离子处理气压变成50m乇到10乇,气体停留时间小于5秒,这取决于处理室的尺寸。设定气体停留时间小于5秒,便于很快地排出要被除掉的碳,因为有时汽化的碳会再次搭接。但是,如果停留时间小于大约10秒的时候,不会产生上述问题。例如,如果以316 SCCM把气体输入处理室40升,压强为1乇,则停留时间为大约10秒,因为,停留时间等于处理室容积和气压的乘积除以气体流速。因此,为了减少停留时间,需要减少处理室的容积或压强,或增加气体流速。
本实施例,分别设定处理室的容积为40升,处理压强为1乇,氧流速为40SCCM,氢流量为40SCCM,则设定气体停留时间为大约4秒。
利用高频放电产生等离子。设定高频功率的频率为10-100MHz,本实施例为20MHz。施加功率为0.1-2w/cm2。如果功率低于0.1w/cm2,虽然可能除掉碳,但时间太长。另一方面,如果功率大于2w/cm2,则要加热电极。由于需要冷却电极,设备变大而且成本高。本实施例,施加0.8w/cm2功率。加热衬底的典型温度为200-500℃,能改善除碳能力。虽然在室温到200℃可获得充分的除碳效果,但是把衬底温度加热到300-400℃,这与后面形成栅绝缘膜405的衬底温度相同。等离子处理时间大约1-10秒。等离子处理时间,随诸如气体停留时间,高频的频率,施加功率,衬底温度的各种条件的不同而有很大变化。研究制造工艺的时间,不应太长。本实施例,设定2分钟。
可以利用H2O产生氢原子团等和氧原子团等代替使用氢和氧。用几种方法输入水H2O。一种方法是用诸如He,Ne或Ar惰性气体发泡容器中的H2O,然后把获得的H2O汽输送到处理室中。另一种方法是通过加热装水容器到处理室的整个管道,增加水的蒸汽压,把H2O汽输送到处理室。利用等离子分解输入的H2O,同时产生氢离子,氢原子团,氧离子,氧原子团和臭氧。用500-1000SCCM的He运载气体发泡容器中的水,获得类似的效果。
在至少部分地除掉包含碳单键的碳污染后,利用TEOS、氧和氢形成栅绝缘膜405。利用诸如OMCTS(亚辛基环四硅氧烷)和HMDS(六甲基二硅氧烷)代替TEOS也有效。设定衬底温度为200-500℃,典型的为300-400℃。设定成膜压力为0.1-2乇,典型的为0.5-1乇。设定等离子功率源的频率为5-50MHz典型的为20MHz,其功率为0.1-2w/cm2、典型的为0.3-0.5w/cm2。设定TEOS与氧的比率为1∶5-20,典型的为1∶10,对氢的含量,设定TEOS和氢的含量比率为1∶0.01-1,典型的为1∶0.5。形成栅绝缘膜405,厚度为250-2000埃,典型的为500-1200埃。
在成膜期间,利用氢原子团的氢离子,把碳汽化成CHz和COH,而除掉碳。在完成上述步骤后,利用SIMS测量栅绝缘膜405中的碳含量。在形成作为栅绝缘膜405的氧化膜处,没有加入氢,在深度方向分布的最小含碳量是1×1019cm-3,形成的作为绝缘栅氧化膜405加入了氢的氧化膜中相应的含碳量为2×1018到7×1018cm-3
在栅绝缘膜405上面,形成栅电极膜,然后把它形成栅电极406。形成用于源和漏407的杂质区,如图5E所示。更具体地说,在覆盖Al导电膜,掺杂多晶硅,Cr,Ta,或诸如此类膜后,利用光刻工艺,对光致抗蚀剂膜构图。利用光致抗蚀剂图形作掩模,把导电膜腐蚀成所要求的图形,形成栅电极406。本实施例,,利用溅射形成Al膜。以后,进行离子注入,剂量为5×1015cm-2,用磷掺杂岛状区404形成源区和漏区407。代替离子注入,用等离子掺杂注入PHx。注入后,把衬底加热到600℃,时间为5小时,以激活注入离子。淀积掺杂的多晶硅膜,以便利用多晶硅而不是利用金属形成栅电极。也可以淀积非掺杂的多晶硅,然后通过离子注入或等离子掺杂对多晶硅进行掺杂,以便形成源区和漏区407。
接着,形成层间绝缘层408,然后形成栅电极406的接触电极409,源区和漏区的接触电极410。这样,完成了顶部一栅多晶硅薄膜晶体管,如图5F所示。本发明用于形成层间绝缘膜408。在用常压CVD形成层间绝缘膜408的过程中,在成膜期间利用催化方法形成的氢原子团脱碳,并在成膜期间利用氢原子团。本发明采用利用有机硅烷的常压CVD方法,是有效的。按照本发明,采用常压CVD形成膜,使催化方法,把氢转化成氢原子团。例如,催化剂包括如铂,钯,还原镍,钴,钛,钒和钽的第3过渡金属;诸如铝,镍,铂-硅,铂-铬,铂-铼,镍-钼,钴-钼的金属化合物;上述任一种过渡金属和氧化铝或硅胶的混合物或化合物。此外,可能使用钴,钌,钯,镍等的阮内催化剂,以及这些阮内催化剂的任一种和和碳的混合物或化合物。以颗粒状,网眼状,粉末状的状态使用上述催化剂。低熔点的和显著增加反应物质初始吸收率的材料,包括容易气化的诸如钠的碱金属材料,不适合用作催化剂44。例如,上述不适合的是铜和钨。实验证明,催化剂在高于反应物质分解温度时,其性能显著地降低。使用催化剂的数量和密度取决于它和反应气体的有效接触面积,需要时可以进行调整。把氢通过加热的催化剂时产生活化的氢原子团。把氧通过臭氧发生器时,产生活化的臭氧。
在常压CVD设备中,加热衬底,用诸如氮的运载气体,发泡装在容器中的TEOS,把TEOS输入到设备中。把氧通过臭氧发生器后输入到设备中。把氢通过催化剂后输入到设备中去。把所有气体通过具有扩散结构的气体喷嘴,以混合状态输送到衬底上。把氢按照氢与N2的比例为0.01∶1的混合气体形成输入,则获得非常好的效果。把TEOS加热使其直接气化,把氢按照氢与TEOS为0.1∶1的比例输入,则增强获得的效果。本实施例,把氢通过500℃的Ni催化剂,由氢产生氢原子团。设定氢与运载气体N2含量的比为0.3-0.8∶1。在350℃加热衬底。形成层间绝缘膜,厚度为7000-15000埃,典型的为9000-12000埃。
虽然在本实施例中,底膜402,栅绝缘膜405,层同绝缘膜408都是由有机硅烷形成的氧化膜,但是只有这些膜之一是按照本实施例形成的氧化膜。也就是说,因为在本实施例中,在利用有机硅烷型气体形成膜期间,排除碳,形成氧化膜,不是利用有机硅烷形成膜时,不必利用本实施例。除了含碳量小以外的膜特性是重要时,不要使用按照本发明形成的氧化膜。例如,只有底膜402,层间绝缘膜408可能是按照本发明形成的氧化膜,而栅氧化膜是利用硅烷和氧形成的氧化膜或是热氧化膜。其它的复合氧化膜也是能采用本发明方法形成的。
利用本实施例完成的TFT具有沟道长8μm,沟道宽100μm。就其特性而言,N-型沟道TFT的迁多率为153cm2/Vs,P-沟道TFT的迁移率为119cm2/Vs,完全没有观察到Kink(扭曲)效应。在把TFT放在150℃的大气下和60%RH下12小时,没有产生抗湿变化。如果TFTs象普通情况一样设有SiNx保护膜,可进一步改善抗湿性能。这样,和没有使用本实施例方法制造的氧化膜相比,提高了TFT的特性和可靠性,因为在全部底膜402,栅绝缘膜405,层间绝缘膜408中大大地减少了含碳量。
                  实施例6
本实施例涉及在利用多晶硅制造薄膜晶体管工艺中,形成作为底膜402的掺氮氧化膜,栅绝缘膜405,层间绝缘膜408,如图5A-5F所示。下面参考图5A-5F叙述本实施例的制造工艺。但是,与第5实施例相同的步骤,不再重叙。
利用平行板等离子CVD设备和TEOS气体,但不掺氢,形成底膜402。也可有效地利用其它类型有机硅烷,诸如OMCTS(亚辛基环四硅氧烷)和HMDS(六甲基二硅氧烷)代替TEOS。设定衬底温度为200-500℃,典型的为400℃。设定成膜气压力为0.1-2乇,典型的为1乇。等离子功率源的频率为5-50MHz,典型的为20MHz,其功率为0.1-2w/cm2,典型的为0.3w/cm2。TEOS与NO的比率为1∶5-20,典型的为1∶5。关于输入氢的数量是,设定TEOS与氢的比率为1∶0.1-1,典型的为1∶0.5。形成500-3000埃的底膜402,典型的厚度为2000埃。
如果利用有机硅烷形成氧化膜,采用任何一种等离子CVD方法,甚至不是平行板等离子CVD方法通过氢原子团和氢离子,在形成底膜402期间,可以有效地排除碳。
在利用常压CVD形成底膜402的过程中,在成膜期间,利用催化方法产生氢原子团,由它可以脱碳,并且在成膜期间,利用氢原子团。本发明在常压CVD方法中,采用有机硅烷也有效果。
按照本发明形成底膜402,可以防止或减Kink效应出现,因而保证了可靠性。如果底膜402是不含杂质的SiOx膜,由于产生Kink(扭曲)效应使可靠性下降,需要阻挡来自衬底401的杂质扩散。
在常压CVD设备中,加热衬底,用诸如氧化氮的运载气体,发泡装在容器中的TEOS,把TEOS输入设备中。把氧通过臭氧发生器输入设备中。把氢通过催化剂输入设备中。把所有气体具有分散结构的气体喷嘴,以混合气体输送到衬底上。
在利用常压CVD方法,只用TEOS和臭氧形成膜的过程中,由于衬底表面是亲水性和或是疏水性的,则形成的氧化膜大大地不同。在疏水性衬底上可以形成清洁的膜,在亲水性表面上形成异常膜或减少成膜速率。
涉及利用氢原子团的本发明,不仅能脱碳,而且防止异常膜的形成或成膜速率减少,因为活化的氢限定了衬底表面,由此产生疏水性表面。特别是,当输入的氢和氧化氮的比率为0.01比1时,该效果是显著的。当通过加热使TEOS直接气化,输入氢和TEOS比率为0.1∶1时,则增强该效果。
图5D表示形成栅绝级膜405覆盖岛区404的情况。本发明用于形成栅绝缘膜405,因为岛区404和栅绝缘膜405之间的界面大大地影响最后形成的TFT的特性。因此,在形成栅绝缘膜405之前,清洁处理岛状区404是很重要的。众所周知,用硫酸加过氧化氢混合溶液清洁处理或者用氧等离子干腐蚀灰化处理,可以排除诸如碳的有机物质。但是本发明人研究后发现,排除碳不是那么简单。
在至少部分地除掉包括碳单键的碳污染后,利用作有机硅烷型气体源的TEOS和作为氧化氮的N2O形成栅绝缘膜405。可以有效地利用诸如OMFTS(亚辛基环四硅氧烷)和HMDS(六甲基二硅氧烷)代替TEOS。设定衬底温度为200-500℃,典型的为300-400℃。设定成膜气压强为0.1-2乇,典型的为0.5-1乇。设定等离子功率源的频率为5-50MHz,典型的为20MHz,其功率为0.1-2w/cm2,典型的0.3-0.5w/cm2
设定TEOS与N2O的比率为1∶5-20,典型的为1∶10。至于氢的含量,设定TEOS与氢的比率为1∶0.1-1,典型值为1∶0.5。形成栅绝缘膜405厚250-2,000埃,典型值为500-1,200埃。在成膜期间,利用氢原子团和氢离子把碳气化成CHx和COH,然后从反应室中排出。
在完成上述步骤后,用SIMS测量栅绝缘膜405中的含碳量。但是作为栅绝缘膜405而形成的氧化膜没有掺氢,其在深度方向分布的最小含碳量是1×1019cm-3,掺氢形成的氧化膜作为栅绝缘膜405其相应的含碳量为2×1018到7×1018cm-3
在形成层间绝缘膜408的过程中,利用催化剂方法,产生氢原子团,在成膜期间排除碳,并且在成膜期间利用氢原子团。本发明采用常压CVD方法利用有机硅烷是有效的。
按照本发明利用常压CVD方法形成膜时,利用催化剂方法氢氢转变成氢原子团。把氢通过加热的催化剂,产生活化的氢原子团。把氧通过臭氧发生器产生活化的臭氧。
在常压CVD设备中,加热衬底,用诸如N2O的氧化氮发泡装在容器中的TEOS、把TEOS输入设备中。把氧通过臭氧发生器后输入设备中。把氢通过催化剂后输入设备中。所有的气体通过具有扩散结构的喷嘴以混合气体的状态输入设备中。以氢含量怀氢化氮含为0.01∶1的比率把氢输入设备中是非常有效的。利用加热的方法直接气化TEOS,把氢以氢含量与TEOS含量比为0.1∶1的比率输入设备中,则增加获得的效果。本实施例中,使氢通过500℃的镍催化剂产生氢原子团。设定氢的含量为0.3-0.8倍氧化氮的含量。设定衬底温度为350℃。于是,形成层间氧化408,厚度为7000-15000埃,典型值为9000-12000埃。
虽然,本实施例利用有机硅烷和氮形成的氧化膜是底膜402,栅绝缘膜405,层间绝缘膜408,但是只有其中之一的膜是按本实施例形成的氧化膜。即,因为本实施例,在利用有机硅烷型气体形成膜期间,排除了碳,形成的氧化膜具有阻挡碱金属的作用,不利用有机硅烷形成的膜不必利用本实施例。除了含碳量少以外的其它膜特性重要时,不要采用本发明的氧化层。例如,只有底膜402和层间绝缘膜408可能是由本发明方法形成的氧化膜,而栅绝缘膜是热氧化膜或是由硅烷和氧形成的氧化膜。其它各种复合氧化膜也可能是按照本发明方法形成的。
按照本实施例完成的TFT其沟道长为8μm,沟道宽为100μm。就特性而言,N沟道TFT迁移率为153cm2/Vs,P沟道TFT的迁移率为119cm2/Vs,而且完全没有观察到Kink效应。在把TFT放150℃大气中,温度为60% RH,经过12小时,没有发现抗湿性的变化。如果TFT象普通情况一样,设有SiNx保护膜,可进一步改善其抗湿性能。于是,改善了TFT的特性和可靠性,因为和没采用本实施例方法形成的氧化膜相比,在全部的底膜402,栅绝缘膜405,层间绝缘膜408中大大地减少了含碳量和改善了对诸如碱金属的杂质的阻挡作用。
                  实施例7
本实施例涉及按照本发明形成绝缘膜的情况,它用于埋置和平面化并排设置的相隔一定间距的布线。
图6表示如何埋置金属布线的情况。
在半导体衬底51上形成热氧化膜52,在热氧化膜52上形成金属布线53,在金属布线53上形成掩埋绝缘膜54。虽然主要采用单晶硅片作为半导体衬底51,它也可以是诸如GaAs衬底的化合物半导体衬底,或多晶硅半导体衬底。本实施例采用(100)平面的P-型硅片。热氧化52可以是由湿氧氧化形成的膜也可是由于氧氧化形成的膜。本实施例采用于氧氧化,在半导体衬底51的整个表面上,形成厚度为500埃热氧化膜52。为了在其上形成金属布线53,采用溅射方法淀积Al膜。利用含2%硅的Al靶溅射Al,以便防止产生小丘。用各向异性的干腐蚀方法把Al膜腐蚀成形,并使其成为厚度和高度均为1μm的(图形的纵横比率:1)金属布线53。布线间隔变化范围是0.3-1.0μm。
本发明用于形成绝缘膜54。按照本发明利用有机硅烷形成膜的方法,包括等离子CVD方法和常压CVD方法,本实施例采用常压CVD方法形成绝缘膜54。在利用常压CVD方法形成绝缘膜54的过程中,在成膜期间,利用催化法产生氢原子团,由其排除碳,并且在成膜期间也利用氢原子团。本发明利用常压CVD方法,采用有机硅烷也有效。在按照本发明利用常压CVD形成膜时,把氢转变成氢原子团,例如,催化剂包括诸如铂,钯,还原镍,钴,钛,钒,钽的第3过渡金属;诸如铝,镍,铂-硅,铂-氯,铂-铼,镍-钼,钴-钼的金属化合物;以及上述任一种过渡金属和氧化铝或硅胶的混合物或化合物;此外,使用钴,钌,钯,镍等的阮内催化剂,及这些阮内催化剂中的任一种和碳的混合物或化合物。使用颗粒状,网眼状和粉末状的上述催化剂。低熔点的和显著增加反应物质初始吸收率的材料,包含容易气化的诸如钠的碱金属材料,不适合用作催化剂。例如,上述不适合的材料是铜和钨。实验证明,催化剂在高于反应物质分解温度的温度下,其性能显著地降低。使用催化剂的数量和密度取决于它和反应气体的有效接触面积,需要时可以进行调整。氢通过加热的催化剂时,产生活化的氢原子团。氧通过臭氧发生器时,产生活化的臭氧。在常压CVD设备中,加热衬底,用诸如氮的运载气体发泡装入容器中的TEOS,把TEOS输入设备中。氧通过臭氧发生器后输入设备中。氢通过催化剂后输入设备中。将全部气体通过具有分散结构的气体喷嘴、以混合气体的状态输入设备中。
在采用常压CVD,输入TEOS作有机硅完和臭氧形成膜的过程中,由于衬底表面是亲水性的或疏水性的,使形成的氧化膜大大地不同。在疏水性表面的衬底上形成清洁的膜,在亲水性表面上形成异常膜或减少成膜速率。在形成绝缘膜54时,在金属布线53上形成绝缘膜54时,没有发生任何问题。但是,因为热氧化膜52的表面是亲水性的,除非在成膜初始阶段利用低密度的臭氧,然后再利用高密度的臭氧,否则在通常情况下可能形成异常的膜。也就是说,通常,在至少部分亲水性表面形成膜时,会发生问题。相反,利用氢原子团的本发明,不仅能有除碳效果,而且还能防止异常膜的产生及减少成膜速率因为活化氢限定了衬底表面,由此产生疏水性表面。因此,按照本发明,在成膜的初始阶段到终止阶段臭氧密度没有改变的情况下进行成膜,并且形成的厚度变化很小。本实施例,在臭氧密度为1.5-3%的情况下进行成膜。
利用含氟的有机硅烷,例如FSi(OC2H6)4代替TEOS,形成含氟的SiOx膜,其含碳量比不含氟的SiOx含碳量低,介电常数小。因此,可能减少LSI布线之间的横向电容。当输入氢的量和运载气体N2的量之比为0.01∶1时,这些效果是显著的。通过加热,直接气化TEOS,当输入氢的量和TEOS的量之比为0.1∶1时,则增强这些效果。
在按本实施例制成的结构中,当布线间距是0.5-1μm时,利用绝缘膜54全掩埋金属布线53。按照常规方法,不输入氢,掩埋过程是在成膜初始阶段利用低密度的臭氧(小于1%),然后增加臭氧密度。但是,在成膜开始阶段利用高密度臭氧时(大于1%),则在热氧化膜52上面形成异常膜,不能进行掩埋工艺。当布线间距是0.3-0.5μm,完全不能进行掩埋工艺(当间距接近0.3μm时,性能变坏),与利用本发明无关。这表示,作为膜形成方法的常压CVD方法受到限制。
在把制成膜放在60℃的大气中,湿度为80%RH,经过50小时后,对制成膜的吸水性进行评价。对按照本发明形成的膜进行检测湿气吸收情况时,没有发现红外吸收模式。相反,对没有按照本发明形成的所有膜进行检测,却在3660cm-1附近检测到Si-OH红外吸收模式。
                  实施例8
本实施例涉及按照本发明形成绝缘膜的情况,它用于掩埋和平面化并排设置的相隔一定距离的布线。本实施例利用通氮形成的绝缘膜54是氧化膜。
图6表示如何掩埋金属布线的情况。如第7实施例情况那样,在半导体衬底51上形成大约500埃厚的热氧化52,然后在其上形成金属布线53。通过各向异性干腐蚀,把铝(Al)膜构图,形成金属布线53,并使其布线成为厚和高为1μm(纵横比:1),布线间隔范围在0.3-1.0μm。
本发明用于形成绝缘膜54。按照本发明,利用有机硅烷成膜方法,包括等离子CVD方法和常压CVD方法,本实施例采用常压CVD方法形成绝缘膜54。在利用常压CVD方法形成绝缘膜54过程中,在成膜期间,利用催化法产生氢原子团,进行脱碳,并且在成膜期间也利用氢原子团。本发明采用常压CVD方法利用有机硅烷是有效的。
在按照本发明利用常压CVD方法形成膜时,象第7实施例一样,利用催化法把氢转变成氢原子团。
把氢通过加热的催化剂产生活化的氢原子团。把氧通过臭氧发生器产生活化的臭氧。在常压CVD设备中加热衬底,用N2O发泡装在容器中的HMDS。把氧通过臭氧发生器,使氧输入设备中。把氢通过催化剂后输入到设备中。把所有的气体通过具有扩散结构的喷嘴,以混合气体状态输入设备中。
在利用常压CVD方法,输入HMDS作为有机硅烷和输入臭氧形成膜时,由于衬底表面是亲水性的或疏水性的表面,使形成的氧化膜有很大差别。在疏水性表面的衬底上可能形成清洁的膜,在亲水性表面形成异常膜,或降低成膜速率。
在形成绝缘膜54的情况中,在金属布线53上形成绝缘膜54时不产生任何问题。但是,因为热氧化膜52是亲水性的膜,所以除非在成膜的初始阶段利用低密度的臭氧,然后再利用高密度的臭氧,否则在通常情况可能形成异常的膜。即,通常,在至少部分亲水性表面形成膜时会产生问题。相反,本发明由于利用氢原子团,不仅可以脱碳,而且还防止了异常膜形成不成膜速率的减少,因为活化的氢限定了衬底表面,由此产生疏水性表面。因此,本发明形成膜不改变成膜的初始阶段到最终阶段的臭氧密度,提供的厚度很少变化。本实施例利用1.5-3%的臭氧密度形成膜。
利用诸如F Si(OC2H6)4含氟的有机硅烷代替TEOS、可能形成掺F的SiOx,和没掺杂的SiOx相比较,具有含碳量低和介电常数小的优点。因此,可能减少LSI布线之间的横向电容。当引入氢的量与氧化氮的量之比为0.01∶1时,这些效果显著。通过加热直接气化诸如HMOS的有机硅烷,当输入氢的量与TEOS的量之比为0.1∶1时,会增强这些效果。但是,在这种情况,应当注意,不要过多地增加诸如N2O的氧化氮量,以避免增加介电常数。
按本实施例制成的结构中,当布线间隔是0.5-1μm时,由绝缘膜54完全地掩埋金属布线53。按照常规方法,不输入氢,当成膜初始时期利用低密度臭氧(小于1%),然后增加臭氧密度,则是全部掩埋。但是,在成膜初始阶段利用高密度臭氧(大于1%),则在热氧化膜52上形成异常膜,不能进行掩埋工艺。当布线间距是0.3-0.5μm时,完全不能进行掩埋工艺(当间距接近0.3μm时,性能变坏),不利用本发明。这表明作为膜形成方法的常压CVD方法受到限制。
把制成膜放在60℃的大气中,湿度为80%RH经过50小时,对制成膜的吸湿性进行测定。按照本发明形成的膜中,经检测没有发现因吸收湿气而产生红外吸收模式。相反,在没有利用本发明形成的全部膜中,在3660cm-1附近检测到Si-OH的红外吸收模式。
本发明提供半导体器件制造方法,其形成台阶覆盖膜优良的膜,含碳量比常规膜低,吸湿性低,因此提高了半导体器件的性能和可靠性。本发明还提供了实施上述制造方法的半导体器件的制造设备。利用该设备,可能利用有机硅烷型气体源在成膜期间排除碳。
此外,利用按照本发明形成的氧化膜,可能获得优良的台阶覆盖膜,比常规方法形成的膜含碳量低,吸湿气低,优良的阻挡诸如碱金属杂质的性能,及其它优良的性能,由此可能改善半导体器件的性能和可靠性。
本发明还提供用上述制造方法制造半导体器件的设备,用于改善半导体器件的性能和可靠性。利用该装置,可能在成膜期间利用有机硅烷型气体源排除碳。

Claims (43)

1.一种制造半导体器件的方法,包括利用等离子CVD或常压CVD在加热衬底上形成氧化膜的步骤,所利用的气体包括有机硅烷型气体源和氧或包括由氧产生的臭氧的气体源,其中:
通过在成膜期间输入氢,然后把氢转变成氢原子团,来形成氧化膜;或者
通过把氢转变成氢原子团,然后在形成氧化膜期间输入氢原子团,来形成氧化膜。
2.按照权利要求1的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
3.按照权利要求1的方法,其特征是,有机硅烷型气体源是包括氟的材料。
4.按照权利要求1的方法,其特征是,利用常压CVD在加热衬底的至少部分亲水性表面上形成氧化膜,而臭氧密度大于1%。
5.按照权利要求4的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
6.按照权利要求4的方法,其特征是,有机硅烷型气体源是含氟的材料。
7.按照权利要求1的方法,其特征是,用等离子CVD形成氧化膜;
所述氧的含量比有机硅烷型气体源的含量小15倍;以及
在成膜期间,按氢的量比有机硅烷型气体源的量不小于0.01倍加入氢,然后把氢转变成氢原子团,以形成氧化膜。
8.按照权利要求7的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
9.按照权利要求7的方法,其特征是,有机硅烷型气体源是含氟的材料。
10.按照权利要求1的方法,其特征是,利用常压CVD形成氧化膜,以及
在成膜期间,以不小于0.1倍有机硅烷型气体源的氢含量加入氢,然后把氢转变成氢原子团,由此形成氧化膜。
11.按照权利要求10的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
12.按照权利要求10的方法,其特征是,有机硅烷型气体源是含氟的材料。
13.按照权利要求1的方法,其特征是,所述衬底包括玻璃,在玻璃衬底上形成薄膜晶体管的工艺中,在半导体层的下面变成有源层,由此形成氧化膜。
14.按照权利要求13的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
15.按照权利要求13的方法,其特征是,有机硅烷型气体源是含氟的材料。
16.按照权利要求1的方法,其特征是,利用等离子CVD在玻璃衬底上形成薄膜晶体管的工艺中,在半导体层的上面变成有源区,由此在加热的玻璃衬底上形成氧化膜。
17.按照权利要求16的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
18.按照权利要求16的方法,其特征是,有机硅烷型气体源是含氟的材料。
19.按照权利要求1的方法,其特征是,所述衬底包括玻璃,以及在含玻璃的衬底上形成薄膜晶体管的工艺中,在一个栅绝缘膜的上面形成氧化膜。
20.按照权利要求19的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
21.按照权利要求19的方法,其特征是,有机硅烷型气体源是含氟的材料。
22.一种制造半导体器件的方法,包括利用等离子CVD或常压CVD在加热衬底上形成氧化膜的步骤,所用的气体包括有机硅烷型气体源和氧或者由氧产生的臭氧的气体源,其中:
通过在成膜期间输入H2O,然后由所述H2O产生氢原子团,由此形成氧化膜。
23.按照权利要求22的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
24.按照权利要求22的方法,其特征是,有机硅烷型气体源是包括氟的材料。
25.按照权利要求22的方法,其特征是,用等离子CVD形成氧化膜;
所述氧的含量比有机硅烷型气体源的含量小15倍;以及
用运载气体发泡H2O,其中H2O的含量与有机硅烷型气体源之比为0.1-1倍,在形成氧化膜期间加入H2O,然后由H2O产生氢原子团,由此形成氧化膜。
26.按照权利要求25的方法,其特征是,有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
27.按照权利要求25的方法,其特征是,有机硅烷型气体源是含氟的材料。
28.一种制造半导体器件的方法,包括以下步骤:
将一个衬底放入反应室中;
使氢通过加热的催化剂以形成氢原子团;
将有机硅烷型气体源和氧化气体及所述氢原子团以有机硅烷型气体源∶氧化气体∶氢原子团=1∶5-20∶0.01-1的流率按SCCM引入所述反应室;以及
利用所述有机硅烷型气体源和氧化气体及所述氢原子团在所述衬底上形成氧化膜。
29.一种制造半导体器件的方法,包括以下步骤:
将一个衬底放入反应室中;
使氢通过加热的催化剂以形成氢原子团;
将有机硅烷型气体源和氧化气体及所述氢原子团以有机硅烷型气体源∶氧化气体∶氢原子团=1∶5-20∶0.01-1的流率按SCCM引入所述反应室;
通过常压CVD,利用所述有机硅烷型气体源和氧化气体及所述氢原子团在所述衬底上形成内涂覆的氧化膜;以及
在所述内涂覆的氧化膜上形成半导体层,以成为薄膜晶体管的有源层。
30.一种制造半导体器件的方法,包括以下步骤:
在一衬底上形成半导体层,以成为薄膜晶体管的有源层;
将所述衬底上形成的半导体层放入反应室中;
使氢通过加热的催化剂以形成氢原子团;
将有机硅烷气体源和氧化气体及所述氢原子团以有机硅烷型气体源∶氧化气体∶氢原子团=1∶5-20∶0.01-1的流率按SCCM引入所述反应室;以及
通过常压CVD,利用所述有机硅烷型气体源和氧化气体及所述氢原子团在所述半导体层上形成氧化膜作为层间绝缘膜。
31.按照权利要求28的方法,其特征是,所述衬底是被加热的。
32.按照权利要求29的方法,其特征是,所述衬底是被加热的。
33.按照权利要求30的方法,其特征是,所述衬底是被加热的。
34.按照权利要求28的方法,其特征是,所述衬底包括玻璃。
35.按照权利要求29的方法,其特征是,所述衬底包括玻璃。
36.按照权利要求28的方法,其特征是,所述有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
37.按照权利要求28的方法,其特征是,所述有机硅烷型气体源是包括氟的材料。
38.按照权利要求29的方法,其特征是,所述有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
39.按照权利要求29的方法,其特征是,所述有机硅烷型气体源是包括氟的材料。
40.按照权利要求30的方法,其特征是,所述有机硅烷型气体源是亚硅酸乙酯、亚辛基环四硅氧烷、六甲基二硅氧烷之一。
41.按照权利要求30的方法,其特征是,所述有机硅烷型气体源是包括氟的材料。
42.一种用于制造半导体器件的等离子CVD设备,包括:
真空处理室;
平行板电极;
等离子功率源,通过匹配装置和电极中第一电极相连;
能够被加热的衬底装片器,用于把具有成膜表面的衬底放在电极中的第2电极上面;
泵,通过气流控制阀和真空处理室相连;
其中,把有机硅烷型气体源和氧或者部分地转变成臭氧的氧,通过各自流量控制器从第1电极输入到真空处理室;
利用通过流量控制器的运载气体,鼓泡容器中的水,把H2O和运载气体一起,与有机硅烷型气体源独立无关地输入真空处理室中。
43.一种用于制造半导体器件的常压CVD设备,包括:
一个能被加热的装片器,用于装载有成膜表面的衬底;
一个气体喷嘴,它与衬底的成膜表面相对设置;
其中有机硅烷型气体源和运载气体,通过气体流量计被输送到喷嘴;
把氧通过流量控制器输送到喷嘴,使所述的部分氧转变成臭氧,然后输送到气体喷嘴;
把氢通过流量控制器送到催化剂,使部分氢转变成氢原子团,然后把氢原子团送到气体喷嘴。
CN96122468A 1995-09-08 1996-09-07 制造半导体器件的方法和设备 Expired - Fee Related CN1118867C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP256969/1995 1995-09-08
JP256969/95 1995-09-08
JP25696995A JP3488324B2 (ja) 1995-09-08 1995-09-08 半導体装置の製造方法および半導体装置の製造装置
JP26251995A JP3672639B2 (ja) 1995-09-16 1995-09-16 半導体装置の作製方法
JP262519/1995 1995-09-16
JP262519/95 1995-09-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNB021271089A Division CN1311533C (zh) 1995-09-08 1996-09-07 制造半导体器件的方法和设备

Publications (2)

Publication Number Publication Date
CN1156897A CN1156897A (zh) 1997-08-13
CN1118867C true CN1118867C (zh) 2003-08-20

Family

ID=26542992

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB021271089A Expired - Fee Related CN1311533C (zh) 1995-09-08 1996-09-07 制造半导体器件的方法和设备
CN96122468A Expired - Fee Related CN1118867C (zh) 1995-09-08 1996-09-07 制造半导体器件的方法和设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB021271089A Expired - Fee Related CN1311533C (zh) 1995-09-08 1996-09-07 制造半导体器件的方法和设备

Country Status (4)

Country Link
US (3) US6323142B1 (zh)
KR (2) KR100319333B1 (zh)
CN (2) CN1311533C (zh)
TW (1) TW371796B (zh)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JP2001044202A (ja) * 1999-07-30 2001-02-16 Nec Corp 半導体装置及びその製造方法
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6689257B2 (en) * 2000-05-26 2004-02-10 Ebara Corporation Substrate processing apparatus and substrate plating apparatus
JP2002075980A (ja) * 2000-08-30 2002-03-15 Miyazaki Oki Electric Co Ltd 真空紫外光cvdによる低誘電体膜の製造方法
JP4663139B2 (ja) 2001-02-16 2011-03-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI288443B (en) * 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP2004071696A (ja) * 2002-08-02 2004-03-04 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
US7605023B2 (en) * 2002-08-29 2009-10-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for a semiconductor device and heat treatment method therefor
JP2004095953A (ja) * 2002-09-02 2004-03-25 Canon Inc 窒化シリコンの堆積膜形成方法
JP4627961B2 (ja) * 2002-09-20 2011-02-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6933733B2 (en) 2003-03-14 2005-08-23 Steris Inc. Method and apparatus for measuring the concentration of hydrogen peroxide in a fluid
US6927582B2 (en) * 2003-03-14 2005-08-09 Steris Inc. Method and apparatus for monitoring the state of a chemical solution for decontamination of chemical and biological warfare agents
US6930493B2 (en) * 2003-03-14 2005-08-16 Steris Inc. Method and apparatus for monitoring detergent concentration in a decontamination process
US6946852B2 (en) * 2003-03-14 2005-09-20 Steris Inc. Method and apparatus for measuring concentration of a chemical component in a gas mixture
US6897661B2 (en) * 2003-03-14 2005-05-24 Steris Inc. Method and apparatus for detection of contaminants in a fluid
US6960921B2 (en) 2003-03-14 2005-11-01 Steris Inc. Method and apparatus for real time monitoring of metallic cation concentrations in a solution
US6992494B2 (en) * 2003-03-14 2006-01-31 Steris Inc. Method and apparatus for monitoring the purity and/or quality of steam
JP2004336019A (ja) * 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
US6909972B2 (en) * 2003-06-06 2005-06-21 Steris Inc. Method and apparatus for formulating and controlling chemical concentrations in a solution
US6917885B2 (en) * 2003-06-06 2005-07-12 Steris Inc. Method and apparatus for formulating and controlling chemical concentration in a gas mixture
US7145182B2 (en) * 2003-09-12 2006-12-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Integrated emitter devices having beam divergence reducing encapsulation layer
TW200524018A (en) * 2003-11-20 2005-07-16 Ulvac Inc Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device
DE102004003761A1 (de) * 2004-01-23 2005-08-25 Forschungszentrum Jülich GmbH Herstellungsverfahren für Siliziumsolarzellen umfassend µc-Siliziumschichten
CN100539026C (zh) * 2004-06-28 2009-09-09 东京毅力科创株式会社 成膜装置
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7163902B2 (en) * 2004-08-25 2007-01-16 Atomic Energy Council-Institute Of Nuclear Energy Research Infra-red light-emitting device and method for preparing the same
US7431886B2 (en) * 2004-09-24 2008-10-07 Steris Corporation Method of monitoring operational status of sensing devices for determining the concentration of chemical components in a fluid
US8182884B2 (en) * 2005-02-28 2012-05-22 GM Global Technology Operations LLC Process for application of a hydrophilic coating to fuel cell bipolar plates
JPWO2006098300A1 (ja) 2005-03-16 2008-08-21 株式会社日立国際電気 基板処理方法及び基板処理装置
WO2007021679A2 (en) 2005-08-12 2007-02-22 General Motors Global Technology Operations, Inc. Hydrophilic coating for fuel cell bipolar plate and methods of making the same
CN101550531B (zh) * 2008-04-03 2013-04-24 清华大学 硅纳米结构的制备方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
JP5665627B2 (ja) * 2011-03-30 2015-02-04 東京エレクトロン株式会社 シリコン酸化物膜及びシリコン窒化物膜の積層方法、並びに成膜装置及び半導体装置の製造方法
US9401396B2 (en) 2011-04-19 2016-07-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and plasma oxidation treatment method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
GB2494168B (en) * 2011-09-01 2014-04-09 Memsstar Ltd Improved deposition technique for micro electro-mechanical structures (MEMS)
CN102319656B (zh) * 2011-09-21 2013-06-12 上海先进半导体制造股份有限公司 Hmds自动供应系统及其自动供应的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103779192A (zh) * 2012-10-23 2014-05-07 联胜(中国)科技有限公司 形成多晶硅薄膜的方法以及形成薄膜晶体管的方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102014111781B4 (de) * 2013-08-19 2022-08-11 Korea Atomic Energy Research Institute Verfahren zur elektrochemischen Herstellung einer Silizium-Schicht
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR20160062964A (ko) * 2014-11-26 2016-06-03 주식회사 원익아이피에스 실리콘 산화막 형성 방법 및 장치
JP6322131B2 (ja) * 2014-12-24 2018-05-09 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102563298B1 (ko) 2021-01-18 2023-08-03 주식회사 유진테크 박막의 불순물 제거방법 및 기판 처리 장치
KR102504805B1 (ko) * 2021-03-15 2023-02-28 주식회사 지티아이코리아 웨이퍼 막질 제거 장치
KR102504807B1 (ko) * 2021-03-15 2023-02-28 주식회사 지티아이코리아 반도체 하이브리드 식각 장치 및 방법
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0470632A2 (en) * 1990-08-10 1992-02-12 Semiconductor Process Laboratory Co., Ltd. Method of manufacturing a silicon oxide film and an oxide based glass for semiconductor devices
US5275977A (en) * 1990-03-19 1994-01-04 Hitachi, Ltd. Insulating film forming method for semiconductor device interconnection
US5459108A (en) * 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4196232A (en) * 1975-12-18 1980-04-01 Rca Corporation Method of chemically vapor-depositing a low-stress glass layer
JPS5911629A (ja) 1982-07-12 1984-01-21 Toshiba Corp 表面清浄化方法
JPH0614552B2 (ja) * 1983-02-02 1994-02-23 富士ゼロックス株式会社 光電変換素子の製造方法
JPH0647727B2 (ja) * 1985-12-24 1994-06-22 キヤノン株式会社 堆積膜形成法
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPS6448425U (zh) 1987-09-21 1989-03-24
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JP2691927B2 (ja) * 1989-06-08 1997-12-17 日本パイオニクス株式会社 有害成分の除去方法
JP2844745B2 (ja) 1989-11-16 1999-01-06 大同特殊鋼株式会社 線材コイルの転倒方法
JPH03190229A (ja) 1989-12-20 1991-08-20 Kojundo Chem Lab Co Ltd 半導体装置の酸化膜の製造法
JPH0496226A (ja) 1990-08-03 1992-03-27 Fujitsu Ltd 半導体装置の製造方法
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH04235282A (ja) 1991-01-09 1992-08-24 Toshiba Corp 光cvd法及び光cvd装置
JP2667605B2 (ja) * 1991-02-21 1997-10-27 株式会社東芝 不揮発性半導体記憶装置およびその製造方法
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JPH04343456A (ja) 1991-05-21 1992-11-30 Fujitsu Ltd 半導体装置の製造方法
JP2648746B2 (ja) 1991-09-26 1997-09-03 株式会社ジーティシー 絶縁膜形成方法
JPH05175132A (ja) 1991-12-20 1993-07-13 Kojundo Chem Lab Co Ltd 半導体装置のケイ素酸化膜の製造法
JP2574095B2 (ja) 1992-02-27 1997-01-22 株式会社ジーティシー 酸化ケイ素薄膜の形成方法
CN1560691B (zh) 1992-08-27 2010-05-26 株式会社半导体能源研究所 半导体器件及其制造方法和有源矩阵显示器
JP3122699B2 (ja) 1992-08-27 2001-01-09 株式会社半導体エネルギー研究所 薄膜状半導体装置の作製方法。
JP3065825B2 (ja) * 1992-10-21 2000-07-17 株式会社半導体エネルギー研究所 レーザー処理方法
US5344797A (en) 1992-10-30 1994-09-06 At&T Bell Laboratories Method of forming interlevel dielectric for integrated circuits
KR960000190B1 (ko) 1992-11-09 1996-01-03 엘지전자주식회사 반도체 제조방법 및 그 장치
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US6001431A (en) 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
JP3437863B2 (ja) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JP3497198B2 (ja) 1993-02-03 2004-02-16 株式会社半導体エネルギー研究所 半導体装置および薄膜トランジスタの作製方法
US5843225A (en) 1993-02-03 1998-12-01 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor and process for fabricating semiconductor device
JPH06244103A (ja) 1993-02-15 1994-09-02 Semiconductor Energy Lab Co Ltd 半導体の製造方法
DE69428387T2 (de) 1993-02-15 2002-07-04 Semiconductor Energy Lab Herstellungsverfahren für eine kristallisierte Halbleiterschicht
JP3562588B2 (ja) 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
KR0143873B1 (ko) 1993-02-19 1998-08-17 순페이 야마자끼 절연막 및 반도체장치 및 반도체 장치 제조방법
JP3499255B2 (ja) * 1993-05-21 2004-02-23 株式会社半導体エネルギー研究所 複合集積回路部品の作製方法
US5354698A (en) 1993-07-19 1994-10-11 Micron Technology, Inc. Hydrogen reduction method for removing contaminants in a semiconductor ion implantation process
US5382550A (en) * 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
US5474955A (en) 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
JP3443909B2 (ja) 1993-09-08 2003-09-08 セイコーエプソン株式会社 半導体膜形成方法、半導体装置の製造方法及び半導体装置
US5709895A (en) 1994-05-31 1998-01-20 Takasago International Corporation Usa Process for producing flavor-containing capsule
JP3533583B2 (ja) 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
US5480684A (en) * 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5828084A (en) * 1995-03-27 1998-10-27 Sony Corporation High performance poly-SiGe thin film transistor
JP3318818B2 (ja) 1995-06-20 2002-08-26 ソニー株式会社 絶縁膜形成方法
US5567271A (en) 1995-07-26 1996-10-22 Chartered Semiconductor Manufacturing Pte Ltd Oxygen reactive ion etch (RIE) plasma method for removing oxidized organic residues from semiconductor substrates
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5629246A (en) * 1995-09-27 1997-05-13 Micron Technology, Inc. Method for forming fluorine-doped glass having low concentrations of free fluorine

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275977A (en) * 1990-03-19 1994-01-04 Hitachi, Ltd. Insulating film forming method for semiconductor device interconnection
EP0470632A2 (en) * 1990-08-10 1992-02-12 Semiconductor Process Laboratory Co., Ltd. Method of manufacturing a silicon oxide film and an oxide based glass for semiconductor devices
US5459108A (en) * 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone

Also Published As

Publication number Publication date
TW371796B (en) 1999-10-11
US7491659B2 (en) 2009-02-17
US20020111040A1 (en) 2002-08-15
KR100319333B1 (ko) 2002-04-22
CN1311533C (zh) 2007-04-18
CN1448997A (zh) 2003-10-15
CN1156897A (zh) 1997-08-13
KR100333158B1 (ko) 2002-04-18
US6706648B2 (en) 2004-03-16
US20040127069A1 (en) 2004-07-01
KR970018005A (ko) 1997-04-30
US6323142B1 (en) 2001-11-27

Similar Documents

Publication Publication Date Title
CN1118867C (zh) 制造半导体器件的方法和设备
CN1860596A (zh) 电子器件材料的制造方法
CN1148786C (zh) 异物除去法及膜形成方法
CN1244891C (zh) 有源矩阵显示器
CN100347832C (zh) 电子器件材料的制造方法
US8187951B1 (en) CVD flowable gap fill
CN100352016C (zh) 半导体器件及其制造方法
CN1052110C (zh) 制造半导体器件的方法
US7498270B2 (en) Method of forming a silicon oxynitride film with tensile stress
CN1290197C (zh) 用于制造半导体集成电路器件的方法
CN1307730A (zh) 薄膜晶体管及其制造方法
CN1692480A (zh) 形成含硅绝缘膜的cvd方法和装置
CN1638061A (zh) 形成介电薄膜的方法
CN1346152A (zh) 薄膜晶体管及半导体器件
CN1599961A (zh) 半导体装置及其制造方法
CN1555580A (zh) 半导体器件及其制造方法
CN1351762A (zh) 在氧化生长侧壁衬层之前淀积沟槽填充氧化物的改进的沟槽隔离工艺
CN1842896A (zh) 杂质导入层的形成方法、被处理物的清洗方法、杂质导入装置、和器件的制造方法
CN1967787A (zh) 基底绝缘膜的形成方法
CN1820373A (zh) 栅极绝缘膜的形成方法、存储介质、计算机程序
CN1783437A (zh) 半导体器件及其制造方法
CN1819261A (zh) 半导体装置及其制造方法
CN1507015A (zh) 低介电常数材料以及化学气相沉积(cvd)制备方法
CN101047126A (zh) 低介电常数膜的损伤修复方法、半导体制造装置、存储介质
CN1314116C (zh) 半导体器件用的绝缘薄膜

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030820

Termination date: 20150907

EXPY Termination of patent right or utility model