JP2013528943A - ペデスタルカバー - Google Patents

ペデスタルカバー Download PDF

Info

Publication number
JP2013528943A
JP2013528943A JP2013510129A JP2013510129A JP2013528943A JP 2013528943 A JP2013528943 A JP 2013528943A JP 2013510129 A JP2013510129 A JP 2013510129A JP 2013510129 A JP2013510129 A JP 2013510129A JP 2013528943 A JP2013528943 A JP 2013528943A
Authority
JP
Japan
Prior art keywords
pedestal
substrate
platen
cover
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013510129A
Other languages
English (en)
Other versions
JP5322190B2 (ja
Inventor
アンゲロフ、イヴェリン
セヴァーソン、ブライアン
サロモン、ナタン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2013528943A publication Critical patent/JP2013528943A/ja
Application granted granted Critical
Publication of JP5322190B2 publication Critical patent/JP5322190B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/02Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine for mounting on a work-table, tool-slide, or analogous part
    • B23Q3/10Auxiliary devices, e.g. bolsters, extension members
    • B23Q3/105Auxiliary supporting devices independent of the machine tool
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【解決手段】 新型の半導体プロセス用のペデスタルおよび当該ペデスタルを備える装置の例を説明する。このようなペデスタルは特に、半導体基板に均一に熱を伝達し、メンテナンスの簡略化および/または頻度の低減を実現する。具体的には、ペデスタルは、金属プラテンの上方に配置された取り外し可能なカバーを含むとしてよい。取り外し可能なカバーは、当該カバーを支持すると共にカバーと熱のやり取りを行っているプラテンの上面の温度プロフィールがはるかに均一性が低いとしても、取り外し可能なカバーの基板側の表面では一貫して均一な温度プロフィールを維持する。カバーは、セラミック材料から形成されているとしてよく、薄いプレートの形状を持つとしてよい。このような材料は、処理環境に対して耐性を持ち、多くの処理サイクルにわたって熱特性を維持する。カバーは、プラテンから容易に取り外しが可能であり、装置全体を大規模に分解せずとも新しいカバーと交換が可能である。
【選択図】 図2

Description

本願は、米国特許出願第13/086,010号(出願日:2011年4月13日、発明の名称:「ペデスタルカバー」)による恩恵を主張する。当該出願の内容は全て、参照により本願に組み込まれる。
ダマシンプロセスは、他の方法に比べると必要な処理工程の数が少なく、一般的に収率が高いので、多くの最新式集積回路製造方式で利用される。ダマシンプロセスでは、誘電体層内のトレンチおよびビアに象嵌的手法で金属ラインを成膜することによって、集積回路上に金属導電体を形成する。ダマシンプロセスの一環として、フォトレジスト層を誘電体層上に成膜する。このフォトレジストは、感光性有機ポリマーであり、液体状で「スピニング」されて、乾燥させて、固体薄膜となる。このフォトレジストはこの後、マスクを介して露光させることでパターニングされる。この処理の後、パターニングされたフォトレジスト(例えば、誘電体層のうち露出した部分)をプラズマエッチングして、誘電体層にビアおよびトレンチを形成する。この後、フォトレジストをストリッピングして、エッチング関連の残留物があれば後続の処理の前に除去する一般的に、フォトレジストストリッピングでは、酸素またはその他の酸化剤を含む1以上の処理ガスから形成されるプラズマを利用する。非常に反応性の高いプラズマは、有機フォトレジストをエッチングして、揮発性成分を形成する。この揮発性成分は、処理チャンバから排出される。このストリッピングプロセス中の基板温度は、(例えば、エッチング速度が望ましくない変化を見せないように)正確に制御する必要がある。
半導体処理に用いられる新型のペデスタルおよび当該ペデスタルを備える装置の例を説明する。これらのペデスタルは特に、半導体基板への熱伝達を均一化し、メンテナンスの頻度および/または複雑度を低減するように構成されている。具体的には、ペデスタルは、当該ペデスタルの金属プラテンの上方に配置される取り外し可能なカバーを備えるとしてよい。この取り外し可能なカバーは、当該カバーを支持すると共に当該カバーとの間で熱のやり取りを行うプラテンの上面の温度プロフィールがはるかに不均一であっても、基板側の表面の温度プロフィールを一貫して均一に維持するように構成されている。カバーは、特定のセラミック材料で形成されるとしてよく、薄いプレートのような形状を持つとしてよい。これらの材料は、処理環境に対する耐性を持ち、多くの処理サイクルを経ても熱特性が変わらない。カバーは、プラテンからの取り外しが容易であり、装置全体を分解する大規模な作業を行うことなく新しいものと交換することができる。
半導体基板を支持するペデスタルを提供する。このペデスタルは、半導体基板を処理する装置で利用されるとしてよい。このペデスタルは、金属プラテンおよび当該プラテンの上方に配置されている取り外し可能なカバーを備える。プラテンは、カバーを介して半導体基板に熱を与える上面を有する。カバーは、この熱を分配して、基板への熱伝達を略均一化する。処理中の基板は、カバーの基板側の表面の上方に配置されている。カバーは、後述するように1以上のセラミック材料から形成されるとしてよく、または、処理環境に適しており、基板への熱伝達を略均一化することができるいくつかの他の材料から形成されるとしてもよい。
カバーは、プラテンの上面の上方に配置される。カバーは通常、基板側の表面とは反対側にプラテン側の表面がある。プラテン側の表面の大部分は、組立後の動作可能なペデスタルでは、プラテンの上面と直接接触しているとしてよい。これに代えて、プラテン側の表面は、組立後の動作可能なペデスタルでは、プラテンの上面から所定の距離を空けて配置されるとしてもよい。プラテンとカバーとの間にこの距離があることによって耐熱性が向上し、この距離は、熱分布をより均一化するべく熱流束をさらに制限する必要がある場合に用いられるとしてもよい。特定の実施形態によると、金属プラテンは、アルミニウム6061、アルミニウム7075、および、アルミニウム3003といった材料のうち1以上から形成される。金属プラテンは、内部に上面を加熱するためのヒータを有するとしてよい。ヒータの出力は、上面の温度を約摂氏100度と摂氏450度との間、または、後述するようにさまざまなより具体的な範囲内に維持するために十分なものであるとしてよい。
特定の実施形態によると、カバーの基板側の表面には、当該基板側の表面の上方に面全体で平均して所定の距離を隔てて基板を支持する一連の支持部が設けられている。この距離は、約0.001インチと0.015インチとの間であるとしてよく、または、より具体的には、約0.004インチと0.007インチとの間であるとしてよい。一連の支持部は、2以上の円パターンに配列されている少なくとも6個の個別の支持部を含むとしてよい。これらの円パターンの中心は、カバーの中心に対応するとしてよい。他の実施形態によると、基板支持部は、金属プラテンの上面に設けられている。このような支持部は、取り外し可能なカバーに形成されている開口を貫通して突出し、カバーの基板側の表面の上方まで延伸する。このような支持部は、同様に、カバーの基板側の表面の上方に、面全体で平均して所定の距離を空けて基板を支持する。この距離は、上述した範囲内に収まるとしてよい。これらの支持部の例を挙げると、金属プラテンに取着されている延長部の自由端に配置されているセラミックボール(例えば、サファイアボール)が含まれる。カバーに形成されている開口は、カバーの中心から径方向に延びる長尺状形状を持ち、カバーとプラテンとの間の熱膨張の相違に対応し得るとしてよい。
特定の実施形態によると、ペデスタルは、基板側の表面の温度プロフィールが摂氏400度の設定温度について約摂氏3度未満内に収まるように構成されている。上面は、この動作方式では、温度のずれがはるかに大きいとしてよい。一部の実施形態によると、取り外し可能なカバーは、厚みが約0.075インチと0.500インチとの間である。カバーは、プラテン側の表面および基板側の表面が約0.002インチ未満のずれで平行であるとしてよい。
取り外し可能なカバーは、酸化アルミニウム、窒化アルミニウム、チタン酸バリウム、窒化ホウ素、酸窒化シリコンアルミニウム、炭化シリコン、窒化シリコン、ケイ酸マグネシウム、炭化チタン、酸化亜鉛、および、二酸化ジルコニウムといったセラミック材料のうち1以上から形成されるとしてよい。特定の実施形態によると、取り外し可能なカバーの基板側の表面は、平均放射率が約0.35未満である。カバーのプラテン側の表面は、表面粗度が10マイクロインチ未満であるとしてよい。同じ実施形態または他の実施形態では、金属プラテンの上面は、表面粗度が10マイクロインチ未満である。表面を研磨すると、2つの表面の間の接触がより広範囲に及ぶので、通常は熱伝達が改善される。
特定の実施形態によると、取り外し可能なカバーは、プラテン側の表面の中心から延伸する誘導ピンを有する。ペデスタル組立時には、誘導ピンを、金属プラテンの上面に形成されている対応する誘導孔に挿入することによって、カバーとプラテンとを相対的に位置決めする。同じ実施形態または他の実施形態では、取り外し可能なカバーは、少なくとも垂直方向においてプラテンに対してカバーを固定するべく、プラテン側の表面から、プラテンの上面の上方着脱孔へと延伸する2以上の着脱柱状部を有している。ペデスタルはさらに、プラテンの側方着脱孔内へと延伸して、カバーが有する対応する着脱柱状部と係合する2以上の着脱鍵部を備えるとしてよい。これらの実施形態では、ペデスタルはさらに、側方着脱孔に挿入され、側方着脱孔内の着脱鍵部を被覆する着脱カバーを備えるとしてよい。
特定の実施形態によると、取り外し可能なカバーは、カバーの基板側の表面の上方に延伸するカバー端縁突起部を有する。カバー端縁突起部は、処理中の半導体基板の外縁を保持する。他の実施形態によると、金属プラテンは、カバーの基板側の表面の上方に延伸するプラテン端縁突起部を有する。プラテン端縁突起部は、基板の外縁を保持し、取り外し可能なカバーは、プラテン端縁突起部が形成する空間内に配置される。
特定の実施形態によると、金属プラテンの上面には、1以上の排気用の溝がある。この溝は、深さが約0.005インチと0.025インチとの間であるとしてよい。この溝は、プラテンの中心から離れるように径方向に延在する溝を少なくとも1つ含むとしてよい。径方向の溝は、プラテンの外縁まで延在し、チャンバ環境に対して開口している。この溝は、径方向に延在している溝に加えて、同心円状の溝を少なくとも1つ含むとしてよい。同心円状の溝は、径方向に延伸する複数の排気用の溝と重なり合っており、2つの同心円状の溝の間で気体が流れるようになっている。特定の実施形態によると、プラテンの上面には、プラテンの中心と外縁との間に等間隔で配されている2つの同心円状の排気用の溝が形成されている。上面にはさらに、プラテンの中心と外縁との間に延在する径方向に延伸する排気用の溝が8個形成されている。
さらに、半導体基板を処理するためのペデスタルの組立時に金属プラテン上に配置され得る取り外し可能なカバーが提供される。カバーの基板側の表面は、基板側の表面の上方に配置される基板への熱伝達を均一化するように構成されている。カバーのプラテン側の表面は、ペデスタルの金属プラテン上に、または、より具体的には、プラテンの上面に配置される。カバーは、上述したさまざまなセラミック材料から形成されるとしてよい。特定の実施形態によると、カバーは、基板側の表面の上方に面全体で平均して所定の距離を空けて基板を支持するための支持部を複数備える。
さらに、半導体基板を処理する装置を提供する。当該装置は、基板がロードされるチャンバと、チャンバ内でプラズマを生成するプラズマ源と、基板を支持および加熱するペデスタルとを備える。ペデスタルは、上面を持つ金属プラテンを有するとしてよい。この上面を加熱するとしてよく、この上面から、上方に配置されている取り外し可能なカバーを介して基板に熱を伝達する。取り外し可能なカバーは、この熱を分配し直して、基板側の表面の上方に配置されている基板への熱伝達を略均一化する。当該装置は、ステッパをさらに備えるシステムの一部であるとしてよい。
さらに、半導体基板からフォトレジストをストリッピングする方法を提供する。当該方法は、半導体処理チャンバ内のペデスタル上または当該ペデスタルの上方に基板を位置決めする段階を備えるとしてよい。ペデスタルは金属プラテンを有するとしてよく、金属プラテンの上面から、当該上面の上方に配置されている取り外し可能なカバーを介して基板へ熱を伝達させる。取り外し可能なカバーは、この熱を分配し直して、基板側の表面の上方に配置されている基板への熱伝達を略均一化する。当該方法は、基板からフォトレジストの一部または全てを除去する段階へと進み、その後、ペデスタルから基板を取り外す段階へと進むとしてよい。これらの処理は、他の基板について繰り返すとしてよい。ペデスタルは、処理中、基板を用意する段階の前に、少なくとも約摂氏120度まで加熱されるとしてよい。この設定温度において、基板側の表面の温度プロフィールは、ずれが約摂氏3度未満であるとしてよい。このように温度プロフィールが均一化されることで、処理中の基板への熱伝達が均一化される。
特定の実施形態に係る方法は、取り外し可能なカバーを用いて、例えば、少なくとも約10,000枚の基板を処理した後に、このカバーを新しいカバーと交換する段階を備える。より具体的な実施形態によると、ペデスタルは、少なくとも約100,000枚の基板を処理するまでは、カバーを交換する必要がないとしてよい。カバーの放射率等の熱特性は、処理中、最初のレベルと略同じに維持されるとしてよい。
当該方法はさらに、処理対象の別の基板をロードする前に、新しいカバーを調整する段階を備えるとしてよい。このような調整は、新しいカバーの放射率特性、より具体的には、基板側の表面の放射率を安定化させるために行われるとしてよい。当該方法はさらに、基板にフォトレジストを塗布する段階と、当該フォトレジストを露光する段階と、フォトレジストをパターニングするとともにパターンを半導体基板に転写する段階と、半導体基板からフォトレジストを選択的に除去する段階とを備えるとしてよい。
上記およびその他の特徴は、図面を参照しつつ、以下で説明する。
処理時間の関数として3通りのアッシング速度を示す図であり、3つの異なるペデスタルを用いた実験結果を示す図である。
特定の実施形態に係る取り外し可能なカバーを備える半導体処理装置を示す概略図である。
特定の実施形態に係る、金属プラテンおよび当該プラテンの上方に配置されている取り外し可能なカバーを備えるペデスタルを示す斜視図である。
特定の実施形態に係る、金属プラテンの上方に取り外し可能なカバーを配置する前のペデスタルを示す斜視図である。
特定の実施形態に係る、取り外し可能なカバー上に端縁突起部(つまり、カバー端縁突起部)を備えるペデスタルを示す概略図である。
特定の実施形態に係る、金属プラテン上に端縁突起部(つまり、プラテン端縁突起部)を備えるペデスタルを示す概略図である。
特定の実施形態に係る取り外し可能なカバーの基板側の表面を示す上面斜視図である。
特定の実施形態に係る同じ取り外し可能なカバーのプラテン側の表面を示す底面斜視図である。
特定の実施形態に係る取り外し可能なカバーの端縁部のさまざまなカバー端縁突起部を示す拡大図である。
特定の実施形態に係る別のカバーの基板側の表面に形成されるさまざまな特徴を示す概略上面図である。
特定の実施形態に係る同じカバーのプラテン側の表面に形成されるさまざまな特徴を示す概略底面図である。
特定の実施形態に係る金属プラテンの上面および側面に形成されているさまざまな特徴を示す上面斜視図である。
特定の実施形態に係る取り外し可能なカバーのプラテン側の表面に取り付けられている着脱ピンのさまざまな特徴を示す底面斜視図である。
特定の実施形態に係るペデスタルのカバーをプラテンに取着する前のペデスタルの端縁部を示す斜視図であり、着脱機構のさまざまな構成要素および特徴を示す図である。
特定の実施形態に係る、一の処理チャンバ内に複数のペデスタルを備えるマルチステーション装置を示す概略図である。
特定の実施形態に係る、複数の異なるチャンバ内に複数のペデスタルが配置されているマルチチャンバ装置を示す概略図である。
特定の実施形態に係る、半導体基板からフォトレジストをストリッピングする方法に対応する処理フローチャートである。
アルミニウム製のプラテンおよびセラミック製の取り外し可能なカバーを備えるペデスタルのサーマルモデル化で得られるサーマルマップを示す図である。
以下に記載する説明では、本明細書で提示する概念を深く理解していただくべく具体的且つ詳細な内容を数多く記載する。本明細書で提示する概念は、以下に記載するような具体的且つ詳細な内容の一部または全てを採用することなく実施され得る。また、公知の処理は、説明する概念を不要にあいまいにすることを避けるべく、詳細な説明を省略している。一部の概念については具体的な実施形態に基づき説明しているが、これらの実施形態に限定するものではないと理解されたい。
<序論>
半導体処理装置では、半導体基板にさまざまな処理が実行されている間、これらの半導体基板を支持するためにペデスタルを利用するとしてよい。これらのペデスタルは、支持している基板を加熱および/または冷却するとしてよく、より具体的には、支持している基板の温度を制御するとしてよい。例えば、ペデスタルはヒータを備えるとしてよい。当該ヒータは、ペデスタルの金属製の本体の内部に配置されており、ペデスタルの基板側の表面を介して基板に熱流束を加える。基板は、基板側の表面の上方に所定の距離(例えば、千分の数インチ)を空けて配置されているとしてよく、輻射および対流による熱伝達を組み合わせた方法で加熱されるとしてよい。熱伝達を均一化するべく、基板側の表面は(全面にわたって、および/または、複数の処理サイクルにわたって)一定の温度に維持する必要がある。さらに、基板側の表面は、放射率が一定である必要がある。
本明細書では、略均一な温度は、ずれが所与の値未満である温度プロフィールと定義する。この所与の値は、さまざまな処理要件に応じて決まるとしてよい。例えば、後述する一部のアッシング処理については、このずれは約摂氏5度未満、または、約摂氏2度未満であるとしてよい。上述したように、この温度プロフィールは、ペデスタルの基板側の表面の全面にわたって、または、より具体的には、処理時に基板と実際に対向するように構成されている表面部分にわたって、考慮されるとしてよい。端縁突起部等の他の部分は、この温度プロフィールを形成する上では無視されるとしてよい。さらに、温度プロフィールの均一性は、1処理サイクルおよび複数の処理サイクル、例えば、少なくとも約1万サイクルまたは少なくとも約10万サイクルと考えるとしてよい。半導体処理は、処理設備が特定の特性を持つことによって有効となる。このため、これらの特性の変化、例えば、基板側の表面の温度の変化は、最小限に抑えなければならない。「略均一な熱伝達」は、略均一な温度プロフィールを持つペデスタル側の表面によって実現される熱伝達と定義される。さらに、この表面は、所定数のサイクルにわたって略一定の放射率を維持する必要がある。当業者であれば、温度および放射率の均一性に関して、特定の半導体処理の具体的な要件に想到するであろう。
本明細書において、「半導体基板」、「半導体ウェハ」、「ウェハ」および「製造途中の集積回路」といった用語は、同様の意味を持つものとして用いられる。当業者であれば、上記の用語はさまざまな基板を意味するものと理解されたい。例えば、集積回路製造プロセスのさまざまな段階における200ミリメートルおよび300ミリメートルのウェハを意味するものと理解されたい。以下に記載する詳細な説明ではシリコンウェハを処理するために採用される方法および装置について何度も言及するが、範囲はこれに限定されない。概して、半導体基板は、形状、サイズおよび材料がさまざまなものであるとしてよい。一部の例には、プリント配線基板、ディスプレイ等が含まれる。本明細書で説明するペデスタル構造または、より具体的には、取り外し可能なカバーのさまざまな構成は特に、このような基板を処理するために構成されるとしてよい。
取り外し可能なカバーを有するペデスタルを備える装置は、さまざまな半導体製造プロセスに用いられるとしてよい。例えば、これらに限定されないが、バルクストリッピング処理および/または高ドーズインプラントストリッピング(HDIS)処理に利用されるとしてよい。バルクストリッピング処理は、高ドーズイオン注入処理で暴露されていないので、表面にクラストが大量に形成されていないフォトレジストを除去するために用いられるとしてよい。HDIS処理は、高ドーズイオン注入処理に暴露されたために大量のクラストが形成されているフォトレジストを除去するために用いられるとしてよい。HDIS処理は段階的に実行されるのが普通であり、初期ストリッピング段階はバルクフォトレジストを露出させるべくクラストを除去するように最適化されており、この後に実行される主要ストリッピング段階はバルクフォトレジストを除去するために異なる条件で最適化されている。HDIS処理におけるこれらのうち一方または両方の段階は、バルクストリッピング処理とは異なる処理条件を利用するとしてよい。例えば、バルク処理では、基板を少なくとも約摂氏250度、例えば、約摂氏280度まで高速で加熱するとしてよい。この後、この温度において、例えば、酸素含有プラズマが存在する中でアッシングを実行する。これとは対照的に、HDIS処理は、これより低い温度、例えば、約摂氏120度と摂氏140度との間の温度まで基板を加熱することから開始されるとしてよい。このようなより低い温度に基板を維持しつつ、酸素含有プラズマを用いてクラストを除去する。この後基板を少なくとも約摂氏250度、例えば、約摂氏280度まで加熱する。加熱した後、下方に設けられている(そして、この時点において、露出している)バルクフォトレジストをプラズマでアッシングするとしてよい。これらの例では、性能が劣化し得る化学的条件および熱条件にペデスタルが暴露されている。さらに、同じペデスタルは、同じHDIS処理サイクルにおいて異なる処理条件、例えば、異なる基板温度を実現するために用いられるとしてもよい。これらの処理条件は通常、多くの処理サイクルにわたって継続して維持されるべきオペレーティングウィンドウが限定されている。
ペデスタルの一部の構成要素は通常、アルミニウムを材料とする。アルミニウムは、コストおよび製造可能性の両方の観点から見て良い選択である。アルミニウム製の構成要素は、保護コーティングを持つとしてよい。例えば、硬質陽極酸化処理が施されているとしてよい。一部のコーティングは、放射率等の特定の性能特性を実現するために用いられるとしてよい。ペデスタルの基板側の表面は通常、放射率を低くする必要がある。このため、そのままのアルミニウム面を利用することが多い。しかし、このように保護されていない表面は、過酷な処理環境において劣化すると共に表面特性が変化する可能性が高い。
一連の実験によって、基板側の表面の放射率特性は変化することが多く、その結果、熱伝達が一貫性に欠け不均一になることが分かっている。具体的に説明すると、アルミニウム6061を材料とするペデスタルで、上述したような通常のバルクストリッピング処理が約1万サイクル実行された。通常の動作方式によると、装置においてこのように多くのサイクルを実行するのにかかる時間は、約1週間に過ぎない。このペデスタルの基板側の表面は、アルミニウムのままであり、試験終了時には大幅な変色が見られた。ペデスタルは、中心が端縁よりもはるかに色が暗くなっていた。任意の特定の理論に限定されるものではないが、このグレードのアルミニウムは、マグネシウムの濃度が比較的高く、マグネシウムの一部が基板側の表面に移動してしまうと考えられている。基板側の表面の放射率マップによると、相対的に暗い中央部分は放射率が約0.15であり、相対的に明るい端縁部分は放射率が約0.4に過ぎないことが分かった。元々のアルミニウム面の放射率は、試験前において0.4を超えていた。このような変化は、熱伝達、特に、輻射によって伝達される熱流束に悪影響を与える。
別の実験によると、3つの異なるペデスタルを利用して同じ処理条件下で複数のウェハを処理した。処理したウェハを検査して、各ペデスタルに対応するアッシング速度を決定した。この実験の結果を図1に示した。図1は、ペデスタルを変えるとアッシング速度が大きく変化することを示している。アッシング速度は基板温度に対する感度が非常に高いことに留意されたい。ライン20は、アルミニウム6061で形成される新型のペデスタルに対応する。このペデスタルの表面では、目に見える変色は発生しなかった。ライン30は、アルミニウム3003で形成される新型のペデスタルに対応する。このペデスタルの表面でも、目に見える変色は発生しなかった。最後に、ライン10は、アルミニウム6061で形成され、アッシングが約1万サイクル既に実行された使用済みのペデスタルに対応する。このペデスタルは、上述したように、基板側の表面の変色が大きかった。このペデスタルでは、図1から明らかであるように、アッシング速度がはるかに高くなった。任意の特定の理論に限定されるものではないが、このペデスタルの基板側の表面が他の2つのペデスタルよりも暗いので、輻射熱流速がはるかに大きいと考えられている。このため、処理中のウェハの温度が高くなり、アッシング速度がはるかに速くなった。このようなアッシング速度の差は、多くの例では許容できるものではなく、ペデスタルを交換する必要がある。つまり、ペデスタルの交換が必要になるまでに利用できるサイクル数は1万サイクル以下に過ぎない場合がある。
ペデスタルの交換は、非常に時間が係る処理であり、処理チャンバの圧力/真空封止状態を中断させて、新たに封止し直すとしてよい。ペデスタルを交換するためには、他の構成要素、例えば、内部基板ハンドリングロボットおよびロードロック輸送機構等に対して、ペデスタルを改めて位置合わせする必要があるとしてよい。また、ペデスタル全体は、非常に複雑で高価な部品である可能性が高い。ペデスタルを頻繁にメンテナンスおよび交換することは、出来る限り、回避すべきである。同時に、メンテナンスによる中断中にペデスタルの熱伝達特性を維持する必要があるが、上述したように、基板側の表面がアルミニウムで出来ている場合には困難であるとしてよい。また、新型のアルミニウムのペデスタルであっても、基板側の表面では温度変動が大きくなる場合があるとしてよい。
ペデスタルの金属プラテンの上方に特別な構成を持つカバーを配置することによって、半導体基板に対して略均一な熱伝達が常に実現されることが分かっている。このカバーは、プラテンから容易に取り外すことが可能で、プラテンを交換することなく新しいカバーと交換可能であるので、「取り外し可能なカバー」とも呼ばれるとしてよい。このカバーは、さまざまな熱特性を劣化させることなく特定の処理環境において利用可能な化学的および温度的に安定した材料で形成されている。このような材料には、初期放射率(最初の「シーズニング」サイクルの後の放射率)が比較的低く、この放射率を多数のサイクルにわたって略一定に維持可能なものがある。
特定の実施形態によると、取り外し可能なカバーは、熱伝導率が対応する金属プラテンよりもはるかに低い材料で形成されている。取り外し可能なカバーおよび金属プラテンの熱伝導率の比率は、少なくとも約2、または、少なくとも約5であるとしてよい。このように熱伝導率を相対的に低くすることで、プラテンの上面にホットスポットが存在する場合の平滑化して、カバーの基板側の表面上に対応してホットスポットが発生しないようにすることが容易になるとしてよい。つまり、カバーは、熱拡散部として利用されるとしてよい。
さらに、取り外し可能なカバーによって、例えば、必要な加熱条件が異なる他の種類の処理を実行するために同じペデスタルを用いる場合に、ペデスタルの熱特性を容易に調整することが可能となる。取り外し可能なカバーは、熱伝達特性が異なる別のカバーと容易に交換され得るか、または、カバー無しでペデスタルを利用するとしてよい。
概して、取り外し可能なカバーを備えるペデスタルは、基板との間の熱伝達を安定化および均一化するように構成され、メンテナンスおよび操作が容易になっているとしてよい。
<装置の例>
取り外し可能なカバーを備えるペデスタルのさまざまな特徴について理解を深めると共にその開発の背景を説明するべく、処理装置の簡単な説明を本明細書で記載する。図2は、特定の実施形態にかかる半導体基板を処理する装置100を示す概略図である。装置100は一般的に、例えば、フォトレジスト材料および/またはその他の残留物を半導体基板から除去したり、その他の半導体プロセスを実行するように構成されているさまざまな種類の設備である。具体例を幾つか挙げると、GAMMA2100、2130ICP(インターレース方式誘導結合プラズマ)、G400、GxTおよびSIERRAがある。これらはすべて、ノベルス・システムズ(Novellus Systems)(米国カリフォルニア州サンノゼ)社製である。他のシステムとしては、Axcelis Technologies社(米国メリーランド州ロックビル)製のFUSION line、韓国のPSK Tech社製のTERA21、および、Mattson Technology(米国カリフォルニア州フリーモント)社製のASPENがある。取り外し可能なカバーを備えるペデスタルを持つ処理チャンバには、クラスタツールと対応付けられているものもあるとしてよい。例えば、ストリッピング用チャンバは、Applied Materials (米国カリフォルニア州サンタクラーラ)社製のCENTURAクラスタツールに追加されるとしてよい。
装置100は、プラズマ源101および処理チャンバ103を備えている。処理チャンバ103は、シャワーヘッドアセンブリ105によって、プラズマ源101とは分離されているとしてよい。プラズマ源101は、処理ガス吸気口111に接続されている。吸気口111は、1以上の処理ガスをシャワーヘッド構造105を介して処理チャンバ103へと供給する。低圧環境は、真空ポンプおよびコンジット119によって、処理チャンバ103内で実現される。シャワーヘッド109は、シャワーヘッド構造105の底部を形成している。処理チャンバ103の内部には、ペデスタルが収容されている。ペデスタルは、金属プラテン117と、プラテン117の上面の上方に配置されている取り外し可能なカバー118とを有する。ペデスタルは、半導体基板116を支持するために用いられ、特定の実施形態によると、半導体基板116を加熱および/または冷却する。このように、プラテン117は加熱/冷却素子が設けられているとしてよい。一部の実施形態によると、プラテン117はさらに、半導体基板116にバイアスを印加する。
処理中、1以上の処理ガスをガス吸気口111を介してプラズマ源101へと導入する。これらのガスは、1以上の化学的に活性な種を含むとしてよい。プラズマ源101は、ガスをイオン化して、活性化された種を生成すると共にプラズマを形成するために用いられるとしてよい。プラズマ源101は、無線周波数(RF)誘導コイル115を備えているとしてよい。シャワーヘッド109はこの後、このプラズマをシャワーヘッドの孔121を通して処理チャンバ103へと方向付ける。半導体基板116の表面に対する分布およびプラズマ/ガスの混合物の均一性を最大限まで高めるべく、シャワーヘッドの孔121の数および配置は任意に決定するとしてよい。
プラテン117は、温度が制御されるとしてよく、半導体基板116を加熱するために用いられるとしてよい。一部の実施形態によると、熱流束は取り外し可能なカバー118を介して伝達される。処理中、取り外し可能なカバー118と半導体基板116との間には、所与の間隙が設けられるとしてよい。この間隙は、図5Aを参照しつつ後述するような最小接触領域(MCA)支持部によって得られるとしてよい。特定の実施形態によると、取り外し可能なカバー118の基板側の表面と基板116との間にはある程度の接触が許可されるとしてよい。この間隙は、ペデスタルを降下させることで大きくするとしてもよい。ペデスタルを降下させると、半導体基板116は、固定部123によって支持される。固定部123は、処理チャンバ103に取り付けられているとしてよい。他の実施形態によると、内部ロボットのフィンガーは、プラテンが降下位置にある間、半導体基板を支持するために用いられるとしてよい。
一部の熱流束は、熱伝導によって得られるとしてよい。別の一部の熱流束は、輻射によって得られるとしてよい。これら2通りの熱伝達方法の相対的な貢献度合いは、取り外し可能なカバー118と基板116との間の間隙のサイズ、取り外し可能なカバー118の基板側の表面の放射率、処理チャンバ103内の圧力等の要因に応じて決まる。特定の実施形態によると、全熱流束のうち最大の割合を占めるのは熱伝導である。
<ペデスタル構造>
図3Aは、特定の実施形態に係る、半導体処理装置で利用されるペデスタル200を示す概略図である。このような半導体処理装置の例は、本明細書で幾つか上述しているとともに、以下でも説明する。ペデスタル200の上側部分202は、半導体基板(不図示)を支持するために用いられる。上側部分202は通常、特定の種類の基板(例えば、300mmのウェハ)を収容できるようなサイズおよび形状を持つ。一部の実施形態によると、上側部分202は、略円形状であり、直径が約10インチと15インチとの間である。より具体的には、約11インチと14インチとの間、さらに具体的には、約12インチと13インチとの間(例えば、約12.4インチ)である。上側部分202は、金属プラテン204および取り外し可能なカバー206を有する。取り外し可能なカバー206は、金属プラテン204の上方に配置されるか、より具体的には、プラテン204の上面(図面では見えない)上に配置される。取り外し可能なカバー206は、基板を載置する面(つまり、基板側の表面)を提供する。取り外し可能なカバー206はさらに、金属プラテン204と基板との間の熱流束を制御するとともに、特定の実施形態では、基板を略均一に加熱する。ペデスタル200における金属プラテン204および取り外し可能なカバー206の配置について、図3Bを参照しつつ以下で詳しく説明する。
具体的には、図3Bは、取り外し可能なカバー206を金属プラテン204の上方に配置する前のペデスタル200を示す図である。金属プラテン204の上面220は、取り外し可能なカバー206のプラテン側の表面(図面では見えない)と直接接触するとしてよい。特定の実施形態によると、上面220および/またはプラテン側の表面は、これら2つの面の間での機械的接触および熱伝達を改善するべく研磨されている。上面220は、上面220と取り外し可能なカバー206の裏面とが取着された場合に形成されるポケットからガスを排出するための経路となる排気溝を1以上有するとしてよい。特定の実施形態によると、取り外し可能なカバー206は、金属プラテン204より上方に上昇させて、カバー206のプラテン側の表面とプラテン204の上面220との間に間隙を形成する。この間隙を利用して、金属プラテン204と取り外し可能なカバー206との間の熱伝達抵抗を大きくするとしてよい。例えば、両者間に一連のスペーサを配置するとしてよい。スペーサは、取り外し可能なカバー206、金属プラテン204、他の構成要素(例えば、着脱機構)の一部であってもよいし、または、スタンドアロン型の構成要素であってよい。
上述したように、取り外し可能なカバー206は、基板側の表面の上方に配置された半導体基板に対して略均一な熱伝達を実現するために用いられるとしてよい。この熱は、ヒータを備える金属プラテン204によって供給される。特定の実施形態によると、ヒータは抵抗型電気ヒータであり、例えば、金属管内に通電コイルを設けたものをプラテン204の裏面の溝のうち1以上に配設している。加熱管は、プラテン204に溶接されるとしてよい。他の実施形態に係るヒータは、プラテン204内を循環する熱交換流体を含む。
図3Aに戻って説明すると、上側部分202は、取り外し可能なカバー206の基板側の表面から所定距離を空けて半導体基板を支持するべく複数の突起部208を含むとしてよい。これらの突起部は、MCA支持部と呼ばれることもある。MCA支持部は、基板の裏面が損傷して汚染されてしまう可能性があるので、基板の裏面と取り外し可能なカバー206の基板側の表面との間の接触領域が大きくならないようにする。さらに、基板側の表面のわずかに上方に基板を配置することで、基板の加熱をより均一化するとしてよい。MCA支持部は、取り外し可能なカバー206の一部またはプラテン204の一部として設けられるとしてよい。どちらの実施形態についても以下で説明する。
ペデスタル200の上側部分202はさらに、内部ウェハ輸送ロボットのフィンガーまたはチャンバ固定部を収納する凹部210を複数有するとしてよい。例えば、図3Aは、内部ロボットの一のアームに設けられている2つのフィンガーを収納すると共に、別のアームに設けられている別の2つのフィンガーを収納するために用いられる4つの凹部を示している。しかし、任意の数の凹部を利用するとしてよい。フィンガーまたは固定部は、ペデスタル200の上側部分202が降下位置まで移動すると、基板を支持するために用いられる。上側部分202は、昇降機構214に結合されているシャフト212に取着されている。昇降機構214は、垂直方向(つまり、基板側の表面に垂直な方向)に上側部分202を移動させる。この垂直方向の動きによって、フィンガーまたは固定部が凹部210に挿脱され得る。ペデスタル構造の昇降機構214、ヒータおよびその他の構成要素は、後述する装置の制御システムに結合されているとしてよい。
上側部分202はさらに、半導体基板を水平方向(つまり、基板側の表面に平行な方向)に支持する1以上の端縁突起部を有するとしてよい。端縁突起部は、金属プラテン、カバー、または、その両方に設けられているとしてよい。図4Aおよび図4Bは、特定の実施形態に係るさまざまな種類の端縁突起部を示す2つの概略図である。具体的に説明すると、図4Aは、端縁突起部406がカバー404に設けられている実施形態を説明するための図である。この突起部は、カバー端縁突起部と呼ぶとしてよい。金属プラテン402には突起部が設けられていない。金属プラテン402は、プラテン402の外縁409まで延在する略平坦な上面403を持つとしてよい。上面403は、排気溝を含むとしてよい。排気溝の一部もまた、外縁409まで延在する。この構成によれば、プラテンの製造が簡略化され、より平滑な上面が製造され、許容誤差をより近似させることが可能になるとしてよい。
この構成によると、基板400はカバー端縁突起部406によって支持されている。基板400と突起部406との間には、基板の位置決めおよび取り外しが可能となるように、そして、処理中において基板400とカバー406との間の熱膨張の差異を許容するべく、わずかな間隙408が設けられているとしてよい。同時に、間隙408は、基板の位置が合った状態を維持しつつ、間隙に汚染物質が集まらないように、十分小さくする必要がある。特定の実施形態によると、カバー端縁突起部の内側の直径は、300ミリメートルのウェハを支持および/または位置合わせする場合には約11.987インチである。カバー404は、後述するようにさまざまな着脱部を利用して金属プラテン402上に支持される。
図4Bは、端縁突起部416が金属プラテン412上に設けられている別の実施形態を示す図である。この突起部は、プラテン端縁突起部416と呼ばれる。カバー414には端縁突起部が設けられていないので、カバー414の製造が簡略化され、カバーの表面がより平滑に製造でき、許容誤差をより近似させることが可能になるとしてよい。プラテン端縁突起部416は、カバー414の基板側の表面415の上方まで延伸する。このため、プラテン端縁突起部416の高さは、カバー414の厚みに応じて決まる。一般的に、プラテン端縁突起部416がカバー414の基板側の表面415の上方に延伸している距離は、図4Aを参照しつつ上述したカバー端縁突起部の高さと同一または同等である。プラテン412、カバー414および基板410の熱膨張の差異を許容し、プラテン端縁突起部416が形成する境界内にカバー414および基板410を位置決めするべく、間隙418をプラテン端縁突起部416とカバー414との間、および、プラテン端縁突起部416と基板410との間に設ける。カバー414は、さまざまな着脱部および/またはプラテン端縁突起部416を利用して、金属プラテン412上に支持されるとしてよい。
<取り外し可能なカバー>
したがって、取り外し可能なカバーは、カバー端縁突起部を備えるものとして、または、備えないものとして製造するとしてよい。図5Aから図5Cを参照しつつ、カバー端縁突起部を備えるカバーを以下でより詳細に説明する。別の種類のカバー(つまり、カバー端縁突起部を備えないカバー)は、図6Aおよび図6Bを参照しつつその後に説明する。当業者であれば、ある種類のカバーに関連して説明する多くの特徴は、特に明記していない限り、別の種類のカバーについても適用可能であると理解するであろう。このため、説明を簡略化するべく、以下の説明では、カバー端縁突起部を備えるカバーに重点を置く。
図5Aは、特定の実施形態に係る取り外し可能なカバー500を示す上面図である。取り外し可能なカバー500の基板側の表面は502は、カバー端縁突起部504で画定されている境界の内部にある。カバーが端縁突起部を備えていない他の実施形態によると、基板側の表面は、カバーの外縁によって画定されるとしてよい。取り外し可能なカバー500はさらに、内部輸送ロボットのフィンガーまたはチャンバ固定部を収納するための凹部508を複数備える。凹部508は、ペデスタルを組み立てる際に、対応する金属プラテン上の凹部に位置合わせされる。この位置合わせ状態は、後述する着脱機構によって維持されるとしてよい。
複数のMCA支持部506を持つ基板側の表面502を図示している。MCA支持部は、スタンドアロン型の構成要素であってよく、例えば、カバー(またはプラテン)の凹部内に配置されているか、または、カバー(またはプラテン)に一体化されているサファイアボールであってよい。基板側の表面の上方に延伸しているMCA支持部の高さは、熱伝達を制御するとともに基板と基板側の表面との接触面積が大きくなり過ぎないように特に選択されているとしてよい。特定の実施形態によると、この高さは、約0.001インチと0.010インチとの間であるか、より具体的には、約0.004インチと0.007インチとの間である。この高さによって、基板の裏面と取り外し可能なカバー500の基板側の表面502との間に間隙が形成される。特定の実施形態によると、MCA支持部同士の間で基板が凹型に湾曲し、基板側の表面に接触する場合もある。このため、この間隙は通常、平均化間隙と呼ばれる。この平均化間隙は、基板側の表面の上方に延伸しているMCA支持部の高さ未満であるとしてよい。
図5Aは、取り外し可能なカバー500の基板側の表面の全面にわたって均一に配置されている6個のMCA支持部506を示す図である。特に、基板が比較的高い処理温度まで加熱される場合に基板が凹型に湾曲するのを最小限に抑えるためには、均一に配置することが必要である。しかし、利用するMCA支持部の数を変える(例えば、3個から25個)としてもよい。特定の実施形態によると、ペデスタルには支持部を設けず、基板は基板側の表面に直接配置して接触させる。
図5Aに示す6個の支持部は、2つの同心円に沿って均一に配置される(つまり、第1の群の3つの支持部は内側の円に沿って配置され、第2の群の3つの支持部は外側の円に沿って配置される)。これらの円の中心は、取り外し可能なカバー500の中心と略一致するとしてよい。それぞれの群に含まれる支持部は、互いに約120度ずらして配置されることで均一に配置されているとしてよい。一方の群に含まれる支持部は、他方の群に含まれる支持部とは約60度ずらして配置されているとしてよい。これらの円の直径は、基板側の表面のサイズに応じて決まる。例えば、300ミリメートルのウェハを支持するために用いられるペデスタルでは、内側の円の直径が約4インチと6インチとの間(例えば、約5インチ)であるとしてよく、外側の円の直径は約9インチと11インチとの間(例えば、約10インチ)であるとしてよい。
取り外し可能なカバーは、均一な熱伝達を実現するのに適した熱特性を持つ化学的および温度的に耐性を持つ材料で形成される。熱特性の例を挙げると、熱伝達係数、比熱、および、放射率がある。これらの特性は、通常の動作環境で順当な多数のサイクルにわたって利用されている間は、略安定して維持されるべきである。カバーの一部の初期の事前処理(例えば、「シーズニング」または「バーン・イン」)を行って、その後の安定性を確認するとしてもよい。例えば、カバーの基板側の表面の上方に基板を配置することなく1以上の処理サイクルをカバーに対して行って、放射率特性を調整するとしてよい。
取り外し可能なカバーに適した材料の例には、酸化アルミニウム、窒化アルミニウム、チタン酸バリウム、窒化ホウ素、酸窒化シリコンアルミニウム、シリコン、酸化シリコン、炭化シリコン、窒化シリコン、ケイ酸マグネシウム、炭化チタン、酸化亜鉛および二酸化ジルコニウム等、さまざまなセラミック材料が含まれる。具体的な実施形態によると、カバーは酸化アルミニウムを材料とするか、より具体的には、少なくとも約99.5%の純度の酸化アルミニウムを材料とするか、より具体的には少なくとも約99.9%の純度の酸化アルミニウムを材料とする。高純度によって、カバーを後に処理に暴露する場合の気体漏れおよび粒子形成を回避することが容易になる。99.5%および99.9%の純度は、上述した他のセラミック材料にも適用可能である。
取り外し可能なカバーの材料は、熱特性によっても特徴付けられるとしてよい。特定の実施形態によると、カバーの材料は、(室温での)熱伝達係数が約100W/(m K)未満であり、より具体的には、約50W/(m K)未満であり、約25W/(m K)未満である。上述したように、熱伝達係数が低いことによって、「熱拡散」が容易になり、取り外し可能なカバーの基板側の表面においてホットスポットが形成されないようにすることが容易になるとしてよい。
取外し可能なカバーを特徴付ける特性としては他にも、基板側の表面の放射率が挙げられる。この特性は、材料、表面の仕上げ等の要因に応じて決まるとしてよい。特定の実施形態によると、基板側の表面の平均放射率は、約0.07未満であり、より具体的には、約0.03未満または約0.01未満である。カバーは通常、多くのサイクルにわたって放射率特性が一定に留まるように構成されている。特定の実施形態によると、最初の放射率の変化は、約1万サイクルの後で約50%未満であり、より具体的には、約25%未満、約5%未満である。このような安定性は、カバーの材料として特定の安定した材料を選択すること、および、基板側の表面の粗度を制御することによって得られるとしてよい。任意の特定の理論に限定されるものではないが、表面が荒くなるほど、研磨された表面に比べて、放射率ドリフトが小さくなると考えられる。特定の実施形態によると、基板側の表面は、表面粗度が約0.001インチと0.025インチとの間である。
上述した放射率の値は、ペデスタルの通常動作温度および放射率測定時の通常角度に対して定義されている値である。輻射体では、温度が放出エネルギーのスペクトル分布に影響を与える。このため、本明細書で説明する放出率の値は、動作条件において放出強度がもっと高いスペクトル領域における値である。例えば、約摂氏350度と摂氏400度との間のプラテンのウェハ側の表面の放射率の値は通常、約2マイクロメートルと8マイクロメートルとの間の波長および約90度の放射率角度に対応する。また、説明した放射率の値は、文脈に応じて、プラテンのウェハ側の表面についての平均値または積分値である。局所的な放射率の値は表面上のさまざまな箇所で異なり得るものと理解されたい。例えば、プラテンのウェハ側の表面では、処理中に、傷および/または局所的な変色が見られる場合があるので、局所的な放射率ピークが発生する可能性がある。また、プラテンのウェハ側の表面は定期的に研磨し直して、放射率を特定範囲内に収めると理解されたい。
図5Bは、特定の実施形態に係る取り外し可能なカバー500のプラテン側の表面510を示す底面斜視図である。組立後のペデスタルでは、プラテン側の表面510は、金属プラテンの上面と接触しておいるか、上面から所定の距離を空けて配置されているとしてよい。直接接触している実施形態では、熱伝達抵抗が低いが、熱拡散は得られるとしてよい。直接接触している実施形態では、熱伝達抵抗はさらに、プラテン側の表面510の粗度およびプラテンの上面の粗度に応じて決まる。特定の実施形態によると、プラテン側の表面510およびプラテンの上面のうち一方または両方は、表面粗度が20マイクロインチ未満、より具体的には、約10マイクロインチ未満、または、約5マイクロインチ未満である。さらに、熱流束の均一性については、これら2つの表面が略平行になっているか否かに応じて決まり、例えば、約0.025インチ未満内であるか、より具体的には、約0.010インチ未満であるか、または、約0.005インチ未満である。他の実施形態によると、プラテン側の表面510と金属プラテンの上面との間には、例えば、一連の部材を一方または両方の面に配置することによって、間隙を設ける。この間隙は、約0.001インチと0.025インチとの間であるとしてよく、より具体的には、約0.005インチと0.010インチとの間であるとしてよい。
図5Bは、プラテン側の表面510上に配置されている(例えば、カバー500の中央に配置されている)誘導ピン514を示す図である。誘導ピン514は、プラテンの上面の対応する誘導孔に挿入され、取り外し可能なカバー500とプラテンとの相対的な位置関係を規定して維持するように構成されている。誘導ピン514および誘導孔は、取り外し可能なカバー500およびプラテンを十分なレベルまで位置合わせするべく、(室温で)密に嵌合するとしてよい。特定の実施形態によると、誘導ピン514の直径は、約0.125インチと0.5インチとの間であり、より具体的には、約0.25インチである。同一または別の実施形態によると、誘導ピン514の高さは、約0.125インチと0.5インチとの間であり、より具体的には、約0.25インチである。誘導ピン514は、別の部材として製造した後に、プラテン側の表面510に取着するとしてもよい。これに代えて、誘導ピン514は、取り外し可能なカバー500と一体的な部品であるとしてよい。
図5Bはさらに、プラテン側の表面510に配置されている2つの着脱柱状部512aおよび512bを示す。特定の実施形態によると、2つの着脱柱状部は誘導ピン514と並んでいる。300ミリメートルのウェハを支持するように構成されているカバーは、2つの着脱柱状部とカバーの中心との間の距離が約4インチと5.75インチとの間であり、より具体的には、約4.5インチと5インチとの間の距離(例えば、約4.8インチ)だけ離れて配置されているとしてよい。着脱柱状部512aおよび512bは、深過ぎる側方着脱孔を金属プラテン上に設けないように、取り外し可能なカバー500の外縁に比較的近接した位置に配置される必要がある。着脱柱状部512aおよび512bは、他の位置に配置することも可能である。着脱柱状部512aおよび512bは、別の部材として製造した後に、プラテン側の表面510に取着するとしてよい。これに代えて、着脱柱状部512aおよび512bは、取り外し可能なカバー500と一体的な部材であるとしてもよい。
誘導ピンおよび/または着脱柱状部を別箇の部品として製造することによって、他の部材、例えば、プラテン側の表面および基板側の表面の製造精度を改善することができる。例えば、プラテン側の表面および基板側の表面の一方または両方は、より高精度に粗度を制御して製造するとしてもよいし、または、誘導ピンおよび/または着脱柱状部を別箇の部品として製造しない場合より平坦および/または平行になるように作成するとしてもよい。グレーズボンディングまたはろう付け等、複数のさまざまな技術を取着処理で利用するとしてよい。例えば、ろう付けの場合、事前に製造された粒子が大きいセラミック部材を2つ用意して両者間に、粒子が小さいセラミック材料を入れる。この積層体を加熱して、さらに特定の実施形態によると、加圧して、粒子が小さいセラミック材料を融解させて他の2つの構成要素内に溶かし入れることによって、一体的な金属結合を形成する。
取り外し可能なカバー500のその他のいくつかの特徴、例えば、厚みおよびカバー端縁突起部のプロフィール(設けられている場合)は、図5Cを参照しつつ詳細に後述する。具体的には、図5Cは、特定の実施形態に係る取り外し可能なカバー500の端縁部分を示す斜視図である。この図では、基板側の表面502およびカバー端縁突起部504の一部を図示している。同図ではさらに孔508も図示しており、これによって断面図のように他の特徴も図示されている。例えば、取り外し可能なカバー500の厚みが同図から推定され得る。「厚み」という用語は、基板側の表面502とプラテン側の表面(同図では見えない)との間の距離と定義する。この定義は、基板側の表面502およびプラテン側の表面に設けられているさまざまな突起部または孔について当てはまるものではない。特定の実施形態によると、カバーの厚みは、約0.075インチと約0.500インチとの間であるとしてよく、より具体的には、約0.125インチと0.250インチとの間(例えば、約0.198インチ)であるとしてよい。特定の熱伝導性要件を満足させるような厚みを選択するとしてよい。例えば、カバーは、耐熱性が高い材料を用いて形成する場合、例えば、熱伝導性が低い材料を用いる場合に比べて、厚みを薄くするとしてよい。上述した値は、後述する処理条件で用いる酸化アルミニウムまたはその他のセラミック材料から形成されたカバーに適用可能な値である。厚みの最小値は、製造上の理由(例えば、カバーの製造、誘導ピンおよび着脱ピンの結合)およびその他の要因から必要になるとしてよい。
図5Cからはさらに、カバー端縁突起部504の高さの視認および推定が可能である。特定の実施形態によると、高さは、約0.010インチと0.100インチとの間であり、より具体的には、約0.025インチと0.050インチ(例えば、約0.040インチ)である。この高さは、図4Aおよび図4Bを参照しつつ上述したように、基板の端縁を支持するのに十分な強度を持つ必要がある。しかし、基板の端縁の損傷を避けるべく、高さは大きくし過ぎるべきではない。特定の実施形態によると、カバー端縁突起部504は、基板側の表面502との間の界面に面取りが施されている。面取りによって、ある程度まで基板の位置合わせがし易くなり、基板の位置決めおよび取り外しが容易になるとしてよい。特定の実施形態によると、面取りの角度は、基板側の表面502に対して約30度と60度との間(例えば、約45度)である。
図6Aおよび図6Bは、特定の実施形態に係る別の取り外し可能なカバー600の上面概略図および底面概略図である。この取り外し可能なカバー600では、図6Aに示すように、基板側の表面602にMCA支持部が設けられていない。これに代えて、取り外し可能なカバー600は、複数の貫通孔606aおよび606bを含む。これらの貫通孔によって、MCA支持部は取り外し可能なカバー600を貫通して突出し、基板側の表面602の上方まで延伸する。貫通孔606aおよび606bは、図6Aの基板側の表面602および図6Bのプラテン側の表面612の両方で見ることができる。これらの実施形態では、MCA支持部は、金属プラテンの一部であるか、または、金属プラテンが支持するスタンドアロン型の構成要素(例えば、サファイアボール)であるとしてよい。金属プラテンは熱膨張係数が大幅に異なるので、MCA支持部は取り外し可能なカバー600に対して移動するとしてよい。例えば、アルミニウムの熱膨張係数は、約22.2×10−6m/(m K)であり、アルミナベースのセラミックでは5.4×10−6m/(m K)に過ぎない。このため、長さが6インチ(通常のペデスタルの半径に略等しい)のセラミック製の物体およびアルミニウム製の物体を室温から約摂氏425度(動作温度に対応する温度)まで加熱すると、拡張の差分は約0.041インチとなる。このため、特定の実施形態によると、貫通孔606aおよび606bは、取り外し可能なカバー600の中心に対して径方向に長尺状の形状を持つスロットとして形成される。貫通孔606aおよび606bは、1以上の円(図6Aに点線で示した2つの円)に沿って配置されるとしてよい。取り外し可能なカバー600は、端縁604に沿って設けられているカバー端縁突起部を備えるとしてよい。これに代えて、取り外し可能なカバー600は、基板側の表面602が端縁604まで延在し、カバー端縁突起部によって周囲を取り囲まれていないとしてよい。取り外し可能なカバー600の他の特徴は、図5Aから図5Cを参照しつつ上述したものと同一または同様であるとしてよい。例えば、図6Bからは、プラテン側の表面612に2つの着脱柱状部616aおよび616b、ならびに、誘導ピン614が設けられている様子が分かる。
<金属プラテン>
金属プラテンのさまざまな特徴について、図7を参照しつつ、詳細に後述する。金属プラテンは、取り外し可能なカバーを支持して、取り外し可能なカバーに熱を分配するために用いられるとしてよい。金属プラテンは、バルク金属構造として形成され、加熱素子から最初に熱を分配するとしてよい。加熱素子は、プラテンの底部に取着されているか、プラテン内に配置されている。具体的には、図7は、特定の実施形態に係る金属プラテン700を示す斜視図である。金属プラテン700は、さまざまな熱伝導材料から構成されるとしてよい。このような材料は、さまざまな処理環境に対して化学的および熱的に耐性を持つ必要がある。アルミニウムを用いて金属プラテンを構成するとしてよい(より具体的には、アルミニウム6061、アルミニウム7075、およびアルミニウム3003を用いとしてよい)。他のグレードのアルミニウムまたは金属も同様に利用するとしてよい。特定の実施形態によると、金属プラテンは、硬質陽極酸化コーティング等の保護コーティングが施されている。特定のコーティングを上面702に利用して、金属プラテン700とカバーとの間の熱伝達を改善または制御するとしてよい。例えば、硬質陽極酸化コーティングを用いて上面702の表面粗度および/または放射率を修正するとしてよい。
金属プラテン700の厚みは、少なくとも約0.5インチであるとしてよく、より具体的には、少なくとも約1インチ(例えば、約1.4インチ)であるとしてよい。プラテンの厚みが大きくなると通常、ヒータからの温度分布が均一化および安定化される。プラテン700は、約摂氏100度と約摂氏450度との間の設定温度に上面702の温度を維持するとしてよい。動作範囲の例を挙げると、温度は約摂氏120度と摂氏140度との間(例えば、約摂氏130度)、約摂氏280度と摂氏320度との間(例えば、約摂氏300度)、または、約摂氏375度と摂氏425度(例えば、約摂氏400度)との間がある。
金属プラテン702はさらに、内部ウェハ輸送ロボットのフィンガーまたはチャンバに取着されている固定部を収納する凹部704を複数備える。ペデスタルの組み立て時に、凹部704とカバーの対応する凹部とを位置合わせする。金属プラテン700はさらに、1以上の上部着脱孔708および1以上の側方着脱孔710を備えるとしてよい。上部着脱孔708はそれぞれ、対応して側方着脱孔710があるとしてよい。2つの孔708、710は、交差しており、上部着脱孔708に挿入されたカバーの着脱ピンを、側方着脱孔710に挿入された着脱鍵部と係合するようになっている。これについては、図8Aおよび図8Bを参照しつつさらに説明する。上部着脱孔708は、プラテン700の中心から径方向に延伸している長尺状のスロットの形状を持ち、カバーとプラテン700との間の熱膨張の差異に対応するとしてよい。上面702にはさらに、ペデスタルの組み立て時にカバーの誘導ピンを挿入する誘導孔706が設けられている。上述したように、誘導孔706およびピンを組み合わせることで、金属プラテン702に対してカバーを位置合わせしてその状態を維持する。
上面702には、排気溝712aおよび712bが1以上設けられているとしてよい。これらの溝は、上面702上のさまざまな箇所からプラテン700の外縁714までの経路として構成されている。カバーを上面702の上方に配置すると、上面702とカバーのプラテン側の表面との間には、両面の間で平坦さにバラツキがあるので、小さいポケットが複数形成されるとしてよい。チャンバ内の圧力を変化させると、これらのポケットからガスが漏れていくか(例えば、チャンバの真空化を行っている間)、または、これらのポケットにガスが入ろうとする(例えば、チャンバ内の圧力を上昇させる場合)。この現象は、ペデスタルの「排気」と呼ばれることもある。排気溝712aおよび712bによって、ポケットとの間で障害の少ない経路が形成されることによって、排気が円滑に行えるようになる。ポケットの位置およびサイズは予測が困難であり時間の経過に応じて(例えば、金属プラテンおよびカバーが温度、圧力およびその他の要因によって変形することによって)変化するので、排気溝712aおよび712bは上面702全体にわたって均一に配置するとしてよい。排気溝の深さは、約0.005インチと0.025インチとの間(例えば、約0.015インチ)であるとしてよい。
特定の実施形態によると、排気溝は、径方向に延伸する排気溝712aおよび同心円状の排気溝712bを含むとしてよい。具体的には、径方向に延伸する排気溝712aは、プラテン700の外縁714まで延在して、チャンバのチャンバ環境までの経路となる。径方向に延伸する排気溝712aは、プラテン700の中心を通るとしてもよいし、通らなくてもよい。具体的には、図7は、中心で交差する8個の径方向に延伸する排気溝712aを図示している。これらの溝は、約45度空けて等間隔に配置されている。しかし、径方向に延伸する排気溝の数および構成は変更するとしてもよい。
図7はさらに、2つの同心円状の排気溝712bを図示している。同心円状の排気溝712bおよび径方向に延伸する排気溝712aは、互いに交差して、上面702上のさまざまな箇所と外縁714との間でガスの流路を形成している。300ミリメートルのウェハを支持するプラテンについて、内側の同心円状の溝は、直径が約3インチと5インチとの間(例えば、約4インチ)であるとしてよい。外側の同心円状の溝は、直径が約6インチと10インチとの間であり、より具体的には、7インチと9インチとの間(例えば、約8インチ)であるとしてよい。概して、溝のパターンは、溝が上面702全面を均一に被覆して、外縁714まで比較的直接的な流路が形成されるように構成されるべきである。金属プラテン上に設けられている排気溝に加えて、または、それらの排気溝に代えて、取り外し可能なカバーに排気溝を設けるとしてもよい。
<着脱機構>
着脱機構のさまざまな特徴を、図8Aおよび図8Bを参照しつつ詳細に後述する。着脱機構は、処理中およびペデスタルを取扱い中、金属プラテンに対して取り外し可能なカバーを支持するために用いて、取り外し可能なカバーおよび金属プラテンを近接して接触させた状態を維持する。取外し可能なカバーの重量によってある程度の支持力が得られるとしてよい。
着脱機構は、カバーのプラテン側の表面に固く取り付けられている着脱柱状部を1以上備えるとしてよい。具体的には、図8Aは、カバー800のプラテン側の表面802を図示する底面図であり、着脱柱状部804のさまざまな特徴を図示している。着脱柱状部804の胴体部806は、一端がプラテン側の表面802に取り付けられている。胴体部806は、円周形状のスロット808を含む。胴体部806の直径は、約0.25インチと0.5インチ(例えば、約0.375インチ)であるとしてよい。円周形状のスロット808の深さは、約0.040インチと0.125インチとの間(例えば、約0.063インチ)であるとしてよい。プラテン側の表面802の下方に延伸する胴体部806の高さは、約0.040インチと0.125インチとの間(例えば、約0.060インチ)であるとしてよい。着脱柱状部804は、別の部材として製造される場合、プラテン側の表面802に接合するための、より具体的には、プラテン側の表面802の孔に挿入するための取り付けヘッドを含むとしてよい。プラテン側の表面802が備える着脱柱状部804の数は2個またはその他の任意の数であってよい。
図8Bは、特定の実施形態に係るペデスタルを示す斜視図であり、ペデスタルの組み立て前の着脱機構のさまざまな構成要素および特徴を示す図である。具体的には、金属プラテン810の上面812の上方に配置する前のカバー800を図示している。カバー800のプラテン側の表面上にある各着脱柱状部(図8Bでは不図示)について、金属プラテン810は、上方着脱孔814および側方着脱孔816を備える。これらの孔の位置は、着脱柱状部の位置に対応している。上方着脱孔814は、着脱柱状部が挿入されるように構成されている。カバー800と金属プラテン810との間の熱膨張の差異に対応するべく、径方向にわずかに長尺形状を持つとしてよい(上述したように)。組立時には、着脱柱状部は、円周形状のスロットが側方着脱孔816と位置が並ぶまで、上方着脱孔814に挿入される。特定の実施形態によると、着脱柱状部および着脱孔は、カバーのプラテン側の表面がプラテンの上面と接触すると着脱柱状部の円周形状のスロットが側方着脱孔の中心と位置が並ぶように、構成されているとしてよい。ペデスタルの組立手順では続いて、着脱鍵部820を側方着脱孔816に挿入するとしてよい。着脱鍵部820は、本体部822、スロット係合縁部826、および、引出縁部824を含む。側方着脱孔816に挿入されている間、スロット係合縁部826は、円周形状のスロットを貫通して延伸して着脱柱状部と係合して、上方着脱孔814から取り出せないようにする。組立手順では続いて、着脱カバー830を側方着脱孔816に挿入して、着脱鍵部820が側方着脱孔816から滑って出ることを防ぐとしてよい。着脱カバー830および着脱鍵部820は、熱膨張の差異を最小限に抑えるべく、ペデスタルと同一材料で形成されるとしてよい。着脱カバー830は、側方着脱孔816に対してぴったりと嵌合するが、着脱鍵部820は、滑動可能に嵌合するとしてよい。
<マルチステーション装置の例>
上述したさまざまなペデスタルの例は、単一ステーション装置で、または、マルチステーション装置で利用するとしてよい。図9は、特定の実施形態に係るマルチステーション装置900を示す概略図である。装置900は、処理チャンバ901と、処理予定のウェハおよびストリッピング処理が完了したウェハを保持する1以上のカセット903(例えば、正面開口式カセット一体型搬送/保管箱(FOUP))とを備える。処理チャンバ901は、複数のステーションを有するとしてよく、例えば、ステーションの数は、2個、3個、4個、5個、6個、7個、8個、10個または任意のその他の数であってよい。ステーションの数は通常、処理がどの程度複雑なのか、および、共有環境で実行可能な処理が幾つあるのかに基づいて決まる。図9では、6個のステーション911−916を有する処理チャンバ901を図示している。マルチステーション装置900が一の処理チャンバに備える全てのステーション911−916は、圧力環境が同じである。しかし、各ステーション911−916はそれぞれ、専用のプラズ生成部、ヒータおよびプラテン構成によってプラズマ条件および加熱条件が独自の条件になっているとしてよい。
装置900で処理する予定の半導体基板は、カセット903のうちいずれか1つから、ロードロック905aおよび905bのうち一方または両方を通って、ステーション911へとロードされる。外部ロボット907は、カセット903と、ロードロック905aおよび905bとの間で基板を輸送するために用いられるとしてよい。図示した実施形態では、2つの別箇のロードロック905aおよび905bが用意されている。ロードロック905aおよび905bは、ある圧力環境(例えば、処理チャンバ901の外部の大気圧の圧力環境)と別の圧力環境(例えば、これよりはるかに低圧の処理チャンバ901の内部の圧力環境)との間で基板を輸送するために用いられる。処理チャンバ901の内部環境に対応するレベルに圧力が釣り合う状態になると、別の輸送デバイス(不図示)を利用して基板をロードロック905aからステーション911へと移動させるとしてよい。処理チャンバ901から取り出すべく、ステーション916からロードロック905bへと基板を戻す場合に、同じ輸送デバイスを利用するとしてもよいし、別の輸送デバイスを利用するとしてもよい。内部ロボット909は、複数の処理ステーション911−916の間で基板を輸送するために用いられるとしてよい。内部ロボット909は、複数の処理ステーションに向かって延伸している複数のアームを持つスピンドル構造を含むとしてよい。各アームは、4つのフィンガーを持つとしてよい(例えば、ステーションに向かって延伸するアームの両側にそれぞれ2つのフィンガーが設けられている)。フィンガーは、処理ステーション内で基板を上昇、降下および位置決めするために用いられる。
基板をステーション911に配置する前に、内部ロボット909の対応するアームを、4つのフィンガー(つまり、ステーション911の両側に配置されている2つの隣接するアームのそれぞれにある2つのフィンガー)がプラテンおよび/または取り外し可能なカバーの孔の中に位置するように、位置決めする。前述したように、これらの孔は対応するフィンガーが挿入されるように構成されている。フィンガーはこの後、ステーション911の孔から上昇させられて、基板をステーション911の上方で支持して、別のステーションに移動させるとしてよい。したがって、他のステーションの孔も同様に、これらのフィンガーが挿入されるように構成されている。概して、どのステーションの孔も内部ロボット909の任意の組のフィンガーが挿入できるように構成されている。内部ロボット909およびステーション911−916のペデスタルは、ペデスタルの表面の上方に基板を上昇させるため、または、基板をペデスタルの表面に配置するために、互いに垂直方向に移動するように構成されている。当業者であれば、基板をペデスタルの表面に配置する場合、基板およびペデスタルの大部分同士が直接接触するとしてもよいし、しなくてもよいと理解されるであろう。例えば、ペデスタルは、基板の裏面との接触面積が大きくなり過ぎないように、MCA支持部を備えるとしてよい。半導体処理装置および半導体処理の実施形態の概略を説明するべく、MCA支持部で支持されているとしても、基板はペデスタル上に配置されていると言う。さらに、内部ロボット909およびステーション911−916のペデスタルは、ステーション間で基板を移動させるべく、互いを中心にして回転させるように移動するように構成されている。全てのステーションが同じ環境内にあるので、ロードロックまたはその他の種類の輸送ポートをステーション間に設ける必要はない。一の基板を各ステーションまたは選択された一部のステーションで処理する(加熱処理を含む)としてもよい。
一のステーション(例えば、ステーション911)は、新しく入ってきた基板ウェハを最初に加熱するためのステーションであるとしてよい。このステーションは、ステーションの上方に加熱用ランプが設けられているとしてよい。基板の最初の温度は、室温と同等であるとしてよい(例えば、約摂氏25度)。事前加熱処理の後の温度は、摂氏300度を超えるとしてよく、一般的には続いて行われるクラストストリッピングまたはバルクストリッピング等の処理によって決まる。
他のステーション(例えば、ステーション912、913、914、915および916)は、他の種類の処理について利用されるとしてよい。一の装置内の複数のステーションで行われる処理は、順次または並列に実行されるとしてよい。特定の実施形態によると、装置900の処理ステーションのうち全てまたは一部の選択された処理ステーションが、取り外し可能なカバーを備えるペデスタルを持つとしてよい。上述したように、処理ステーションのうち一部または全ては、独自の無線周波数(RF)電源、例えば、下流誘導結合プラズマRF源を備えているとしてよい。これらのステーションはさらに、ペデスタルの表面に配置されている基板にバイアスを印加する設備を持つとしてよい。また、プラテンのうち一部または全ては、加熱素子を備えるとしてもよい。
複数の異なるステーションは、内部ロボット909に対して、垂直方向において複数の異なる位置にペデスタルを備えているとしてよい。例えば、ステーション912および913は、ペデスタルから伝達する熱流速を少なくするべく、ペデスタルが降下位置にあるとしてよい。これらのステーションは、例えば、注入処理によって形成されたクラストをフォトレジストから除去するために用いられるとしてよい。このため、この除去処理の間、他のステーションで実行される他の処理の間よりも低い温度に基板を維持するべく、基板とペデスタル(例えば、取り外し可能なカバー)との間には間隙があるとしてよい。この間隙は、約0.1インチと3インチとの間であるとしてよく、より具体的には、約1.5インチと2.5インチとの間であるとしてよい。この間隙は、1以上の要因、例えば、プラテンのウェハ側の表面の放射率、プラテンの温度、ウェハをステーションに輸送してきた際のウェハの最初の温度、処理中のウェハの温度要件、ウェハのサーマルバジェット、ウェハの抵抗率、基板上のフォトレジストの種類、および、他の処理パラメータに応じて処理中に選択および/または調整されるとしてよい。ペデスタルの降下位置は、ペデスタル(つまり、基板側の表面またはMCA支持部)が基板と接触しない任意の位置と定義される。垂直方向のペデスタルの配向をこのように変化させる(つまり、上昇位置および降下位置を設定する)ことで、ペデスタルの加熱構成(ペデスタルの構造および加熱素子の出力)を略同様のままとしつつ、基板温度を変化させることが可能になる。これに代えて、ステーション毎にペデスタルの種類が異なるとしてよく、具体的には、カバーの種類を変更するとしてよい。例えば、基板温度を低くする必要があるステーション912および913のペデスタルは、基板カバーを厚くするとしてよい。同一または別の実施形態において、このペデスタルに利用されるカバーの材料は、熱伝導率が低いものにするとしてよい。さらに、ヒータの出力を制御して、基板温度を変化させるとしてよい。特定の実施形態によると、こういった技術(つまり、ペデスタルの垂直方向の位置、取り外し可能なカバーの熱伝達特性、および、ヒータの出力)をさまざまに組み合わせて、基板の温度を制御するとしてよい。
マルチステーション装置900を利用して行われるHDISストリッピング処理の例を幾つか、以下で簡単に説明する。この処理のさらに詳細な内容については、図11を参照しつつ後述する。最初に、基板をステーション911に配置する。この時、ペデスタルは上昇位置にあり、温度は約摂氏120度と摂氏140度との間まで加熱される。特定の実施形態によると、このステーションのペデスタルは、取り外し可能なカバーを備えておらず、基板は、金属プラテンに直接接触するように配置される。他の実施形態によると、このステーションのペデスタルは、他のペデスタルで利用される他のカバーに比べて熱伝導性が高い取り外し可能なカバーを利用する。基板をステーション912に、その後でステーション913に移動させると、これらのステーションのペデスタルは、降下位置にあるので、基板とペデスタルは接触せず、熱伝達が最小限に抑えられる。これに代えて、ステーション912および913の一方または両方のペデスタルは、処理中常に、または、一定期間のみ、上昇位置にあるとしてよい。例えば、このようなペデスタルは、熱伝達を大きく制限する、比較的厚みが大きく、温度耐性が高いカバーを備えるとしてもよい。特定の実施形態によると、このようなペデスタルは、基板の温度を同じレベル(例えば、ステーション911で到達した約摂氏120度と摂氏140度との間の温度)で維持する。
この後、基板をステーション914へ移動させて、バルクストリッピングを開始させる。基板温度は、少なくとも約摂氏250度、より具体的には、約摂氏280度まで昇温させる必要があるとしてよい。このステーションのペデスタルは、上昇位置にあり、取り外し可能なカバーが基板に接触しているとしてよい。また、このペデスタルが備える取り外し可能なカバーは、熱伝達特性が良好であるとしてよい。
特定の実施形態に係る装置は、複数の異なる種類の基板を処理するために用いられる。例えば、同じ装置を用いて、普通は高温条件を要件とする「クラストが形成されていない」フォトレジストのストリッピング、および、比較的低温条件を要件とする「クラストが形成されている」フォトレジストのストリッピングを行うとしてよい。このようなさまざまな温度での動作方式を切り替えるべく、より精度の高い制御を行うことを目的として、ペデスタルカバーを交換するとしてよい。このような装置の構造の変更は、ヒータの出力および/またはペデスタルの垂直方向の位置の変更と組み合わせるとしてよい。
特定の実施形態によると、システムコントローラ921は、後述するストリッピング処理のさまざまな動作の処理条件を制御するために用いられる。例えば、システムコントローラ921は、各ステーション911−916内のペデスタルの位置およびヒータの出力を制御するとしてよい。システムコントローラ921は、さまざまなセンサ(例えば、ペデスタル、基板およびその他の構成要素の温度を測定する熱電対)から、そして、ユーザインターフェース(例えば、各ペデスタルで用いられているカバーの種類を特定する入力)から入力を受け取るとしてよい。システムコントローラ921は通常、1以上のメモリデバイスおよび1以上のプロセッサを含む。プロセッサは、中央演算処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータコントローラボード等を含むとしてよい。
システムコントローラ921は、装置900の動作の一部または大半を制御するとしてよい。例えば、システムコントローラ921は、さまざまな処理のタイミング、ステーション911−916のペデスタルの位置、基板およびペデスタルの温度、チャンバ901内の圧力、および、その他の処理パラメータを制御するための命令群を含むシステム制御ソフトウェアを実行するとしてよい。システムコントローラ921に対応付けられているメモリデバイスには他のコンピュータプログラムが格納されているとしてよい。これらのプログラムは、さまざまな処理タスクおよびメインテナンスタスクに利用されるとしてよい。処理を制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語で記述することができる。例えば、アセンブリ言語、C言語、C++言語、Pascal、Fortran等で記述することができる。コンパイル型のオブジェクトコードまたはスクリプトをプロセッサで実行してプログラムで特定されているタスクを実施する。
特定の実施形態によると、システムコントローラ921は、ユーザインターフェースが対応付けられている。ユーザインターフェースは、表示スクリーン、装置および/または処理の状態を示すグラフィカルソフトウェア表示、ならびに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォン等のユーザ入力デバイスを含むとしてよい。
コントローラパラメータは、例えば、処理工程のタイミング、前駆体およびその他の処理ガスの流量および温度、基板の温度(例えば、基板と相対的に決まるペデスタルの位置、および/または、ペデスタルに供給されるエネルギー/電力によって制御される)、チャンバ圧、および、特定の処理のその他のパラメータ等の処理条件に関連する。これらのパラメータは、レシピとしてユーザに供給され、ユーザインターフェースを利用して入力されるとしてよい。
システムソフトウェアは、さまざまな方法で設計または設定されているとしてよい。例えば、さまざまなチャンバ構成要素用のサブルーチンまたは制御目的が、本明細書に記載する処理を実行するために必要なチャンバ構成要素の動作を制御するべく記述されているとしてよい。この目的を実現するためのプログラムまたはプログラムの一部は、例えば、処理工程の基板タイミングのコード、前駆体およびその他の処理ガスの流量および温度のコード、および、チャンバ圧のコードを含む。
システムコントローラ921は、ユーザインターフェースからの入力(例えば、操作者が、基板の種類、温度要件、および、さまざまなストリッピング処理の期間等の処理パラメータを入力する)、および/または、さまざまなセンサ(例えば、基板およびプラテンの温度を測定する熱電対、輻射測定デバイス、基板およびプラテンの位置を記録するセンサ、圧力測定デバイス等)を受け取るとしてよい。システムコントローラ921は、処理チャンバ901内の各ステーション911−916のアクチュエータ機構に接続されており、システムコントローラ911に供給される入力に基づいて各プラテンの位置を制御する(例えば、上昇位置、降下位置、中間位置、可変位置またはその他の任意の位置)としてよい。ストリッピング処理の説明および本明細書のその他の箇所では、さまざまな制御レシピを提示する。例えば、システムコントローラ921が、ステーション912で次に処理する予定の基板は抵抗率が低くHDISストリッピング方法を利用すべきである旨を示す入力を受信するとしてよい。システムコントローラ921は、1以上のセンサからの処理条件(例えば、ステーション912のプラテンに載置された次の基板の温度、プラテンの温度、または、基板の抵抗率)を確認するとしてよい。システムコントローラ921は、入手可能な入力の全てに基づき、ペデスタルが降下位置にあるべきであると判断し、ペデスタルの現在の位置を確認するとしてよい。システムコントローラ921はこの後、ステーション912のアクチュエータに指示して、ペデスタルを降下位置へと移動させるとしてよい。また、入力の受信およびペデスタルの位置の調整は、動的に実行されるとしてよい。システムコントローラ921は、入力(例えば、基板の温度)を常に受信して、より高精度に基板の温度を制御するべく、処理の間は常にペデスタルの位置を再調整するとしてよい。
<マルチチャンバ装置の例>
図10は、特定の実施形態に係る、取り外し可能なカバーを持つペデスタルを備えるマルチチャンバ装置1000を示す概略図である。装置1000は、3つの別箇のチャンバ1001、1003および1005(図示の通り)を備えるとしてもよいし、チャンバの数はその他の任意の数であるとしてよい。各チャンバ1001−1005はそれぞれ、独自の圧力環境を持ち、他のチャンバとは共有しない。例えば、チャンバ1001は、チャンバ1003および1005とは異なる圧力レベルで動作するとしてもよく、または、環境における化学組成成分が異なるとしてよい。このような構成によると、処理をさらに柔軟に実行できるようになるが、それぞれの操作環境の間で交差汚染が発生しないように、異なる操作環境の間を輸送ポートを介して基板を輸送する必要が出てくる。具体的に説明すると、図10では、各チャンバが2つのロードロックを有している様子を図示している(つまり、チャンバ1001が一群のロードロック1021を有し、チャンバ1003が一群のロードロック1023を有し、チャンバ1005が一群のロードロック1025を有する)。なお、任意の数のロードロックを各チャンバに利用し得るものと理解されたい。ロードロック1021−1025は、中間環境1031に暴露されているとしてよい。中間環境1031は、収納カセット1009の外囲環境とは異なる環境であってよく、一群のロードロック(不図示)によって収納カセット1009とは分離されているとしてよい。さらに、1以上のチャンバ1001−1005は、それぞれの環境を中間環境1031との間で共有するとしてもよいので、対応する1以上のロードロック群1021−1025は、省略するとしてもよいし、両側を解放するとしてもよい。
図10は、各チャンバが2つのステーションを備える構成を図示している。しかし、任意の数のステーションを利用するとしてよい。一実施形態によると、マルチチャンバ装置の1以上のチャンバは、図9を参照しつつ上述した6個のステーションを備える例と同様であるとしてよい。各チャンバが有するステーションの数は、同数である必要はない。マルチチャンバ装置1000の1以上のステーションは、上述したように取り外し可能なカバーを持つペデスタルを備える。特定の実施形態によると、複数のチャンバのうちの1つまたは全てが備える全てのステーションが、取り外し可能なカバーを持つペデスタルを備える。
マルチチャンバ装置1000はさらに、ロードロック1021−1025と、1以上のカセット1009またはその他の構成要素との間でウェハを輸送する共有基板ハンドリングロボット1007を備えるとしてよい。各チャンバ、さらには、各ステーションが、システムコントローラ1011によって制御されるとしてよい。システムコントローラ1011は、図9を参照しつつ上述したものと同様の構成を持つとしてよい。
<処理の例>
図11は、特定の実施形態に係る、半導体基板からフォトレジストをストリッピングするさまざまな方法に対応する処理フローチャートを示す図である。以下の説明では、取り外し可能なカバーを持つペデスタルのさまざまな特徴についてさらにその背景を説明する。プロセス1100は、処理1101においてペデスタルを所定の温度まで加熱することから開始されるとしてよい。特定の実施形態によると、ペデスタルは少なくとも約摂氏200度まで加熱され、より具体的には、少なくとも約摂氏300度まで加熱する。この処理の後、ペデスタルの基板側の表面、例えば、ペデスタルのカバーの基板側の表面は、全面にわたって温度のバラツキが約摂氏2度未満、より具体的には、約摂氏1度未満であるとしてよい。
プロセス1100は次に、処理1102において、加熱したペデスタルの上方に基板を配置するとしてよい。特定の実施形態によると、基板の表面は、バック・エンド・オブ・ライン(BEOL)処理で採用されるlow−k誘電体材料またはその他の材料を含む。これに代えて、表面は、シリコンを含むとしてもよく(例えば、単結晶シリコンおよび/またはポリシリコン)、または、フロント・エンド・オブ・ライン(FEOL)処理で利用される他の材料を含むとしてもよい。
ペデスタルは、上昇位置にあるとしてよく、(基板側の表面と直接接触して、または、MCA支持部と直接接触して、または、両方と接触して)ウェハを支持するために用いられるとしてよい。これに代えて、ペデスタルは、降下位置にあるとしてよく、ウェハは、例えば、ウェハ輸送ロボットのフィンガーによって支持されているとしてもよい。上述したように、ペデスタルの温度、取り外し可能なカバーの種類、および、ペデスタルの垂直方向の位置は、基板の温度に関する要件によって決まる。基板温度要件は、バルクストリッピングとHDISストリッピングとで異なるとしてよい。
プロセス1100は続いて、処理1104において、基板からフォトレジストの一部または全てを除去する。ペデスタルは、元の位置で保持するとしてもよいし、この処理においてより正確に温度を制御するべく調整するとしてもよい。プラズマを用いてフォトレジストのストリッピングを実行し易くするとしてよい。例えば、酸素、二酸化炭素、一酸化炭素、四フッ化炭素等の酸化剤と共に不活性ガスを利用するとしてもよい。任意の公知のプラズマ源、例えば、RFプラズマ、DCプラズマ、マイクロ波プラズマ、またはその他の任意の公知のプラズマ源を利用するとしてもよい。好ましい実施形態によると、下流RFプラズマ源を利用する。300mmのウェハの場合、RFプラズマ電力の範囲は通常、約300ワットと約10キロワットとの間である。好ましい実施形態によると、RFプラズマ電力は、約3000ワットと6000ワットとの間である。処理チャンバの圧力は、約300mTorrと2Torrとの間に維持されるとしてよく、より具体的には、約0.9Torrと1.1Torrとの間に維持されるとしてよい。ウェハ温度は、約摂氏220度と約摂氏300度との間に維持されるとしてよい。
プロセス1100は次に、処理1106において、処理済みの基板をペデスタルから取り外すとしてよい。例えば、処理中にペデスタルの孔に配置されていた内部ロボットの一組のフィンガーによって基板を上昇させて、別のステーションに移動させるか、または、チャンバから取り出すために別の輸送機構に渡すとしてよい。
プロセス1100では、ペデスタルの基板側の表面を定期的に検査して、温度の変動、変色および/または汚染が見られるか否かを判断するとしてよい。この検査は、所定数の基板を処理した後、または、各ウェハを処理した後で実行するとしてよい。例えば、1以上の熱電対によって、基板側の表面の温度状態に関してシステムコントローラにフィードバックを供給するとしてよい。
温度測定は、任意の適切なデバイス、例えば、熱電対、高温計、ウェハからの赤外線を測定する放射計(emissometer)等によって実行されるとしてよい。一般的には、非接触式の温度測定デバイスを用いてウェハの汚染または損傷を回避する。接触式のデバイスを利用する場合、ウェハの上面ではなく下側または端縁に接触させるとしてよい。特定の実施形態によると、ウェハに隣接させて黒体を設けて、黒体内の熱電対で温度をモニタリングする。特定の実施形態によると、1以上の熱電対をウェハの近傍に懸架または支持する。複数の異なる箇所に配された複数の熱電対を用いて、さらに温度情報を供給するとしてもよい。熱電対は、温度の指標となる直流電圧を出力する。
上述したように、温度感知デバイスは、ウェハ温度情報を、通常は出力電圧として、コントローラに送る。コントローラは、データを分析して、リニアモータに命令を送信して、ウェハ−ペデスタル間の間隙を変化させて、温度を所望のレベルに維持する。一般的に、オーバーシュートを低く抑えた正確なフィードバック制御が必要である。特定の実施形態によると、コントローラは、安定して正確な制御を行うべく、比例積分微分(PID)アルゴリズムでプログラミングされている。特定の実施形態によると、ペデスタルおよび/またはウェハ支持部を移動させるために用いられるモータは、サーボ制御されているリニアアクチュエータモータであり、温度測定設備からの入力に基づいて所定の動作に関する命令を受信する。モータは、間隙の変更に関して、PID閉ループアルゴリズムをサポートする埋設ロジック回路を持つとしてよい。
プロセスは、1以上の所定のパラメータについて定期的な確認を行うとしてよい(ブロック1108)。この結果によっては、処理1110において、取り外し可能なカバーの交換がトリガされるとしてよい。この交換処理の特定の側面は、図8Aおよび図8Bを参照しつつ上述している。尚、ペデスタルから取り外されたカバーは、再加工されてプロセスに戻すとしてよい。例えば、カバーの基板側の表面は、研磨されて、適切な特性、例えば、放射率を持つようにするとしてよい。
プロセス1100は続いて、他にも処理すべきウェハがあるか否かについて質問1112が行われるとしてよい。必要がある場合、上述したように処理1102−1110を繰り返すとしてよい。尚、この新しいサイクルでは一部の処理条件を変更するとしてもよい。実際に、取り外し可能なカバーを前の処理1100で交換して、新しい処理条件に変更または適応化するとしてもよい。
一部の実施形態によると、ストリッピング装置はさらに、ドーパント(通常は、ホウ素、ヒ素またはリン)の濃度が非常に高くなる(例えば、1×1016cm−2以上)PLAD(プラズマ支援ドーピング)プロセスに対応付けられているストリッピングプロセスで利用するとしてもよい。濃度が高くなると、クラストの除去が困難になる。これは、クラストに捕獲されたドーパントは通常、酸化されたフォトレジスト材料よりも揮発性が低いためである。フッ素含有化合物をプラズマに添加して、除去プロセスを改善する場合もある。他の例を挙げると、酸素およびフォーミングガスから形成される第1のプラズマに基板を暴露する。フォーミングガスは、水素(例えば、約0.5モルパーセントと10モルパーセントとの間、より具体的には、約4モルパーセントと6モルパーセントとの間、さらに具体的には、約5モルパーセントを含むとしてよい。この方法はさらに、第1のプラズマにおいて酸素およびフォーミングガスを用いて基板上に薄い酸化物を形成するステップを含むとしてよい。酸化物は、基板をフッ素ラジカルに暴露した場合のシリコン損失が発生しないように、少なくともシリコン損失を最小限に抑える上で十分な厚みを持つとしてよい。例えば、酸化物の厚みは、約0ナノメートルと5ナノメートルとの間であり、より具体的には、約0ナノメートルと約2ナノメートルとの間であるとしてよい。
第1のプラズマに含まれるフォーミングガスは、フォトレジストのクラストを還元するための還元剤としての役割を持つ。具体的には、水素は非常に効果的に、B+H→B+Oのメカニズムで酸化ホウ素をより揮発性の高い種に還元する。このような揮発性の高い種は、還元されていないクラストよりも容易に半導体基板から除去することができる。特定の実施形態によると、第1のプラズマは、酸素対フォーミングガスの比率が約0:1と1:0との間、より具体的には、約1:19と19:1との間(例えば、約4:1)である。
フォトレジストの一部を除去し、酸化物の層を基板上に形成するのに十分な時間にわたって第1のプラズマに半導体基板を暴露した後、基板を第2のプラズマに暴露する。特定の実施形態によると、第2のプラズマは、酸素、フォーミングガスまたは不活性希釈剤(例えば、窒素またはヘリウム)、および、フッ素ラジカルのソースとなるフッ素含有ガスから形成する。フッ素含有ガスは、3フッ化窒素(NF)、6フッ化硫黄(SF)、ヘキサフルオロエタン(C)、テトラフルオロメタン(CF)、トリフルオロメタン(CHF)、ジフルオロメタン(CH)、オクトフルオロプロパン(C)、オクトフルオロシクロブタン(C)、オクトフルオロ[1−]ブタン(C)、オクトフルオロ[2−]ブタン(C)、オクトフルオロイソブチレン(C)、フッ素(F)等であってよい。特定の実施形態によると、第2のプラズマは、酸素、フォーミングガスまたは窒素、および、CFから形成する。特定の実施形態によると、第2のプラズマは、約10%から約100%の範囲で含まれている酸素、約0%から約50%の範囲で含まれているフォーミングガスまたは窒素、および、約0%から約20%の範囲内で含まれているCFから形成されている。より具体的な実施形態によると、第2のプラズマは、酸素、フォーミングガスまたは窒素、および、CFから形成する。酸素:フォーミングガスまたは窒素:CFの比率は、約16:2:0.05である。フォーミングガスは、フッ素ラジカルとの水素結合により、シリコン損失をより高精度で制御することが可能になるとしてよい。第2のプラズマでは、第2のプラズマ処理で消費されるシリコンを最小限に抑えつつ、フォトレジスト残留物を除去して、はるかに低速で、薄い酸化物層を除去する。
特定の実施形態によると、半導体基板は、第2のプラズマに暴露されている間に、約摂氏16度(つまり、室温)から約摂氏300度の範囲内の温度に維持されるか、当該範囲内の温度まで加熱される。半導体基板を第2のプラズマに暴露している期間は、第1のプラズマ処理の後のフォトレジスト残留物の厚みの関数である。半導体基板はさらに、約1mTorrから約1気圧までの範囲内の圧力、好ましくは約0.1Torrから約10Torrの範囲で維持される。第1のプラズマへの暴露および第2のプラズマへの暴露は、2つの別箇のステップとして、例えば、両ステップ間にパージングステップを挟んで実行されるか、または、連続プラズマ流の組成を、第1のプラズマの組成から第2のプラズマの組成へと変更して、一続きのプラズマ流ステップとして実行すると理解されたい。概して、ペデスタルの取り外し可能なカバーは、上記の処理環境に対して耐性を持つ1以上の材料から形成される。
<パターニング方法/装置の例>
本明細書で前述した装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光発電パネル等の製造または生産のためのさまざまなリソグラフィーパターニングツールまたはリソグラフィーパターニングプロセスと共に用いられるとしてよい。通常は、必ずしも必要ではないが、このようなツール/プロセスは、共通の製造設備で利用または実行する。膜のリソグラフィーパターニングは通常、以下の工程の一部または全てを含む。尚、各工程は、多数の利用可能なツールで実行され得る。
(1)スピンオンツールまたはスプレーオンツールを用いてワークピース(つまり、基板)上にフォトレジストを塗布
(2)ホットプレート、炉またはUV硬化ツールを用いてフォトレジストを硬化
(3)ウェハステッパ等のツールで、可視光、UV光またはX線にフォトレジストを暴露
(4)ウェットベンチ等のツールを利用して、レジストを現像してレジストを選択的に除去することでパターニング
(5)ドライエッチングツールまたはプラズマ支援エッチングツールを利用してレジストパターンを下方の膜またはワークピースに転写
(6)RFプラズマレジストストリッピングツールまたはマイクロ波プラズマレジストストリッピングツール等のツールを用いてレジストを除去
<実験結果>
アルミニウム製のペデスタルの上方にセラミック製のカバーを配置させることで効果的な熱拡散部となることが分かった。この結論は、図12に示す実験結果によって裏付けされている。具体的には、図12は、モデル化された熱定常状態における2つの構成要素のサーマルマップを示す図である。カバー1204は、99.9%の純度の酸化アルミニウムを材料とした。厚みは、0.198インチであった。ペデスタル1202は、アルミニウム6061を材料とした。厚みは、1.395インチであった。突起部1206a、1206bおよび1206cは、加熱素子の位置を示している。モデルは、設定温度を摂氏400度として作成した。
この結果は、カバー1204の基板側の表面の大部分は摂氏397.8度であり、比較的小さい領域である外縁部分は摂氏398.4度であったことを示している。同時に、ペデスタル1202の上面は、摂氏401.1度ゾーン、摂氏401.6度ゾーンおよび摂氏402.2度ゾーンに均等に分割されていた。任意の特定の理論に限定されるものではないが、上面の温度プロフィールは、カバー1204が利用されない場合、均一性がより低くなっていたと考えられる。カバー1204は、ペデスタル1202の上面での熱分配も円滑化したと考えられる。概して、このような結果は、カバーを追加することで、カバーを利用しないペデスタルの場合よりも、基板側の表面の温度プロフィールがより均一になることを示している。
<結論>
上述した概念は理解し易いようにある程度詳細に説明したが、請求項の範囲内で変更および修正が実施され得ることは明らかである。尚、プロセス、システムおよび装置を実現する方法には多くの別の方法があることに留意されたい。したがって、記載した実施形態は、本発明を限定するものではなく例示するものと考えられたい。

Claims (35)

  1. 半導体基板を処理する装置において前記半導体基板を支持するペデスタルであって、
    前記半導体基板に熱を与える上面を有する金属プラテンと、
    取り外し可能なセラミックカバーと
    を備え、
    前記取り外し可能なセラミックカバーは、
    前記装置において前記半導体基板が処理されている間、前記取り外し可能なセラミックカバーの基板側の表面の上方に配置されている前記半導体基板に略均一に熱を伝達し、
    前記金属プラテンの前記上面の上方に配置されているペデスタル。
  2. 前記取り外し可能なセラミックカバーは、前記基板側の表面の反対側にプラテン側の表面を有し、前記プラテン側の表面の大半の部分は、前記ペデスタルを組み立てた後には、前記金属プラテンの前記上面と直接接触している請求項1に記載のペデスタル。
  3. 前記取り外し可能なセラミックカバーは、前記基板側の表面の反対側にプラテン側の表面を有し、前記プラテン側の表面は、前記ペデスタルを組み立てた後には、前記金属プラテンの前記上面から所定の距離を空けて配置されている請求項1に記載のペデスタル。
  4. 前記金属プラテンは、アルミニウム6061、アルミニウム7075、および、アルミニウム3003から成る群から選択される1以上のアルミニウム材料を有する請求項1に記載のペデスタル。
  5. 前記金属プラテンは、前記金属プラテンの前記上面を約摂氏100度と摂氏450度との間の温度まで加熱するべく、前記金属プラテンの内部に配置されているヒータを有する請求項1に記載のペデスタル。
  6. 前記基板側の表面は、面全体で平均して所定の距離を空けて、前記基板側の表面の上方に前記半導体基板を支持する一群の支持部を含む請求項1に記載のペデスタル。
  7. 前記所定の距離は、約0.004インチと0.007インチとの間である請求項6に記載のペデスタル。
  8. 前記一群の支持部は、前記取り外し可能なセラミックカバーの中心を中心とする2以上の円形パターンに配置されている少なくとも6個の別箇の支持部を含む請求項6に記載のペデスタル。
  9. 前記金属プラテンの前記上面は、前記取り外し可能なセラミックカバーに形成されている開口を貫通するように突出する一群の支持部を含み、前記一群の支持部は、前記半導体基板を、面全体で平均して所定の距離を空けて、前記取り外し可能なセラミックカバーの前記基板側の表面の上方で支持する請求項1に記載のペデスタル。
  10. 前記一群の支持部は、前記金属プラテンに取り付けられている拡張部の自由端に配置されているセラミックボールを含む請求項9に記載のペデスタル。
  11. 前記開口は、前記取り外し可能なセラミックカバーの中心から径方向に延伸している長尺状の形状を持つ請求項9に記載のペデスタル。
  12. 前記ペデスタルは、前記基板側の表面の温度プロフィールを、設定温度である摂氏400度から約摂氏3度未満の範囲内に維持する請求項1に記載のペデスタル。
  13. 前記取り外し可能なセラミックカバーは、厚みが約0.075インチと約0.500インチとの間である請求項1に記載のペデスタル。
  14. 前記取り外し可能なセラミックカバーは、プラテン側の表面が約0.002インチ未満のずれで前記基板側の表面と平行である請求項1に記載のペデスタル。
  15. 前記取り外し可能なセラミックカバーは、酸化アルミニウム、窒化アルミニウム、チタン酸バリウム、窒化ホウ素、酸窒化シリコンアルミニウム、炭化シリコン、窒化シリコン、ケイ酸マグネシウム、炭化チタン、酸化亜鉛および二酸化ジルコニウムから成る群から選択される1以上の材料を有する請求項1に記載のペデスタル。
  16. 前記取り外し可能なセラミックカバーは、プラテン側の表面の中心から延伸し、前記金属プラテンの前記上面に形成されている対応する孔に挿入される誘導ピンを有し、前記誘導ピンおよび前記対応する孔は、前記取り外し可能なセラミックカバーと前記金属プラテンとの間の相対的な位置を維持する請求項1に記載のペデスタル。
  17. 前記取り外し可能なセラミックカバーは、2以上の着脱柱状部を有し、前記2以上の着脱柱状部は、前記金属プラテンに対して前記取り外し可能なセラミックカバーを固定するべく、プラテン側の表面から延伸し、前記金属プラテンの前記上面に形成されている対応する着脱上方孔に挿入される請求項1に記載のペデスタル。
  18. 前記金属プラテンの側方着脱孔に挿入されて、前記取り外し可能なセラミックカバーの前記2以上の着脱柱状部と係合する2以上の着脱鍵部をさらに備える請求項17に記載のペデスタル。
  19. 前記金属プラテンの前記側方着脱孔に挿入され、前記側方着脱孔の内部で前記2以上の着脱鍵部を被覆する2以上の着脱カバーをさらに備える請求項18に記載のペデスタル。
  20. 前記取り外し可能なセラミックカバーは、カバー端縁突起部を有し、前記カバー端縁突起部は、前記取り外し可能なセラミックカバーの前記基板側の表面の上方に延伸し、前記装置において前記半導体基板が処理されている間、前記半導体基板の外縁を把持する請求項1に記載のペデスタル。
  21. 前記金属プラテンは、プラテン端縁突起部を有し、前記プラテン端縁突起部は、前記取り外し可能なセラミックカバーの前記基板側の表面の上方に延伸し、前記装置において前記半導体基板が処理されている間、前記半導体基板の外縁を把持しており、
    前記取り外し可能なセラミックカバーは前記プラテン端縁突起部が形成する空隙内に配置される請求項1に記載のペデスタル。
  22. 前記取り外し可能なセラミックカバーの前記基板側の表面は、平均放射率が約0.35未満である請求項1に記載のペデスタル。
  23. 前記取り外し可能なセラミックカバーのプラテン側の表面および前記金属プラテンの前記上面は、表面粗度が10マイクロインチ未満である請求項1に記載のペデスタル。
  24. 前記金属プラテンの前記上面は、1以上の排気溝を有する請求項1に記載のペデスタル。
  25. 前記1以上の排気溝は、前記金属プラテンの中心から離れるように径方向に延在する溝を少なくとも1つ含む請求項24に記載のペデスタル。
  26. 前記1以上の排気溝はさらに、少なくとも1つの同心円状の溝を含む請求項25に記載のペデスタル。
  27. 前記1以上の排気溝の深さは、約0.005インチと0.025インチとの間である請求項24に記載のペデスタル。
  28. 半導体基板を処理する間にペデスタル上で利用する取り外し可能なセラミックカバーであって、
    基板側の表面と、プラテン側の表面とを備え、
    前記基板側の表面は、前記取り外し可能なセラミックカバーの前記基板側の表面の上方に配置されている前記半導体基板に均一に熱を伝達し、
    前記プラテン側の表面は、前記ペデスタルの金属プラテン上に配置される取り外し可能なセラミックカバー。
  29. 半導体基板を処理する装置であって、
    前記半導体基板を受け取るチャンバと、
    前記チャンバの内部においてプラズマを生成するプラズマ源と、
    前記半導体基板を支持し、前記半導体基板を加熱するペデスタルと
    を備え、
    前記ペデスタルは、
    前記半導体基板に熱を与える上面を持つ金属プラテンと、
    取り外し可能なセラミックカバーと
    を有し、
    前記取り外し可能なセラミックカバーは、
    前記装置において前記半導体基板が処理されている間、前記取り外し可能なセラミックカバーの基板側の表面の上方に配置されている前記半導体基板に略均一に熱を伝達し、
    前記金属プラテンの前記上面の上方に配置されている装置。
  30. 請求項29に記載の装置と、
    ステッパと
    を備えるシステム。
  31. 半導体基板からフォトレジストをストリッピングする方法であって、
    (a)チャンバ内においてペデスタルの上方に半導体基板を配置する段階を備え、
    前記ペデスタルは、
    前記半導体基板に熱を与える上面を持つ金属プラテンと、
    取り外し可能なセラミックカバーと
    を有し、
    前記取り外し可能なセラミックカバーは、
    前記半導体基板を処理している間、前記取り外し可能なセラミックカバーの基板側の表面の上方に配置されている前記半導体基板に略均一に熱を伝達し、
    前記金属プラテンの前記上面の上方に配置されており、
    前記方法はさらに、
    (b)前記半導体基板からフォトレジストの一部または全てを除去する段階と、
    (c)前記ペデスタルから離れるように前記半導体基板を移動させる段階と、
    (a)−(c)の段階を他の基板について繰り返し実行する段階と
    を備える方法。
  32. 前記ペデスタルは、段階(a)の前に少なくとも約摂氏120度まで加熱され、前記基板側の表面の温度プロフィールのずれは、約摂氏3度未満である請求項31に記載の方法。
  33. 前記取り外し可能なセラミックカバーを用いて少なくとも1万枚の基板を処理した後に、前記取り外し可能なセラミックカバーを新しい取り外し可能なセラミックカバーと交換する段階をさらに備える請求項31に記載の方法。
  34. 前記新しい取り外し可能なセラミックカバーの新しい基板側の表面の放射率特性を安定化させるために、段階(a)の前に、前記新しい取り外し可能なセラミックカバーを調整する段階をさらに備える請求項33に記載の方法。
  35. フォトレジストを前記半導体基板に塗布する段階と、
    前記フォトレジストを露光させる段階と、
    前記フォトレジストにパターンを形成して、前記パターンを前記半導体基板に転写する段階と、
    前記半導体基板から前記フォトレジストを選択的に除去する段階と
    をさらに備える請求項31に記載の方法。
JP2013510129A 2011-04-13 2011-05-02 ペデスタルカバー Expired - Fee Related JP5322190B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/086,010 2011-04-13
US13/086,010 US8371567B2 (en) 2011-04-13 2011-04-13 Pedestal covers
PCT/US2011/034819 WO2012141722A1 (en) 2011-04-13 2011-05-02 Pedestal covers

Publications (2)

Publication Number Publication Date
JP2013528943A true JP2013528943A (ja) 2013-07-11
JP5322190B2 JP5322190B2 (ja) 2013-10-23

Family

ID=47006621

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013510129A Expired - Fee Related JP5322190B2 (ja) 2011-04-13 2011-05-02 ペデスタルカバー

Country Status (6)

Country Link
US (2) US8371567B2 (ja)
JP (1) JP5322190B2 (ja)
KR (1) KR101275336B1 (ja)
CN (1) CN102893386B (ja)
TW (2) TWI545679B (ja)
WO (1) WO2012141722A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018152558A (ja) * 2017-03-03 2018-09-27 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜堆積におけるウエハレベル均一性制御
JP2021501963A (ja) * 2017-11-03 2021-01-21 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド イオン注入システム、イオン注入装置及び抽出プレート
JP2021533560A (ja) * 2018-08-01 2021-12-02 モメンティブ パフォーマンス マテリアルズ インコーポレイテッドMomentive Performance Materials Inc. 取り外し可能なサーマルレベラー

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
CN203205393U (zh) * 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
WO2012134084A2 (en) * 2011-03-25 2012-10-04 Lg Electronics Inc. Plasma enhanced chemical vapor deposition apparatus and method for controlling the same
CN103443326B (zh) * 2011-03-25 2016-05-04 Lg电子株式会社 等离子体增强式化学气相沉积设备及其控制方法
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9835388B2 (en) * 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP5997952B2 (ja) * 2012-07-06 2016-09-28 大陽日酸株式会社 気相成長装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5684955B1 (ja) * 2013-03-28 2015-03-18 芝浦メカトロニクス株式会社 載置台及びプラズマ処理装置
KR101415181B1 (ko) * 2013-08-19 2014-07-04 주식회사 신성에프에이 웨이퍼 접합 시스템용 웨이퍼 척
TWI533401B (zh) * 2013-08-29 2016-05-11 Bridgestone Corp 晶座
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN105336562B (zh) * 2014-07-22 2018-03-09 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10053774B2 (en) * 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9405287B1 (en) * 2015-07-22 2016-08-02 Applied Materials, Inc. Apparatus and method for optical calibration of wafer placement by a robot
US9659198B2 (en) 2015-09-10 2017-05-23 Hand Held Products, Inc. System and method of determining if a surface is printed or a mobile device screen
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106571322A (zh) * 2015-10-08 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 盖板、承载装置及等离子体加工设备
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
US9870917B2 (en) * 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10923385B2 (en) 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10861731B2 (en) * 2017-01-19 2020-12-08 Axcelis Technologies, Inc. Radiant heating presoak
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11011355B2 (en) * 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11222783B2 (en) * 2017-09-19 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Using cumulative heat amount data to qualify hot plate used for postexposure baking
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
WO2019104048A1 (en) 2017-11-21 2019-05-31 Watlow Electric Manufacturing Company Multi-zone pedestal heater having a routing layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10576562B1 (en) * 2018-08-28 2020-03-03 Nishijima Kabushiki Kaisha Circular saw cutting machine
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
GB201815258D0 (en) * 2018-09-19 2018-10-31 Spts Technologies Ltd A support
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6839314B2 (ja) * 2019-03-19 2021-03-03 日本碍子株式会社 ウエハ載置装置及びその製法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN110052370B (zh) * 2019-05-15 2024-04-02 苏州美图半导体技术有限公司 匀胶机真空匀胶装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN110454655B (zh) * 2019-08-28 2020-12-01 周菊青 根据光照自动调整角度的显示板旋转设备
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
WO2021108178A1 (en) * 2019-11-26 2021-06-03 Lam Research Corporation Carrier ring to pedestal kinematic mount for substrate processing tools
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11600492B2 (en) * 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN115461856A (zh) 2020-04-29 2022-12-09 应用材料公司 用于均匀性改善的加热器盖板
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN112157407B (zh) * 2020-09-30 2021-12-21 靖江先锋半导体科技有限公司 晶圆传输装置及底座的加工方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024010939A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Electrostatic chuck cover piece to enable processing of dielectric substrates
CN115371366B (zh) * 2022-08-22 2024-05-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 刚性转架装置及其安装工艺和载片刚性转架

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000019492A2 (en) * 1998-09-30 2000-04-06 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
JP2002373862A (ja) * 2001-06-15 2002-12-26 Ngk Spark Plug Co Ltd セラミックヒータ
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
JP2003324048A (ja) * 2002-04-26 2003-11-14 Kyocera Corp ウェハ加熱装置
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
WO2009001866A1 (ja) * 2007-06-28 2008-12-31 Tokyo Electron Limited 載置台構造及び熱処理装置
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
JPH01107519U (ja) 1987-12-31 1989-07-20
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JPH0637054B2 (ja) 1989-08-09 1994-05-18 積水化成品工業株式会社 廃棄樹脂の処理装置
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
JPH0790582B2 (ja) 1991-01-24 1995-10-04 村田機械株式会社 射出成形生産管理システム
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5580388A (en) 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
KR20030026333A (ko) 2000-08-04 2003-03-31 에스.씨. 플루이즈, 아이엔씨. 보호 밀폐장치를 구비한 역방식의 압력용기
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
KR100960773B1 (ko) 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
TWI313059B (ja) 2000-12-08 2009-08-01 Sony Corporatio
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
TW541581B (en) 2001-04-20 2003-07-11 Memc Electronic Materials Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
JP2006210372A (ja) 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
JP2007158074A (ja) 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
JP2009218536A (ja) 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000019492A2 (en) * 1998-09-30 2000-04-06 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
JP2002373862A (ja) * 2001-06-15 2002-12-26 Ngk Spark Plug Co Ltd セラミックヒータ
JP2003324048A (ja) * 2002-04-26 2003-11-14 Kyocera Corp ウェハ加熱装置
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
WO2009001866A1 (ja) * 2007-06-28 2008-12-31 Tokyo Electron Limited 載置台構造及び熱処理装置
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018152558A (ja) * 2017-03-03 2018-09-27 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜堆積におけるウエハレベル均一性制御
JP7182367B2 (ja) 2017-03-03 2022-12-02 ラム リサーチ コーポレーション 遠隔プラズマ膜堆積におけるウエハレベル均一性制御
JP2023018054A (ja) * 2017-03-03 2023-02-07 ラム リサーチ コーポレーション 遠隔プラズマ膜堆積におけるウエハレベル均一性制御
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
JP7407896B2 (ja) 2017-03-03 2024-01-04 ラム リサーチ コーポレーション 遠隔プラズマ膜堆積におけるウエハレベル均一性制御
JP2021501963A (ja) * 2017-11-03 2021-01-21 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド イオン注入システム、イオン注入装置及び抽出プレート
JP2021533560A (ja) * 2018-08-01 2021-12-02 モメンティブ パフォーマンス マテリアルズ インコーポレイテッドMomentive Performance Materials Inc. 取り外し可能なサーマルレベラー
JP7477498B2 (ja) 2018-08-01 2024-05-01 モメンティブ パフォーマンス マテリアルズ インコーポレイテッド 取り外し可能なサーマルレベラー

Also Published As

Publication number Publication date
JP5322190B2 (ja) 2013-10-23
CN102893386A (zh) 2013-01-23
TW201616592A (zh) 2016-05-01
US20120264051A1 (en) 2012-10-18
TWI532113B (zh) 2016-05-01
CN102893386B (zh) 2015-07-08
WO2012141722A1 (en) 2012-10-18
TW201241952A (en) 2012-10-16
US8851463B2 (en) 2014-10-07
US20130122431A1 (en) 2013-05-16
US8371567B2 (en) 2013-02-12
KR20120127606A (ko) 2012-11-22
KR101275336B1 (ko) 2013-06-17
TWI545679B (zh) 2016-08-11

Similar Documents

Publication Publication Date Title
JP5322190B2 (ja) ペデスタルカバー
TWI472882B (zh) 光阻剝離方法及設備
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
KR101114131B1 (ko) 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법
KR100808694B1 (ko) 포토마스크 제조의 프로세스 통합을 위한 클러스터 툴 및 방법
JP5100372B2 (ja) 基材を処理するための加工システムおよび方法
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
US20050269291A1 (en) Method of operating a processing system for treating a substrate
CN114914184A (zh) 基于可视的晶片凹口的位置测量
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
US20220163834A1 (en) Structure and method of bi-layer pixel isolation in advanced lcos back-plane
KR20220113778A (ko) 챔버 컴포넌트들의 표면 프로파일링 및 텍스처링
US20150064880A1 (en) Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient
JP2005259902A (ja) 基板処理装置
WO2024049699A1 (en) Nitride thermal atomic layer etch
KR20230156422A (ko) 정전 척킹 시의 감소된 로컬화된 힘

Legal Events

Date Code Title Description
A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20130408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130618

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130710

R150 Certificate of patent or registration of utility model

Ref document number: 5322190

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees