CN102893386A - 托架盖 - Google Patents

托架盖 Download PDF

Info

Publication number
CN102893386A
CN102893386A CN201180006929XA CN201180006929A CN102893386A CN 102893386 A CN102893386 A CN 102893386A CN 201180006929X A CN201180006929X A CN 201180006929XA CN 201180006929 A CN201180006929 A CN 201180006929A CN 102893386 A CN102893386 A CN 102893386A
Authority
CN
China
Prior art keywords
load plate
substrate
carriage
semiconductor substrate
ceramic cap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180006929XA
Other languages
English (en)
Other versions
CN102893386B (zh
Inventor
艾维利恩·安格洛夫
布赖恩·西弗森
纳坦·所罗门
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN102893386A publication Critical patent/CN102893386A/zh
Application granted granted Critical
Publication of CN102893386B publication Critical patent/CN102893386B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/02Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine for mounting on a work-table, tool-slide, or analogous part
    • B23Q3/10Auxiliary devices, e.g. bolsters, extension members
    • B23Q3/105Auxiliary supporting devices independent of the machine tool
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明描述新颖的半导体处理托架及包含此类托架的设备的实例。这些托架经特定配置以向半导体衬底提供均匀的热传递且减少维修复杂性及/或频率。具体来说,托架可包含可移除盖,所述可移除盖定位于所述托架的金属载盘上方。所述可移除盖经配置以维持其面向衬底的表面的一致且均匀的温度分布,尽管所述载盘的上表面(其支撑所述盖且与所述盖热连通)可具有更不均匀的温度分布。所述盖可由某些陶瓷材料制成且定形为薄板。这些材料可耐得住处理环境且在许多次处理循环之后保持其热特性。所述盖可轻易从所述载盘移除且用一个新盖替换,而无需对整个设备进行大规模拆卸。

Description

托架盖
技术领域
本发明涉及半导体处理托架及包含此类托架的设备。
背景技术
本申请案主张2011年4月13日申请的标题为“托架盖(PEDESTAL COVER)”的第13/086,010号美国申请案的利益,所述申请案出于所有目的而以引用的方式并入本文中。
镶嵌处理技术被用于许多现代集成电路制造方案中,因为与其它方法相比,这些技术需要较少的处理步骤且一般产率较高。镶嵌处理涉及到,通过在介电层中的沟渠及通孔中沉积对应的内置金属线而在集成电路上形成金属导体。作为镶嵌处理的一部分,在介电层上沉积光致抗蚀剂层。光致抗蚀剂为光敏有机聚合物,其可以液体形式“旋涂(spunon)”且经干燥而形成固态膜。接着通过使光穿过掩模而使光致抗蚀剂图案化。此操作之后可对图案化光致抗蚀剂进行等离子体蚀刻(例如,所述介电层的经暴露部分),以在所述介电层中形成沟渠及通孔。接着剥离光致抗蚀剂,且在后续处理之前移除任何有关蚀刻的残留物。一般来说,光致抗蚀剂剥离采用由一种或一种以上工艺气体所形成的等离子体,工艺气体可包含氧气或其它氧化剂。高度反应性等离子体蚀刻有机光致抗蚀剂,以形成挥发性组分,其被从处理腔室排除。在此剥离过程期间,应精确控制衬底温度(举例来说,以避免造成蚀刻速率发生不希望的变动)。
发明内容
本文描述新颖的半导体处理托架及包含此类托架的设备的实例。这些托架经特定配置以向半导体衬底提供均匀的热传递且减少维修复杂性及/或频率。具体来说,托架可包含可移除盖,其经定位于所述托架的金属载盘上方。所述可移除盖经配置以维持其面向衬底的表面的一致且均匀的温度分布,尽管所述载盘的上表面(其支撑所述盖且与所述盖热连通)可具有更少的温度分布均匀性。所述盖可由某些陶瓷材料制成且定形为薄板。这些材料可耐得住处理环境且在许多次处理循环之后维持其热特性。所述盖可轻易地从所述载盘移除且用一个新的盖更换,而无需对整个设备进行大规模的拆卸。
本发明提供一种用于支撑半导体衬底的托架。所述托架可用于处理此类衬底的设备中。所述托架包含金属载盘及定位于所述载盘上方的可移除盖。所述载盘具有用于经由所述盖向所述半导体衬底提供热的上表面。所述盖经配置以分布此热且向所述衬底提供大致均匀的热传递,在处理期间,所述衬底定位于所述盖的面向衬底的表面上方。所述盖可由一种或一种以上陶瓷材料(下文进一步描述)或适合于处理环境且能够向衬底提供大致均匀的热传递的一些其它材料制成。
所述盖定位于所述载盘的上表面上方。所述盖一般包含与所述面向衬底的表面相对的面向载盘的表面。所述面向载盘的表面的大部分可与经装配且操作的托架中的载盘的上表面直接接触。或者,所述面向载盘的表面可定位于距所述经装配且操作的托架中的载盘的上表面预定距离处。此距离在所述盖与载盘之间提供额外的热阻,且可在应进一步限制热通量以提供更为均匀的热分布时使用。在某些实施例中,金属载盘由下列材料中的一者或一者以上制成:铝6061、铝7075及铝3003。金属载盘可包含布置于所述金属载盘内的加热器,以加热所述载盘的上表面。所述加热器的输出可足以维持所述上表面处于介于约100℃到450℃之间的温度或如下所述的各种更特定的范围。
在某些实施例中,所述盖的面向衬底的表面包含一组支撑件,其用于将衬底支撑于所述面向衬底的表面上方的平均预定距离处。此距离可介于约0.001英寸到0.015英寸之间,或更具体来说,介于约0.004英寸到0.007英寸之间。所述组支撑件可包含至少六个个别支撑件,其经布置成两个或两个以上圆形图案。这些图案的中心可对应于所述盖的中心。在其它实施例中,衬底支撑件设置于所述金属载盘的上表面上。这些支撑件突起穿过所述可移除盖中的开口且延伸高于所述盖的面向衬底的表面。同样地,这些支撑件经配置以将衬底支撑于所述盖的面向衬底的表面上方的距所述表面平均预定距离处。此距离可属于上文所列举的范围内。这些支撑件的实例包含陶瓷球(例如,蓝宝石球),其定位于附接到所述金属载盘的延伸部的自由端上。所述盖开口可为细长形,其从所述盖的中心径向延伸,以适应所述盖与载盘之间的热膨胀差异。
在某些实施例中,托架经配置以使得所述面向衬底的表面的温度分布针对400℃的设定温度在少于约3℃以内。面向上的表面在此操作规程中温度偏差可大得多。在一些实施例中,可移除盖的厚度为介于约0.075英寸到0.500英寸之间。所述盖的面向载盘的表面及面向衬底的表面可在小于约0.002英寸偏差以内平行。
可移除盖可由下列陶瓷材料中的一者或一者以上制成:氧化铝、氮化铝、钛酸钡、氮化硼、氮氧硅铝、碳化硅、氮化硅、硅酸镁、碳化钛、氧化锌及二氧化锆。在某些实施例中,所述可移除盖的面向衬底的表面的平均放射率为小于约0.35。所述盖的面向载盘的表面的表面粗糙度可小于10微英寸。在相同或其它实施例中,所述金属载盘的上表面的表面粗糙度小于10微英寸。经抛光表面一般提供较好的热传递,原因在于两个表面之间的接触更广泛。
在某些实施例中,可移除盖包含从所述面向载盘的表面的中心延伸的引导销。在托架装配期间,所述引导销突起进入所述金属载盘的上表面中的对应的引导凹部中,从而维持所述盖与载盘的相对位置。在相同或其它实施例中,可移除盖包含两个或两个以上固持柱,其从所述面向载盘的表面延伸且进入所述载盘的上表面上的相应上固持凹孔,以在至少垂直方向上相对于所述载盘紧固所述盖。托架还可包含两个或两个以上固持键,其延伸进入所述载盘的侧固持凹孔中且与所述盖的对应固持柱啮合。在这些实施例中,所述托架还可包含对应的固持盖,其用于插入所述侧固持凹孔中且用于覆盖所述凹孔内侧的固持键。
在某些实施例中,可移除盖包含盖边缘突起,其延伸高于所述盖的面向衬底的表面。所述盖边缘突起经配置以在处理期间抓取所述半导体衬底的外缘。在其它实施例中,金属载盘包含载盘边缘突起,其延伸高于所述盖的面向衬底的表面。所述载盘边缘突起经配置以抓取所述衬底的外缘,且所述可移除盖定位于由所述载盘边缘突起形成的凹孔内。
在某些实施例中,所述金属载盘的上表面包含一个或一个以上释气凹槽。所述凹槽的深度可介于约0.005英寸到0.025英寸之间。所述凹槽可包含至少一个径向延伸远离所述载盘的中心的凹槽。所述径向凹槽延伸到所述载盘的外缘且通向腔室环境。除了径向凹槽之外,所述凹槽还可包含至少一个同心凹槽。所述同心凹槽与所述径向释气凹槽重叠且允许气体在两个凹槽之间流动。在某些实施例中,所述载盘的上表面具有两个同心释气凹槽,其在所述载盘的中心与外缘之间均匀地间隔。所述上表面还具有八个径向释气凹槽,其在所述载盘的中心与外缘之间延伸。
本发明还提供一种可在托架的装配期间定位于金属载盘上且使用此托架来处理半导体衬底的可移除盖。所述盖包含面向衬底的表面,其用于向定位于所述面向衬底的表面上方的衬底提供均匀的热传递。所述盖还包含面向载盘的表面,其用于定位于所述托架的金属载盘上,或更具体来说,定位于所述载盘的上表面上。所述盖可由上述各种陶瓷材料制成。在某些实施例中,所述盖具有多个支撑件,其用于将衬底支撑于所述面向衬底的表面上方的预定平均距离处。
还提供一种用于处理半导体衬底的设备。所述设备包含:腔室,其经配置以接纳衬底;用于在所述腔室中产生等离子体的等离子体源;及托架,其用于支撑且加热所述衬底。所述托架可包含具有上表面的金属载盘。此表面可经加热且经由定位于此表面上方的可移除盖而向所述衬底提供热。所述可移除盖经配置以再分布此热且向定位于其面向衬底的表面上方的衬底提供大致均匀的热传递。所述设备可为系统的一部分,所述系统还包含步进器。
还提供一种从半导体衬底剥离光致抗蚀剂的方法。所述方法可涉及,将衬底定位于半导体处理腔室中的托架上或上方。所述托架可包含金属载盘,其具有上表面,所述上表面用于经由定位于此表面上方的可移除盖而向所述衬底提供热。所述可移除盖经配置以再分布此热且向定位于其面向衬底的表面上方的衬底提供大致均匀的热传递。所述方法可继续进行到从所述衬底移除一些或所有的光致抗蚀剂且接着将衬底移动远离所述托架。可对额外的衬底重复这些操作。在处理期间,可在提供衬底之前将所述托架加热到至少约120℃。在此温度设定点,所述面向衬底的表面的温度分布可偏差小于约3℃。此均匀的温度分布确保在处理期间到所述衬底的热传递是均匀的。
在某些实施例中,一种方法涉及,在使用原始盖处理例如至少约10,000个衬底之后用新的盖更换可移除盖。在更特定的实施例中,原始托架可用于处理至少约100,000个衬底而无需更换盖。在处理期间,所述盖可维持其热特性(包含例如其放射率)在实质相同的初始水平。
所述方法还可涉及,在引入另一衬底进行处理之前调节新的盖。此调节可用于稳定新盖的放射率特性,或更具体来说,其面向衬底的表面的放射率。所述方法还可涉及,涂布光致抗蚀剂到所述衬底、使光致抗蚀剂暴露于光、图案化所述光致抗蚀剂以及将所述图案转印到半导体衬底,且选择性地从所述半导体衬底移除所述光致抗蚀剂。
下文参考图式进一步描述这些及其它特征。
附图说明
图1为三个灰化速率随着处理时间而变的曲线图,其说明使用三个不同托架的实验结果。
图2为根据某些实施例的包含可移除盖的半导体处理设备的示意图。
图3A为根据某些实施例的具有金属载盘及定位于所述载盘顶部上的可移除盖的托架的透视图。
图3B为根据某些实施例的在将可移除盖定位于所述金属载盘的顶部上之前所述托架的透视图。
图4A为根据某些实施例的具有设置于可移除盖上的边缘突起(即,盖边缘突起)的托架的示意图。
图4B为根据某些实施例的具有设置于金属载盘上的边缘突起(即,载盘边缘突起)的托架的示意图。
图5A为根据某些实施例的可移除盖的俯视透视图,其说明所述盖的面向衬底的表面。
图5B为根据某些实施例的同一可移除盖的仰视透视图,其说明所述盖的面向载盘的表面。
图5C为根据某些实施例的可移除盖的边缘部分的展开图,其说明各种盖边缘突起特征。
图6A为根据某些实施例的不同盖的示意性俯视图,其说明所述盖的面向衬底的表面上的各种特征。
图6B为根据某些实施例的此盖的示意性仰视图,其说明所述盖的面向载盘的表面上的各种特征。
图7为根据某些实施例的金属载盘的俯视透视图,其说明所述载盘的上表面及侧上的各种特征。
图8A为根据某些实施例的可移除盖的透视仰视图,其说明附接到所述盖的面向载盘的表面的固持销的各种特征。
图8B为根据某些实施例的托架的边缘部分在其盖被附接到其载盘之前的透视图,其说明固持机构的各种组件及特征。
图9为根据某些实施例的在同一处理腔室内具有多个托架的多台设备的示意图。
图10为根据某些实施例的具有位于不同腔室内的托架的多腔室设备的示意图。
图11为根据某些实施例的对应于从半导体衬底剥离光致抗蚀剂的方法的过程流程图。
图12为在对包含铝载盘及可移除陶瓷盖的托架的热模型化期间获得的热图。
具体实施方式
在下文的描述中,陈述多个具体细节,以提供对所提出概念的透彻理解。可在没有一些或所有这些具体细节的情形下实践所提出的概念。在其它情形下,未对众所周知的过程操作进行详细描述,以避免不必要地模糊所描述的概念。虽然将结合一些特定的实施例描述一些概念,但应理解,这些实施例并不意在为限制性的。
引言
半导体处理设备可在对半导体衬底执行各种处理操作期间使用托架来支撑这些衬底。这些托架可经配置以加热及/或冷却或更为具体来说控制所支撑衬底的温度。举例来说,托架可配备有加热器,其定位于所述托架的金属本体内且经配置以经由其面向衬底的表面向所述衬底提供热通量。所述衬底可定位于所述面向衬底的表面上方的预定距离处(例如,几千分之一英寸)且可通过辐射及对流热传递方法的组合而加热。为了实现均匀的热传递,所述面向衬底的表面需要维持于均匀的温度(在其整个表面上及/或在多个处理循环期间)。此外,所述面向衬底的表面需要具有均匀的放射率。
对于此文献,大致均匀的温度界定为偏差小于某个预定值的温度分布。此值可由各种处理需要决定。举例来说,对于下文描述的一些灰化操作,此偏差可小于约5℃,或甚至小于约2℃。如上所述,可认为此温度分布是在所述托架的整个面向衬底的表面上,或更具体来说,实际上经设计而在处理期间面向衬底的表面部分上。为了构建此温度分布,可忽略其它部分,例如边缘突起。此外,可认为曲线的均匀性是在一个处理循环内和在多次循环上,例如至少约10,000次循环或甚至至少约100,000次循环期间。半导体处理对于处理设备的特定特征是有效的。因此,应最小化这些特征的改变,包含所述面向衬底的表面的温度的改变。“实质均匀的热传递(substantially uniform heat transfer)”界定为由具有实质均匀的温度分布的面向托架的表面所提供的热传递。此外,此表面应在预定数目的循环期间维持实质恒定的放射率。所属领域的一般技术人员将理解特定半导体处理关于温度及放射率均匀性的具体要求。
在此文献中,术语“半导体衬底(semiconductor substrate)”、“半导体晶片(semiconductor wafer)”、“晶片(wafer)”及“经部分制作集成电路(partially fabricatedintegrated circuit)”可互换。所属领域的一般技术人员将理解,这些术语可指在集成电路制作过程的各种阶段的各种衬底,例如200毫米的晶片及300毫米的晶片。虽然下文的详细描述可能反复提及处理硅晶片所采用的方法及设备,但本发明的范围不限于此。总体来说,半导体衬底可具有各种形状、尺寸及材料。一些实例包含印刷电路板、显示器及类似物。托架结构或更具体来说,本文所述的可移除盖的各种配置可经特定修正以处理此类衬底。
包含具有可移除盖的托架的此些设备可用于各种半导体制造过程,包含但不限于,整体剥离及/或高剂量植入物剥离(HDIS)过程。整体剥离过程可用于移除未经暴露到高剂量离子植入物且因此在其表面上未形成主要结痂形成物的光致抗蚀剂。HDIS过程可用于移除已经暴露到高剂量离子植入物且因此包含此类结痂形成物的光致抗蚀剂。HDIS过程一般涉及分阶段方法,其包括若干初始剥离阶段,经优化而用于移除结痂以暴露整体光致抗蚀剂,接着是主要剥离阶段,经不同优化而用于移除整体光致抗蚀剂。所述HDIS过程中的一个或两个阶段可使用与整体剥离过程不同的过程条件。举例来说,整体过程可涉及极其快速地加热衬底到至少约250℃,举例来说,到约280℃。接着在存在(举例来说)含氧等离子体的情形下在此温度下执行灰化。相反地,HDIS过程可始于加热衬底到较低温度,举例来说,加热到约120℃到约140℃。含氧等离子体用于移除所述结痂,同时将所述衬底保持在这些较低的温度。所述衬底接着被加热到至少约250℃,举例来说,加热到约280℃,此时,所述等离子体灰化下伏(且现在暴露)的整体光致抗蚀剂。这些实例说明被暴露到可能使其性能降级的化学条件及热条件的托架。此外,相同的托架可用于在相同的HDIS过程循环期间提供不同的过程条件,例如不同的衬底温度。这些过程条件常常具有有限的操作窗,必须在许多次处理循环期间连续地维持所述窗。
托架的一些组件常常由铝制成。从成本及可制造性角度来说,铝为上好的材料选择。铝组件可具有保护性涂层,例如坚硬阳极氧化物。一些涂层可用于提供特定性能特征,例如放射率。托架的面向衬底的表面一般需要具有低放射率。因此,常常使用裸露的铝表面。然而,这些未经保护的表面倾向于在恶劣的处理环境中退化且改变其表面特性。
在一系列实验中已经发现,面向衬底的表面常常会改变其放射率特性,这导致热传递不一致且不均匀。具体来说,如上所述,在典型整体剥离过程中,由铝6061制成的托架经历约10,000次循环。在正常的操作规程中,设备在仅约一周内就经历这么多次的循环。此托架的面向衬底的表面为裸露的铝,且在测试末期将严重褪色。所述托架的中心远比其边缘还要暗。不受限于任何特定的理论,认为此等级的铝(具有相对高的镁浓度)允许一部分镁迁移到面向衬底的表面。面向衬底的表面的放射率图表明,较黑暗的中心部分的放射率为约0.15,而较明亮的边缘部分的放射率仅为约0.4。在所述测试之前,初始裸露铝表面的放射率大于0.4。此偏差对热传递具有负面影响,尤其是对于热通量的通过辐射而传递的部分。
在另一实验中,在相同的处理条件下使用三个不同的托架来处理多个晶片。接着检查经处理晶片以确定对应于每一托架的灰化速率。图1中呈现此实验的结果且说明对于不同托架的实质不同的灰化速率。应注意,灰化速率对于衬底温度非常敏感。线条20对应于由铝6061制成的新托架。其表面并不具有任何可见的褪色。线条30对应于由铝3003制成的新托架。其表面也不具有任何可见的褪色。最后,线条10对应于由铝6061制成的用过的托架,其先前经历了约10,000次灰化循环。如上所述,此托架的面向衬底的表面已经严重褪色。此托架产生远远高的灰化速率,如图1中所指示。不受限于任何特定的理论,认为此托架的较暗的衬底表面比其它两个托架中的衬底表面造成高得多的辐射热通量。因此,在处理期间将晶片保持在较高的温度,这导致高得多的灰化速率。此灰化速率差异在许多情形下不可接受,且所述托架将必须更换。换句话说,托架仅可使用10,000或甚至更少次的循环,之后必须更换。
托架更换为极其耗时的过程,其可涉及到打破处理腔室的多个压力/真空密封且形成新的密封。托架更换可能需要使所述托架相对于其它组件(例如,内部衬底搬运机械臂及负载锁传递机构)的新的对准。整个托架还倾向于成为极其复杂且昂贵的组件。应尽可能地避免经常对托架进行维修及更换。同时,应在两次维修停工之间维持托架的热传递特征,而此如上所述在铝制面向衬底的表面的情况下可能难以实现。此外,即使新的铝托架也可能在其面向衬底的表面上存在大幅度的温度变动。
已经发现,可通过将经特定配置的盖定位于托架的金属载盘之上而一致地向半导体衬底提供大致均匀的热传递。此盖可称为“可移除盖(removable cover)”,因为其可轻易从载盘移除且用一个新盖更换,而无需更换所述载盘。所述盖由化学性质稳定且温度稳定的材料制成,其可在特定处理环境中操作而不会劣化所述盖的各种热特性。这些材料中的一些可具有相对低的初始放射率(在初始“老化(seasoning)”循环之后)且能够在大数目的循环之后维持此放射率实质上不变。
在某些实施例中,可移除盖是由导热率实质低于对应的金属载盘的导热率的材料制成。这两个导热率之间的比率可至少为约2或甚至为至少约5。此较低的导热率可有助于整平上载盘表面上的任何热点且避免所述盖的面向衬底的表面上出现对应的点。换句话说,所述盖可用作散热件。
此外,可移除盖允许在例如使用相同托架来执行需要不同加热条件的不同类型处理时轻易调整所述托架的热特性。可移除盖可用具有不同热传递特征的另一盖轻易更换,或者可不覆盖所述托架。
总体来说,具有可移除盖的托架可经配置以向衬底提供或从衬底进行更稳定且均匀的热传递,且其更易于维修及操作。
设备实例
为了提供具有可移除盖的托架的各种特征的更好理解及上下文,本文提供对处理设备的简要描述。图2为根据某些实施例的用于处理半导体衬底的设备100的示意图。设备100一般表示各种类型的经配置设备,举例来说,经配置以从半导体衬底移除光致抗蚀剂材料及/或其它残留材料,还进行其它半导体处理操作。一些特定实例包含GAMMA2100,2130I2CP(交织的感应耦合等离子体)、G400、GxT及SIERRA,加州圣荷西的诺发系统(Novellus Systems)公司均有售。其它系统包含FUSION线,马里兰州罗克维尔的艾克塞利斯科技(Axcelis Technologies)公司有售;TERA21,韩国PSK Tech公司有售;及ASPEN,加州弗里蒙特市麦通科技(Matton Technology)公司有售。一些包含具有可移除盖的托架的处理腔室可与集群器工具关联。举例来说,可在CENTURA集群器工具(加州圣克拉拉市应用材料(Applied Materials)公司有售)上添加剥离腔室。
设备100具有等离子体源101及处理腔室103,其可通过莲蓬头组合件105而与等离子体源101分隔。等离子体源101连接到工艺气体入口111,其经由莲蓬头组合件105将一种或一种以上工艺气体供应到处理腔室103中。在处理腔室103中经由真空泵及导管119而获得低压力环境。莲蓬头109形成莲蓬头组合件105的底部。处理腔室103封闭具有金属载盘117及定位于载盘117的上表面之上的可移除盖118的托架。所述托架用于支撑半导体衬底116且在某些实施例中,用于加热及/或冷却半导体衬底116。因此,载盘117可装配有加热/冷却元件。在一些实施例中,载盘117还经配置以向半导体衬底116施加偏置。
在处理期间,经由气体入口111通过等离子体源101而引入一种或一种以上工艺气体。气体可包含一种或一种以上化学活性物种。等离子体源101可用于离子化所述气体,从而产生经激活物种且形成等离子体。等离子体源101可配备有射频(RF)感应线圈115。接着莲蓬头109将此等离子体经由莲蓬头孔121而导入处理腔室103中。莲蓬头孔121的数目及布置可任选,以使朝向半导体衬底116的表面的等离子体/气体混合及分布的均匀性最大化。
载盘117可为温控的且用于加热半导体衬底116。在一些实施例中,热通量经由可移除盖118而传递。在处理期间,可移除盖118与半导体衬底116之间可存在某一间隙。所述间隙可由最小接触面积(MCA)支撑件提供,下文将参考图5A进一步描述所述MCA支撑件。在某些实施例中,可允许可移除盖118的面向衬底的表面与衬底116之间存在某种接触。所述间隙可通过降低托架而增大。当托架被降低时,半导体衬底116由栓件123支撑,所述栓件可附接到处理腔室103。在其它实施例中,当所述载盘位于降低位置时,内部机械臂的手指可支撑所述半导体衬底。
可通过热传导而提供一些热通量。可通过辐射而提供一些额外热通量。这两种热传递方法的相对贡献取决于可移除盖118与衬底116之间的间隙的尺寸、可移除盖118的面向衬底的表面的放射率、处理腔室103内侧的压力及其它因素。在某些实施例中,热传导对总体热通量的贡献最大。
托架组合件
图3A为根据某些实施例的用于半导体处理设备中的托架200的示意图。此类半导体处理设备的一些实例上文已有描述且此文献的下文中进一步描述。托架200的顶部202用于支撑半导体衬底(未图示)。顶部202的一般尺寸及形状适于容纳特定衬底类型(例如,300mm的晶片)。在一些实施例中,顶部202为实质圆形且直径介于约10英寸到15英寸之间,或更具体来说,介于约11英寸到14英寸之间,或更具体来说,介于约12英寸到13英寸之间(例如,为约12.4英寸)。顶部202包含金属载盘204及可移除盖206。所述可移除盖206定位于金属载盘204的顶部上,或更具体来说,定位于载盘204的上表面(不可见)上。可移除盖206提供用于接纳衬底的表面(即,面向衬底的表面)。可移除盖206还控制金属载盘204与衬底之间的热通量,且在某些实施例中,经配置以向衬底提供大致均匀的加热。现将参考图3B更为详细地描述托架200中的金属载盘204及可移除盖206的布置。
具体来说,图3B展示在将可移除盖206定位于金属载盘204上之前的托架200。金属载盘204具有上表面220,其可与可移除盖206的面向载盘的表面(不可见)直接接触。在某些实施例中,上表面220及/或面向载盘的表面经抛光而确保所述两个表面之间的机械接触及热传递更佳。上表面220可包含一个或一个以上释气凹槽,其提供让气体从由可移除盖206的上表面220及背侧二者在附接时形成的凹穴中逃逸的路径。在某些实施例中,可移除盖206被升高到金属载盘204的上方,且盖206的面向载盘的表面与载盘204的上表面220之间形成间隙。此间隙可用于增加金属载盘204与可移除盖206之间的热传递阻力。举例来说,可在此两个组件之间定位一组间隔件。所述间隔件可为可移除盖206、金属载盘204、其它组件(例如,固持机构)的一部分或若干独立组件。
如上所述,可移除盖206可用于向定位于其面向衬底的表面上方的半导体衬底提供大致均匀的热传递。热由金属载盘204供应,所述金属载盘204可配备有加热器。在某些实施例中,加热器为电阻性电加热器,例如金属管中的载流线圈,其布置于载盘204的下侧凹槽中的一者或一者以上内。加热管可焊接到载盘204。在其它实施例中,加热器包含循环经过载盘204的热交换流体。
回头参考图3A,顶部202可包含多个突起208,其用于将半导体衬底支撑于距可移除盖206的面向衬底的表面某一距离处。这些突起有时被称为MCA支撑件。MCA支撑件有助于避免衬底的背侧与可移除盖206的面向衬底的表面之间产生大的接触面积,接触面积大可能损坏且污染所述背侧。此外,将衬底定位于所述面向衬底的表面的稍微上方可有助于向所述衬底提供更为均匀的加热。MCA支撑件可提供作为可移除盖206的一部分或载盘204的一部分。下文将对两个实施例予以进一步描述。
托架200的顶部202还可具有多个凹部210来容纳内部晶片传递机械臂手指或腔室栓件。举例来说,图3A说明四个凹部,其可用于容纳设置于内部机械臂的一个臂上的两个手指及设置于另一臂上的另外两个手指。然而,可使用任何数目的凹部。所述手指或栓件用于在托架200的顶部202移动到其降低位置时支撑衬底。顶部202附接到轴杆212,而轴杆212又耦合到升高机构214。升高机构214经配置以在垂直方向(即,垂直于面向衬底的表面的方向)上移动顶部202。此垂直运动允许手指或栓件进入及离开凹部210。托架组合件的升高机构214、加热器及其它组件可耦合到所述设备的控制系统,下文进一步描述所述控制系统。
顶部202还可包含一个或一个以上边缘突起,其用于在水平方向(即,平行于所述面向衬底的表面)上支撑半导体衬底。所述边缘突起可设置于金属载盘上、盖上或这两个组件上。图4A及4B说明根据某些实施例的不同类型的边缘突起的示意图。具体来说,图4A说明边缘突起406设置于盖404上的实施例。此突起可称为盖边缘突起。金属载盘402上未设置有突起,所述金属载盘402可具有延伸到载盘402的外侧边缘409的大致平坦上表面403。上表面403可具有若干释气凹槽,其中的一些还延伸到外侧边缘409。此设计可简化载盘的制造且允许制作更为光滑的上表面及更紧密的容差。
在此配置中,衬底400由盖边缘突起406支撑。衬底400与突起406之间可存在小间隙408,以允许定位和移除所述衬底,且用于适应在处理期间衬底400与盖406之间的热膨胀差异。同时,间隙408应充分小以维持衬底对准且防止污染物收集于所述间隙中。在某些实施例中,所述盖边缘突起的内侧直径为约11.987英寸,以支撑及/或对准300毫米的晶片。盖404使用各种固持特征而支撑于金属载盘402上,下文进一步描述所述固持特征。
图4B说明边缘突起416设置于金属载盘412上的另一实施例。此突起被称为载盘边缘突起416。盖414并不具有任何边缘突起,这可简化盖414的制造且允许制作更为光滑的盖表面及更紧密的容差。载盘边缘突起416经设计而延伸高于盖414的面向衬底的表面415。因此,载盘边缘突起416的高度是取决于盖414的厚度。一般来说,载盘边缘突起416延伸高于盖414的面向衬底的表面415的距离与上文参考图4A而描述的盖边缘突起的高度相同或相当。为了适应载盘412、盖414与衬底410的热膨胀的差异且允许将盖414及衬底410定位于由载盘边缘突起416所形成的边界内,在载盘边缘突起416与盖414之间以及载盘边缘突起416与衬底410之间提供间隙418。盖414可使用各种固持特征及/或载盘边缘突起416而支撑于金属载盘412上。
可移除盖
因此,可移除盖可经制造而具有或不具有盖边缘突起。现将参考图5A到5C来更为详细地描述具有盖边缘突起的盖。下文将参考图6A到6B来描述另一类型的盖(即,不具有盖边缘突起的盖)。所属领域的一般技术人员将理解,除非另有指明,否则关于一个类型的盖而描述的许多特征将可应用到另一类型的盖。因此,为简洁起见,描述将主要聚焦于具有盖边缘突起的盖。
图5A为根据某些实施例的可移除盖500的俯视图。可移除盖500具有面向衬底的表面502,其封闭于由盖边缘突起504所界定的边界内。在盖不具有边缘突起的其它实施例中,所述面向衬底的表面可由盖的外缘而界定。可移除盖500还包含多个凹部508,其经配置以容纳内部传递机械臂手指或腔室栓件。在托架的装配期间,凹部508与金属载盘上的对应凹部对准。此对准可通过固持机构而保持,下文进一步描述所述固持机构。
图中展示面向衬底的表面502具有多个MCA支撑件506。MCA支撑件可为独立组件,例如蓝宝石球(定位于盖的凹部(或载盘)内),或集成于盖(或载盘)中。MCA支撑件的延伸高于所述面向衬底的表面的高度可经特定选择,以控制衬底与表面之间的热传递且防止过多的接触。在某些实施例中,此高度介于约0.001英寸到0.010英寸之间或更具体来说,介于约0.004英寸到0.007英寸之间。此高度使衬底的背侧与可移除盖500的面向衬底的表面502之间产生间隙。在某些实施例中,衬底可在这些MCA支撑件之间松弛且甚至接触所述面向衬底的表面。因此,所述间隙一般被称为平均间隙。此平均间隙可小于MCA支撑件的延伸高于所述面向衬底的表面的高度。
图5A展示六个MCA支撑件506,其均匀地定位于可移除盖500的整个面向衬底的表面上。需要均匀的定位来最小化衬底松弛,尤其是当衬底被加热到相对高的处理温度时。然而,可使用不同数目的MCA支撑件(例如,3到25)。在某些实施例中,托架不具有支撑件,且衬底直接定位于所述面向衬底的表面上且与所述面向衬底的表面接触。
图5A中所说明的六个支撑件沿两个同心圆(即,第一组三个支撑件延内圆而安置且第二组三个支撑件沿外圆而安置)而均匀地安置。这些圆的中心可与可移除盖500的中心实质上重合。这两组中的每一组内的支撑件可相对于彼此偏移约120°,以提供均匀的分布。一组中的支撑件可相对于另一组中的支撑件偏移约60°。这些圆的直径是取决于面向衬底的表面的尺寸。举例来说,用于支撑一个300毫米的晶片的托架的内圆直径可介于约4英寸到6英寸之间(举例来说,约5英寸),且外圆直径是介于约9英寸到11英寸之间(举例来说,约10英寸)。
可移除盖是由具有适于提供均匀的热传递的特定热特征的抗化学腐蚀及耐高温材料而制成。热特征的一些实例包含热传递系数、比热及放射率。在用于典型操作环境中经历合理大数目的循环之后,这些特征应保持大致稳定。可对盖执行一些初始预处理(例如,“老化(seasoning)”或“预烧(burn in)”),以确保后续的稳定性。举例来说,盖可在其面向衬底的表面上不具有任何衬底的情形下经历一个或一个以上处理循环,以调整其放射率特征。
可移除盖的合适材料的实例包含各种陶瓷材料,例如:氧化铝、氮化铝、钛酸钡、氮化硼、氮氧硅铝、硅、氧化硅、碳化硅、氮化硅、硅酸镁、碳化钛、氧化锌及二氧化锆。在特定实施例中,盖由氧化铝或更具体来说,由至少约99.5%的纯氧化铝或甚至更具体来说,由至少约99.9%的纯氧化铝而制成。高纯度水平有助于避免在所述盖随后接受处理时出现释气及颗粒形成。99.5%及99.9%的纯度水平还可应用于上文列举的其它陶瓷材料。
用于可移除盖的材料的特征还可基于其热特性。在某些实施例中,盖材料的热传递系数(在室温时)小于约100W/(m K)或,更具体来说,小于约50W/(m K),或甚至小于约25W/(m K)。如上文所述,低热传递系数可有助于“散热(thermal spreading)”且避免可移除盖的面向衬底的表面上形成热点。
可移除盖的另一特征在于其面向衬底的表面的放射率。此特征可取决于材料、表面涂饰及其它因素。在某些实施例中,所述面向衬底的表面的平均放射率小于约0.07或更具体来说,小于约0.03,或甚至小于约0.01。盖的配置方式一般使得这些放射率特征在许多次循环之后保持稳定。在某些实施例中,在约10,000次循环之后初始放射率改变小于约50%,或更具体来说,小于约25%,或甚至小于约5%。此稳定性可通过对盖特定地选择稳定材料且通过控制所述面向衬底的表面的粗糙度而实现。在不受限于任何特定理论的情形下,认为较为粗糙的表面经历的放射率漂移比更为光洁的表面小。在某些实施例中,面向衬底的表面的表面粗糙度介于约0.001英寸到0.025英寸之间。
上文所指定的放射率值是针对托架的典型操作温度及测量放射率的典型角度来界定。在辐射本体中,温度影响光谱分布发射能量。因此,本文所提供的放射率值是针对在操作条件下发射最强的光谱区来说。举例来说,处于约350℃到400℃之间的载盘的面向晶片的表面的放射率值一般对应于介于约2微米到约8微米之间的波长和约90°的放射率角度°。此外,在合适的情境下,所提供的放射率值为载盘的面向晶片的表面上的平均值或整数值。应了解,局部放射率值在表面上的各个点之间可能不同。举例来说,在操作期间,载盘的面向晶片的表面上可能形成划痕及/或局部褪色且因此具有局部化放射率峰值。还应理解,可周期性地对载盘的面向晶片的表面进行再整修,以使其放射率处于指定的范围内。
图5B为根据某些实施例的可移除盖500的仰视透视图,其说明面向载盘的表面510。在经装配托架中,面向载盘的表面510可与金属载盘的上表面接触或定位于距所述上表面预定距离处。直接接触实施例的热传递阻力较低,但是可提供散热。在直接接触实施例中,热传递阻力还取决于面向载盘的表面510的粗糙度及载盘的上表面的粗糙度。在特定实施例中,这些表面中的一者或二者的表面粗糙度小于20微英寸或更具体来说,小于约10微英寸,或甚至小于约5微英寸。此外,热通量的均匀性还取决于所述两个表面实质平行,例如在小于约0.025英寸或更具体来说,小于约0.010英寸,或甚至小于约0.005英寸的范围内。在其它实施例中,面向载盘的表面510与金属载盘的上表面之间的间隙是通过例如定位于这些表面中的一者或二者上的一组特征而提供。所述间隙可介于约0.001英寸到0.025英寸之间,或更具体来说,介于约0.005英寸到0.010英寸之间。
图5B还说明定位于面向载盘的表面510上(举例来说,位于盖500的中心中)的引导销514。引导销514经配置以突起进入载盘的上表面上的对应引导凹部中且建立和维持可移除盖500与载盘的相对位置。引导销514及引导凹部可具有紧密配合(在室温下),以提供所述两个组件的充分对准。在某些实施例中,引导销514的直径介于约0.125英寸到0.5英寸之间,或更具体来说,为约0.25英寸。在相同或其它实施例中,引导销514的高度介于约0.125英寸到0.5英寸之间,或更具体来说,为约0.25英寸。引导销514可制作为单独组件且接着附接到面向载盘的表面510。或者,引导销514可为可移除盖500的一体部分。
图5B还说明定位于面向载盘的表面510上的两个固持柱512a及512b。在某些实施例中,两个固持柱经定位而与引导销514处于同一条直线。经设计用于支撑300毫米的晶片的盖的两个固持柱可定位于距所述盖的中心约4英寸到5.75英寸之间,或更具体来说,介于约4.5英寸到5英寸之间(例如,约4.8英寸)。固持柱512a及512b应定位得相对靠近可移除盖500的外缘,以避免在金属载盘上出现过深的侧固持凹孔。固持柱512a及512b的其它布置是可能的。固持柱512a及512b可制作为单独组件且接着附接到面向载盘的表面510。或者,固持柱512a及512b可作为可移除盖500的一体部分。
将引导销及/或固持柱制作为单独组件允许在制作其它特征(例如,面向载盘的表面及面向衬底的表面)期间实现更大的精确性。举例来说,这些表面中的一者或两者可按更为精确的粗糙度规格而制作,或者平坦及/或平行程度大于原本所可能的水平。可使用多种不同的技术,例如,釉质接合或铜焊来进行附接。举例来说,铜焊涉及将一些小颗粒陶瓷材料放置于具有大颗粒的两个预制陶瓷组件之间。此堆叠接着经历加热且在某些实施例中,经历压力,以便熔融小颗粒陶瓷材料且将所述材料熔合到其它两个组件中,从而形成连续冶金接合。
现将参考图5C来更为详细地描述可移除盖500的一些额外特征,例如,其厚度及盖边缘突起(如果存在此突起)的轮廓。具体来说,图5C为根据某些实施例的可移除盖500的边缘部分的透视图。此图说明面向衬底的表面502及盖边缘突起504的若干部分。图中还说明凹部508,其允许以横截面图检视一些额外特征。举例来说,可移除盖500的厚度可从此图估计。术语“厚度”界定为面向衬底的表面502与面向载盘的表面(不可见)之间的距离。此定义并不涵盖这些表面上的各种突起或凹部。在某些实施例中,盖的厚度可介于约0.075英寸到约0.500英寸之间或更具体来说,介于约0.125英寸到0.250英寸之间(举例来说,约0.198英寸)。可选定特定厚度来满足某些导热率要求。举例来说,当使用热阻较大的材料来进行盖构造时,则盖可制作得薄于例如使用导热率较小的材料时。上文所呈现的值可应用于由氧化铝或在下文描述的处理条件下使用的其它陶瓷材料所制成的盖。出于制作原因(例如,盖的制作、引导销与固持销的接合及其它因素),可能需实现某一最小厚度。
图5C还允许检视且估计盖边缘突起504的高度。在某些实施例中,所述高度介于约0.010英寸到0.100英寸或更具体来说,介于约0.025英寸到0.050英寸之间(举例来说,约0.040英寸)。此高度应足以向衬底的边缘提供支撑,如上文关于图4A及4B所述。然而,所述高度不应过大,以免对此边缘造成损害。在某些实施例中,盖边缘突起504在与面向衬底的表面502的界面处具有槽。所述槽可有助于提供至衬底的某种对准且有助于定位和移除所述衬底。在某些实施例中,槽相对于面向衬底的表面502的角度介于约30°到60°之间(例如,约45°)。
图6A及6B为根据某些实施例的不同可移除盖600的示意性俯视图及仰视图。如图6A中所示,此可移除盖600在其面向衬底的表面602上并不具有MCA支撑件。相反地,可移除盖600具有多个穿孔606a及606b,其允许MCA支撑件突起穿过可移除盖600且延伸高于其面向衬底的表面602。穿孔606a及606b在图6A中的面向衬底的表面602上及图6B中的面向载盘的表面612上均可见。在这些实施例中,MCA支撑件可为金属载盘的一部分或由金属载盘支撑的独立组件(例如,蓝宝石球)。因为金属载盘的热膨胀系数可与可移除盖600大不相同,所以MCA支撑件可相对于可移除盖600而移动。举例来说,铝的热膨胀系数为约22.2x10-6m/(m K)且对于氧化铝基陶瓷仅仅为5.4x10-6m/(m K)。因此,将长度为6英寸(约为典型托架的半径)的陶瓷对象及铝对象从室温加热到约425℃(对应于一些操作温度)将导致约0.041英寸的延伸差异。因此,在某些实施例中,穿孔606a及606b形成为狭槽,其为相对于可移除盖600的中心而呈径向细长状。穿孔606a及606b可沿一个或一个以上圆(图6A中用虚线展示两个圆)而布置。可移除盖600可沿边缘604具有盖边缘突起。或者,可移除盖600可具有面向衬底的表面602,其延伸直到边缘604且并不由盖边缘突起封闭。可移除盖600的其它特征可相同或类似于上文参考图5A到5C而描述的特征。举例来说,图6B说明包含两个固持柱616a及616b及引导销614的面向载盘的表面612。
金属载盘
现将参考图7更为详细地描述金属载盘的各种特征。金属载盘可用于支撑可移除盖且向所述可移除盖传送热。金属载盘可形成为大块金属结构而从加热元件(其可附接到载盘的底部或定位于载盘内)提供初始热分布。具体来说,图7为根据某些实施例的金属载盘700的透视图。金属载盘700可由各种导热材料构造。这些材料还应在各种处理环境中抗化学腐蚀且耐热。铝可用于构造金属载盘(更具体来说,铝6061、铝7075及铝3003)。也可使用其它等级的铝或金属。在某些实施例中,金属载盘具有保护性涂层,例如硬质阳极氧化涂层。某些涂层可用于上表面702,以改善或另外控制金属载盘700与盖之间的热传递。举例来说,硬质阳极氧化涂层可用于修改上表面702的表面粗糙度及/或放射率。
金属载盘700的厚度可为至少约0.5英寸或更具体来说,至少为约1英寸(例如,为约1.4英寸)。较厚的载盘一般提供来自加热器的更为均匀且稳定的温度分布。载盘700可经配置以维持其上表面702处于约100℃到450℃之间的设定点。一些操作范围实例包含介于约120℃到140℃之间(例如,约130℃)、介于约280℃到320℃之间(例如,约300℃)或介于约375℃到425℃之间(例如,约400℃)的温度。
金属载盘702还包含多个凹部704,其用于容纳附接到腔室的内部晶片传递机械臂手指或栓件。在托架装配期间,凹部704与盖的对应的凹部对准。金属载盘700还可具有一个或一个以上上固持凹孔708和一个或一个以上侧固持凹孔710。每一上固持凹孔708可具有对应的侧固持凹孔710。两个凹孔708与710交叉,使得盖的被插入上固持凹孔708中的固持销可与被插入侧固持凹孔710中的固持键啮合,如下文参考图8A及8B进一步阐释。上固持凹孔708可形如细长狭槽,其相对于载盘700的中心在径向方向上延伸,以适应盖与载盘700之间的任何热膨胀差异。上表面702还包含引导凹部706,其用于在托架的装配期间接纳盖的引导销。如上所述,引导凹部706与引导销的组合用于提供且维持盖相对于金属载盘702的对准。
上表面702可具有一个或一个以上释气凹槽712a及712b。这些凹槽经配置以提供从上表面702上的各种点到载盘700的外侧缘714的路径。当盖定位于上表面702上时,上表面702与所述盖的面向载盘的表面之间可能因为所述两个表面之间的平坦度变化而形成小凹穴。当腔室内侧的压力改变时,气体将试图从这些凹穴排出(例如,在真空化所述腔室期间)或将试图填充这些凹穴(例如,当所述腔室内的压力增加时)。此现象有时被称为托架的“释气”。通过提供往来于所述凹穴的较不阻塞的路径,释气凹槽712a及712b有助于加快释气。因为凹穴的位置及尺寸难以预测且可能随着时间流逝而变化(例如,因为因温度、压力及其它因素造成的金属载盘及盖变形),释气凹槽712a及712b可均匀地分布在整个上表面702上。释气凹槽的深度可介于约0.005英寸到0.025英寸之间(举例来说,约0.015英寸)。
在某些实施例中,释气凹槽可包含径向释气凹槽712a及同心释气凹槽712b。具体来说,径向释气凹槽712a延伸到载盘700的外侧缘714且提供路径到腔室的腔室环境。径向释气凹槽712a可或可不穿过载盘700的中心。具体来说,图7说明八个相交于中心处的径向释气凹槽712a。这些凹槽均匀地间隔开约45°。然而,还可使用其它数目及配置的径向释气凹槽。
图7还说明两个同心释气凹槽712b。同心释气凹槽712b及径向释气凹槽712a彼此相交且在上表面702与外侧缘714上的各种位置之间提供气体流动路径。对于设计用于支撑300毫米晶片的载盘来说,内同心凹槽的直径可介于约3英寸到5英寸之间(例如,约4英寸)。外同心凹槽的直径可介于约6英寸到10英寸之间,或更具体来说,介于7英寸到9英寸之间(例如,约8英寸)。总体来说,应对凹槽的型样加以设计以使得整个上表面702由凹槽均匀地覆盖且提供相对直接的流动路径到外侧缘714。除了在金属载盘上提供释气凹槽之外或作为替代手段,也可在可移除盖上提供释气凹槽。
固持机构
现将参考图8A及8B来更为详细地描述固持机构的各种特征。所述固持机构用于在托架的操作及搬运期间相对于金属载盘支撑可移除盖,且在某些实施例中,用于维持这两个组件之间的紧密接触。还可通过可移除盖的重量而提供一定程度的支撑。
固持机构可包含一个或一个以上固持柱,其刚性地附接到盖的面向载盘的表面。具体来说,图8A为盖800的面向载盘的表面802的仰视图,其说明固持柱804的各种特征。固持柱804包含杆806,所述杆806的一端附接到面向载盘的表面802。杆806具有圆周狭槽808。杆806的直径可介于约0.25英寸到0.5英寸之间(举例来说,约0.375英寸)。圆周狭槽808的深度可介于约0.040英寸到0.125英寸之间(举例来说,约0.063英寸)。杆806的在面向载盘的表面802下方延伸的高度可介于约0.040英寸到0.125英寸之间(举例来说,约0.060英寸)。固持柱804(如果被制作为单独组件)还可包含附接头部,其用于接合到面向载盘的表面802或更具体来说,附接到面向载盘的表面802中的凹部。面向载盘的表面802可包含两个或任何其它数目的固持柱804。
图8B为根据某些实施例的托架的透视图,其说明在所述托架的装配之前固持机构的各种组件及特征。具体来说,图中展示盖800在被定位于金属载盘810的上表面812上之前的情形。对于盖800的面向载盘的表面上的每一固持柱(图8B中不可见),金属载盘810具有上固持凹孔814及侧固持凹孔816。这些凹孔的位置对应于固持柱的位置。上固持凹孔814经配置以接纳固持柱。其可稍微径向细长(如上所解释),以适应盖800与金属载盘810的热膨胀差异。在装配期间,固持柱突起进入上固持凹孔814中,直到所述柱的圆周狭槽与侧固持凹孔816对准。在某些实施例中,固持柱及凹孔的设计方式可使得当盖的面向载盘的表面与载盘的上表面接触时,所述固持柱的圆周狭槽与侧凹孔的中心对准。可将固持键820插入侧固持凹孔816中而继续托架装配。固持键820包含本体822、狭槽啮合唇部826及提取唇部824。在插入侧固持凹孔816中期间,狭槽啮合唇部826延伸穿过所述圆周狭槽且啮合固持柱,使得其无法从上固持凹孔814移除。接着可继续装配:将固持盖830插入侧固持凹孔816中以防止固持键820滑出侧固持凹孔816。固持盖830及固持键820可与托架用相同的材料制成,以最小化热膨胀差异。固持盖830可相对于侧固持凹孔816具有紧密配合,而固持键820可具有滑动配合。
多台设备实例
上述的各种托架实例可用于单一台设备或多台设备中。图9为根据某些实施例的多台设备900的示意图。设备900包含处理腔室901及一个或一个以上卡盒903(例如,前开口统一端口),以固持待处理的晶片及已经完成剥离处理的晶片。处理腔室901可具有若干台,例如,两个台、三个台、四个台、五个台、六个台、七个台、八个台、十个台或任何其它数目的台。台的数目通常由处理操作的复杂性及可在共享环境中执行的这些操作的数目而决定。图9说明包含六个台911到916的处理腔室901。多台设备900中位于单一处理腔室内的所有的台911到916暴露到相同的压力环境。然而,每一台911到916可具有个别局部等离子体条件以及个别局部加热条件,其由指定的等离子体产生器、加热器及载盘配置实现。
待在设备900中处理的半导体衬底从卡盒903中的一者经由一个或两个负载锁905a及905b而装载于台911中。外部机械臂907可用于在卡盒903与负载锁905a及905b之间传递衬底。在所绘示的实施例中,存在两个单独的负载锁905a及905b。负载锁905a及905b用于在一个压力环境(例如,处理腔室901外侧的大气压力)与另一压力环境(例如,处理腔室901内侧的远低的压力)之间传递衬底。一旦压力平衡到对应于处理腔室901的内部环境的水平,则可使用另一传递装置(未图示)来将衬底从负载锁905a移动到台911上。相同或另一传递装置可用于将衬底从台916移动回到负载锁905b中,以从处理腔室901移除。内部机械臂909可用于在处理台911到916之间传递衬底。内部机械臂909可包含转轴组合件,其具有多个朝向处理台延伸的臂。每一臂可具有四个手指(例如,在所述臂的每一侧上具有两个朝向所述台延伸的手指)。这些手指用于升高、降低和定位衬底于处理台内。
在衬底被定位于台911上之前,内部机械臂909的对应的臂经定位而使得四个手指(即,定位于台911的相对侧上的两个邻近臂的每一侧上的两个手指)驻留于载盘及/或可移除盖的凹部内。如上所解释,这些凹部适于接纳此类手指。可随后从台911的凹部升高手指,以支撑衬底于台911上方且移动所述衬底到另一台上。因此,其它台的凹部也经配置以接纳这些手指。总体来说,任一台的凹部均经配置以接纳内部机械臂909的任何组的手指。内部机械臂909及台911到916的托架经配置以相对于彼此垂直地移动,以升高衬底高于所述托架的表面或将衬底定位于托架表面上。所属领域的一般技术人员应理解,将衬底定位于托架的表面上可或可不涉及到这些组件的大部分之间的直接接触。举例来说,托架可配备有MCA支撑件,以防止过多接触衬底的背侧。为了描述总体半导体处理设备实施例及处理实施例,据说衬底定位于托架上,尽管其由MCA支撑件支撑。此外,内部机械臂909及台911到916的托架经配置以相对于彼此旋传移动,以将衬底从一个台移动到另一台。因为所有的台均处于相同的环境中,所以所述台之间无需负载锁或其它类型的传递端口。一个衬底可在每一台上或选定子组的台上处理(包含加热)。
一个台(例如,台911)可经保留而用于对新近接收到的衬底晶片进行初始加热。此台可配备有定位于所述台上方的加热灯。衬底的初始温度可接近室温(例如,约25℃)。在此预加热操作之后,温度可超过300℃且一般由后续操作(例如结痂剥离或整体剥离)决定。
其它台(例如,台912、913、914、915及916)可用于其它类型的处理。在所述设备中的多个台上的处理可循序或并行执行。在某些实施例中,设备900的所有或一些选定处理台可包括具有可移除盖的托架。如上所述,一些或所有处理台可具备其自身的射频(RF)电源,例如下游感应耦合的等离子体RF源。这些台还可经配备而向定位于托架表面上的衬底施加偏置。此外,一些或所有载盘可配备有加热元件。
不同台可具有相对于内部机械臂909位于不同垂直位置的托架。举例来说,台912及913的托架可驻留于降低位置,以使来自这些托架的热传递通量较小。举例来说,这些台可用于从光致抗蚀剂移除植入物结痂。因此,在此操作期间,衬底与托架(例如,可移除盖)之间可存在间隙,以保持所述衬底温度低于在其它台上执行的其它操作期间的温度。此间隙可介于约0.1英寸到3英寸之间或更具体来说,介于约1.5英寸到2.5英寸之间。在处理期间,可基于一个或一个以上因素而选择及/或调整所述间隙,例如载盘的面向晶片的表面的放射率、载盘的温度、晶片被传递到台时的初始温度、操作期间的晶片温度要求、晶片的热预算、晶片的电阻率、衬底上的光致抗蚀剂的类型及其它过程参数。托架的降低位置界定为托架(即,其面向衬底的表面或MCA支撑件)不与衬底接触的任何位置。托架的垂直定向的差异(即,升高位置与降低位置之间)允许实现不同的衬底温度,同时保持大致类似的托架加热配置(从托架的结构及加热元件的输出二者的角度来说)。或者,不同的台可具有不同类型的托架或更具体来说,不同类型的盖。举例来说,需要较低的衬底温度的台912及913的托架可配备有较厚的衬底盖。在相同或其它实施例中,这些托架可具有由导热率较小的材料制成的盖。此外,可控制加热器的输出以实现不同的衬底温度。在某些实施例中,这些技术的各种组合(即,托架的垂直位置、可移除盖的热传递特征及加热器的输出)可用于控制衬底的温度。
现将简要描述使用多台设备900来进行HDIS剥离过程的一些实例。下文参考图11来提供所述过程的进一步细节。衬底首先被定位于台911上,且其托架位于升高位置,且经加热到介于约120℃到140℃之间的温度。在某些实施例中,此台的托架不具有可移除盖且衬底经定位而与金属载盘直接接触。在其它实施例中,此台的托架具有可移除盖,其相对于用于其它托架上的其它盖高度导热。当衬底移动到台912且接着到台913时,这些台的托架位于降低位置,使得所述衬底并不接触这些托架且热传递被最小化。或者,台912及913的一个或两个托架可在处理的某一部分或整个处理期间升高。举例来说,这些托架可配备有相对厚的耐高温盖,其实质上限制热传递。在某些实施例中,这些托架经配置以维持衬底的温度处于相同的水平(例如,在台911上达到约120℃到140℃之间)。
接着将衬底移动到台914,以开始整体剥离。衬底温度可能需要增加到至少约250℃或更具体来说,约280℃。此台的托架可位于升高位置,使得可移除盖与衬底接触。同时,此托架可配备有具有良好热传递性质的可移除盖。
在某些实施例中,设备用于处理不同的衬底类型。举例来说,相同设备可用于剥离一般需要高温条件的“无结痂(un-crusted)”光致抗蚀剂和需要较低温度条件的结痂光致抗蚀剂。为了在这些不同温度操作规程之间切换,可改变托架盖以实现更为精确的控制。设备的此结构性改变可与托架的加热器输出及/或垂直位置的改变相关联。
在某些实施例中,系统控制器921用于控制下文描述的针对剥离过程的各种操作的处理条件。举例来说,系统控制器921可控制每一台911到916中的托架的位置及其加热器输出。系统控制器921可接收来自各种传感器(例如,测量托架、衬底及其它组件的温度的热电偶)及来自用户接口(例如,指定用于每一托架上的盖的类型)的输入。系统控制器921一般包含一个或一个以上存储器装置及一个或一个以上处理器。所述处理器可包含中央处理单元(CPU)或计算机、模拟及/或数字输入/输出连接、步进器电动机控制器板及类似物。
系统控制器921可控制设备900的一些或甚至大部分活动。举例来说,系统控制器921可执行包含成组指令的系统控制软件,以控制各种处理操作的计时、台911到916的托架位置、衬底及托架温度、腔室901内侧的压力及其它过程参数。其它计算机程序可存储于与系统控制器921关联的存储器装置上。这些程序可用于各种处理及维修任务。用于控制处理操作的计算机程序代码可用任何常规的计算机可读编程语言写成,举例来说:汇编语言、C、C++、帕斯卡语言(Pascal)、福传语言(Fortran)或其它语言。经编译的目标代码或脚本由处理器执行,以执行程序中所识别的任务。
在某些实施例中,系统控制器921具有与其相关联的用户接口。所述用户接口可包含显示屏幕、设备及/或处理条件的图形软件显示器,及用户输入装置(例时指向装置、键盘、触控屏幕、麦克风及类似物)。
控制器参数有关于处理条件,例如,处理步骤的计时、前驱物及其它工艺气体的流速及温度、衬底的温度(举例来说,由托架相对于衬底的位置及/或传送到托架的能量/电力控制)、腔室的压力及特定过程的其它参数。这些参数以配方的形式提供到用户且可使用用户接口而输入。
所述系统软件可以许多不同的方式设计或配置。举例来说,可编写各种腔室组件子例程或控制对象,以控制执行本文所述的某些操作所必须的腔室组件的操作。用于此目的的程序或程序段的实例包含处理步骤的衬底计时代码、前驱物及其它处理气体的流速及温度代码及用于腔室的压力的代码。
系统控制器921可接收来自用户接口(例如,操作者输入过程参数,例如衬底类型、温度要求及各种剥离操作的持续时间)及/或各种传感器(例如,测量衬底及载盘温度的热电偶、辐射测量装置、寄存衬底与载盘位置的传感器、压力测量装置及其它)的输入。系统控制器921可连接到处理腔室901内侧的每一台911到916的致动器机构且经配置以基于提供到系统控制器911的输入而控制每一载盘的位置(例如,升高、降低、中间、可变或任何其它位置)。在对剥离过程的描述或此文档的其它部分中呈现了各种控制配方。举例来说,系统控制器921可接收指示待在台912上处理的下一衬底的电阻率低且应使用HDIS剥离方法的输入。系统控制器921可验证来自一个或一个以上传感器的某些处理条件(例如,当下一衬底被接纳于台912的载盘上时所述衬底的温度、载盘的温度,或衬底的电阻率)。系统控制器921可基于所有可获得的输入确定托架应位于降低位置且可验证托架的当前位置。系统控制器921接着可指示台912的致动器将托架移动到降低位置中。此外,接收输入且调整托架的位置可为动态过程。系统控制器921可连续地接收输入(例如,衬底的温度)且在所有操作期间重新调整托架的位置,以便更精确地控制衬底的温度。
多腔室设备实例
图10为根据某些实施例的多腔室设备1000的示意图,其可配备有具有可移除盖的托架。设备1000可具有三个单独的腔室1001、1003及1005(如图示)或任何其它数目的腔室。每一腔室1001到1005具有其不与其它腔室共有的自身的压力环境。举例来说,腔室1001可与腔室1003及1005在不同的压力水平下操作或在其环境中具有不同的化学组分。这提供额外处理灵活性,但还需要在不同的操作环境之间经由传递端口传递衬底,以防止这些环境之间发生交叉污染。具体来说,图10说明每一腔室具有两个负载锁(即,腔室1001具有一组负载锁1021,腔室1003具有一组负载锁1023且腔室1005具有一组负载锁1025)。应理解,每一个别腔室可使用任何数目的负载锁。负载锁1021到1025可暴露到中间环境1031,其可不同于储存卡盒1009的周围环境,且可通过一组负载锁(未图示)而与储存卡盒1009分隔。此外,一个或一个以上腔室1001到1005可与中间环境1031共享其环境,且因此,一个或一个以上对应的负载锁组1021到1025可在两个侧上省略或保持开放。
图10展示每一个腔室配备有两个台。然而,可使用任何数目的台。在一个实施例中,所述多腔室设备的一个或一个以上腔室可类似于上文参考图9所述的六台的实例。每一腔室并不必具有相同数目的台。多腔室设备1000的一个或一个以上台包括具有如上所述的可移除盖的托架。在某些实施例中,一个腔室或所有腔室中的所有台包括具有可移除盖的托架。
多腔室设备1000还可具有共享的衬底搬运机械臂1007,以在负载锁1021到1025与一个或一个以上卡盒1009或一些其它组件之间传递晶片。每一腔室(且甚至每一个别台)可由系统控制器1011控制,所述系统控制器1011可类似于上文参考图9所述的系统控制器来配置。
处理实例
图11说明根据某些实施例的对应于从半导体衬底剥离光致抗蚀剂的各种方法的过程流程图。此描述提供具有可移除盖的托架的各种特征的额外情境。过程1100可始于在操作1101中将托架加热到预定温度。在某些实施例中,托架被加热到至少约200℃或更具体来说,到至少约300℃。在此操作之后,托架的面向衬底的表面(例如托架盖的面向衬底的表面)的整个表面上的温度变化可小于约2℃或更具体来说,小于约1℃。
过程1100可继续:在操作1102中将衬底定位于经加热托架的上方。在某些实施例中,衬底表面包含低k介电材料或在后段过程(BEOL)处理中所采用的其它材料。或者,所述表面可包含硅(例如,单晶硅及/或多晶硅)或前段过程(FEOL)处理中所使用的其它材料。
托架可位于升高位置且可用于支撑晶片(通过与面向衬底的表面直接接触或与MCA支撑件直接接触或二者)。或者,托架可位于降低位置且晶片例如由晶片传递机械臂的手指支撑。如上所述,托架的温度、可移除盖的类型及托架的垂直位置由衬底的温度要求所决定,其针对整体剥离及HDIS剥离来说可不同。
过程1100可继续:在操作1104中从衬底移除一些或所有光致抗蚀剂。托架可保持于原始位置或可在此操作期间调整,以实现更为精确的温度控制。等离子体可用于辅助剥离光致抗蚀剂。可例如连同氧化剂(例如氧气、二氧化碳、一氧化碳、四氟化碳)使用惰性气体。可使用任何已知的等离子体源,例如,RF等离子体、DC等离子体、微波等离子体或任何其它已知的等离子体源。在优选实施例中,使用下游RF等离子体源。一般来说,对于300mm晶片,RF等离子体功率介于约300瓦特到约10000瓦特之间的范围。在优选实施例中,RF等离子体功率介于约3000瓦特到6000瓦特之间。处理腔室可保持于介于约300毫托到2托之间,或更具体来说,介于约0.9托到1.1托之间的压力。晶片温度可保持介于约220℃到约300℃之间。
过程1100可继续:在操作1106中将经处理的衬底从托架移除。举例来说,内部机械臂的在处理期间驻留于托架凹部内的一组手指可将衬底升高且移动到另一台或运送到另一传递机构,以从腔室移除。
过程1100可涉及到对托架的面向衬底的表面进行周期性检查,以确定任何温度变化、褪色及/或污染。此检查可在处理某一预定数目的衬底之后或在处理每一晶片之后执行。举例来说,一个或一个以上热电偶可向系统控制器提供关于所述面向衬底的表面的温度条件的反馈。
温度测量可由任何合适的装置执行,包含热电偶、高温计、测量从晶片发出的红外辐射的发射计及类似物。一般来说,使用非接触温度测量装置,以避免污染或损坏晶片。如果使用接触装置,则所述接触装置可能接触晶片的下侧或边缘而非顶侧。在特定实施例中,可将黑体放置在邻近晶片处,且所述黑体中具有热电偶以监视温度。在某些实施例中,一个或一个以上热电偶悬挂或支撑于晶片附近。放置于不同点的多个热电偶可用于供应额外的温度信息。热电偶输出直流电压,其为温度的指示项。
如上所述,温度感测装置通常将晶片温度信息以输出电压的形式发送到控制器。所述控制器分析数据且转而将指令发送到线性电动机,以调制晶片-托架间隙且保持温度在希望的水平。一般来说,具有小过冲的精确反馈控制是必要的。在某些实施例中,所述控制器用比例-积分-微分(PID)算法而编程,以实现稳定且精确的控制。在某些实施例中,用于移动托架及/或晶片支撑件的电动机为伺服机构控制的线性致动器电动机,其接收指令,以基于来自温度测量设备的输入而进行规定的运动。所述电动机可具有嵌入的逻辑电路,以针对间隙变化支持PID闭环算法。
过程可包含对一个或一个以上预定参数进行周期性检查(框1108),其可触发在操作1110中可更换可移除盖。此更换操作的某些方面上文已参考图8A及8B而描述。应注意,从托架移除的盖可经进一步再加工且引入回到所述过程中。举例来说,可对盖的面向衬底的表面进行抛光,以实现适合的特征,例如放射率。
过程1100可继续:关于是否需要处理额外的晶片的询问1112。如果需要,则操作1102到1110可如上所述重复。应注意,一些过程条件在此新循环中可不同。实际上,在先前的操作1100中可具体更换可移除盖,以改变到或适应新的过程条件。
在一些实施例中,剥离设备还可用于与PLAD(等离子体辅助掺杂)过程关联的剥离过程中,其提供极高浓度(例如,1X1016cm-2或更多)的掺杂剂(一般为硼、砷或含磷物)。较高浓度使得更加难以移除结痂,因为封存于结痂中的掺杂剂一般比经氧化光致抗蚀剂材料挥发性更低。有时,向等离子体添加含氟化合物,以增强所述移除过程。在其它实例中,将衬底暴露到由氧气及一合成气体所形成的第一等离子体。合成气体可包含氢气(例如,介于约0.5到10摩尔百分比或更具体来说,介于约4到6摩尔百分比,或更为具体来说,约5摩尔百分比)。此方法还可包含使用第一等离子体中的氧气及合成气体在衬底上形成薄氧化物的步骤。所述氧化物可为充分厚以防止或至少使在衬底被暴露到氟基团时硅的损失最小化。举例来说,所述氧化物的厚度可介于约0纳米到5纳米之间,或更具体来说,介于约0纳米到约2纳米之间。
第一等离子体中的合成气体充当用以还原光致抗蚀剂的结痂的还原剂。具体来说,氢气经由如下机制相当有效地将氧化硼还原为更为挥发性的物种:
B2O3+H+→BXHY+OZ
相比于未经还原的结痂,这些挥发性物种可更易于从半导体衬底移除。在某些实施例中,所述第一等离子体包含的氧气对合成气体的比率为介于约0∶1到1∶0之间,或更具体来说,介于约1∶19到19∶1之间(举例来说,约4∶1)。
在半导体衬底已经被暴露于第一等离子体达足以移除一部分光致抗蚀剂且允许氧化物层形成于衬底上的时间之后,所述衬底随后经历第二等离子体。在某些实施例中,所述第二等离子体由氧气、合成气体或惰性稀释剂(例如,举例来说,氮气或氦气)及充当氟基团源的含氟气体所形成。含氟气体可为三氟化氮(NF3)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氟甲烷(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟异丁烯(C4F8)、氟(F2)及类似物。在某些实施例中,所述第二等离子体由氧气、合成气体或氮气及CF4形成。在某些实施例中,第二等离子体经形成其中存在的氧气含量为约10%到约100%,合成气体或氮气的含量为约0%到约50%,且CF4的含量为约0%到约20%。在更特定的实施例中,第二等离子体由氧气、合成气体或氮气及CF4所形成,且氧气:合成气体或氮气:CF4的比率为约16∶2∶0.05。合成气体可允许对硅损失进行更为精确的控制,因为氢气与氟基团键合。第二等离子体移除光致抗蚀剂残留物,且以慢得多的速率移除所述薄氧化物层,同时最小化在第二等离子体过程期间消耗的硅。
在某些实施例中,在暴露到第二等离子体期间,半导体衬底被保持于或加热到约16℃(即,室温)到约300℃的范围内的温度。半导体衬底暴露到第二等离子体的时间随着在第一等离子体过程之后光致抗蚀剂残留物的厚度而变。半导体衬底还被保持于约1毫托到约1个大气压且优选为约0.1托到约10托的范围内的压力。应理解,暴露到第一等离子体及暴露到第二等离子体可作为两个离散步骤而执行,举例来说,在二者之间执行冲洗步骤,或者可作为一个连续等离子体流动步骤而执行,其中连续等离子体流的组合物从第一等离子体的组合物改变到第二等离子体的组合物。总体来说,托架的可移除盖由一种或一种以上可抵抗上述处理环境的材料制成。
图案化方法/设备实例
本文上述的设备/过程可与光刻图案化工具或过程结合使用,例如用以制作或制造半导体装置、显示器、LED、光伏面板及类似物。一般来说(但是不必须),此类工具/过程将在共同制作设施中一起使用或进行。薄膜的光刻图案化一般包含一些或所有下列步骤,其中每一步骤是以若干可能的工具来实现:(1)使用旋转或喷涂工具将光致抗蚀剂涂布于工件(即,衬底)上;(2)使用热板、炉或UV固化工具固化光致抗蚀剂;(3)用例如晶片步进器的工具将光致抗蚀剂暴露到可见光、UV光或x射线光;(4)显影抗蚀剂,以选择性地移除抗蚀剂且因此使用例如湿式清洗台等工具来图案化抗蚀剂;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下伏的薄膜或工件中;及(6)使用例如RF或微波等离子体抗蚀剂剥离器的工具来移除抗蚀剂。
实验结果
图12中呈现的实验结果支持证明定位于铝托架上的陶瓷盖为有效的散热器。具体来说,图12说明在模型化热稳定状态期间这两个组件的热图。盖1204由99.9%的纯氧化铝制成。其厚度为0.198英寸。托架1202由铝6061制成。其厚度为1.395英寸。突起1206a、1206b及1206c说明加热元件的位置。所述模型是针对400℃的设定温度而创建。
结果表明,盖1204的面向衬底的表面的大部分的温度为397.8℃,而较小的外缘部分的温度为398.4℃。同时,托架1202的上表面被均匀地分割为401.1℃区、401.6℃区及402.2℃区。不受限于任何特定的理论,认为如果不使用盖1204,则上表面的温度分布可能甚至更不均匀。认为盖1204还可有助于托架1202的上表面中的热分布。总体来说,这些结果表明,具有盖的托架比不具有盖的托架可使面向衬底的表面的温度分布均匀得多。
结论
尽管为了清晰的理解,以某种细节描述上述概念,但将显而易见的是,在所附权利要求书的范围内,可做出某些改变及修改。应注意,存在多种替代方式来实施所述过程、系统及设备。因此,本发明的实施例应被理解为阐释性而非限制性的。

Claims (35)

1.一种托架,其用于将半导体衬底支撑于用于处理所述半导体衬底的设备中,所述托架包括:
金属载盘,其包括上表面,所述上表面用于向所述半导体衬底提供热;及
可移除陶瓷盖,其用于在所述设备中处理所述半导体衬底期间向定位于所述可移除陶瓷盖的面向衬底的表面上方的所述半导体衬底提供实质上均匀的热传递,所述可移除陶瓷盖被定位在所述金属载盘的所述上表面上方。
2.根据权利要求1所述的托架,其中所述可移除陶瓷盖包括与所述面向衬底的表面相对的面向载盘的表面,在装配所述托架之后,所述面向载盘的表面的大部分与所述金属载盘的所述上表面直接接触。
3.根据权利要求1所述的托架,其中所述可移除陶瓷盖包括与所述面向衬底的表面相对的面向载盘的表面,在装配所述托架之后,所述面向载盘的表面定位于距所述金属载盘的所述上表面的预定距离处。
4.根据权利要求1所述的托架,其中所述金属载盘包括选自由下列各项组成的群组的一个或一个以上铝材料:铝6061、铝7075及铝3003。
5.根据权利要求1所述的托架,其中所述金属载盘包括加热器,所述加热器布置于所述金属载盘内,用于将所述金属载盘的所述上表面加热到介于约100℃到450℃之间的温度。
6.根据权利要求1所述的托架,其中所述面向衬底的表面包括一组支撑件,所述组支撑件用于将所述半导体衬底支撑于所述面向衬底的表面上方的平均预定距离处。
7.根据权利要求6所述的托架,其中所述平均预定距离介于约0.004英寸到约0.007英寸之间。
8.根据权利要求6所述的托架,其中所述组支撑件包括至少六个个别支撑件,所述至少六个个别支撑件布置成以所述可移除陶瓷盖的中心为中心的两个或两个以上圆形图案。
9.根据权利要求1所述的托架,其中所述金属载盘的所述上表面包括突起穿过所述可移除陶瓷盖中的开口的一组支撑件,所述组支撑件经配置以将所述半导体衬底支撑于所述可移除陶瓷盖的所述面向衬底的表面上方的平均预定距离处。
10.根据权利要求9所述的托架,其中所述组支撑件包括陶瓷球,所述陶瓷球定位于附接到所述金属载盘的延伸部的自由端上。
11.根据权利要求9所述的托架,其中所述开口具有从所述可移除陶瓷盖的中心径向地延伸的细长形状。
12.根据权利要求1所述的托架,其中所述托架经配置以将所述面向衬底的表面的温度分布保持于400℃的设定温度内少于约3℃以内。
13.根据权利要求1所述的托架,其中所述可移除陶瓷盖的厚度介于约0.075英寸到约0.500英寸之间。
14.根据权利要求1所述的托架,其中所述可移除陶瓷盖具有平行于所述面向衬底的表面的小于约0.002英寸偏差以内的面向载盘的表面。
15.根据权利要求1所述的托架,其中所述可移除陶瓷盖包括选自由下列各项组成的群组的一个或一个以上材料:氧化铝、氮化铝、钛酸钡、氮化硼、氮氧硅铝、碳化硅、氮化硅、硅酸镁、碳化钛、氧化锌及二氧化锆。
16.根据权利要求1所述的托架,其中所述可移除陶瓷盖包括引导销,所述引导销从面向载盘的表面的中心延伸且突起进入所述金属载盘的所述上表面中的对应凹部中,所述引导销及所述对应凹部经配置以维持所述可移除陶瓷盖与所述金属载盘的相对位置。
17.根据权利要求1所述的托架,其中所述可移除陶瓷盖包括两个或两个以上固持柱,所述固持柱从面向载盘的表面延伸且进入所述金属载盘的所述上表面上的相应上固持凹孔中,以相对于所述金属载盘紧固所述可移除陶瓷盖。
18.根据权利要求17所述的托架,其进一步包括两个或两个以上固持键,所述固持键延伸进入所述金属载盘的侧固持凹孔中且与所述可移除陶瓷盖的所述两个或两个以上固持柱啮合。
19.根据权利要求18所述的托架,其进一步包括两个或两个以上固持盖,所述固持盖用于插入所述金属载盘的所述侧固持凹孔中且覆盖所述侧固持凹孔内侧的所述两个或两个以上固持键。
20.根据权利要求1所述的托架,其中所述可移除陶瓷盖包括盖边缘突起,所述盖边缘突起延伸高出所述可移除陶瓷盖的所述面向衬底的表面且经配置以用于在所述设备中处理所述半导体衬底期间抓取所述半导体衬底的外缘。
21.根据权利要求1所述的托架,其中所述金属载盘包括载盘边缘突起,所述载盘边缘突起延伸高出所述可移除陶瓷盖的所述面向衬底的表面且经配置以用于在所述设备中处理所述半导体衬底期间抓取所述半导体衬底的外缘,其中所述可移除陶瓷盖定位于由所述载盘边缘突起形成的凹孔内。
22.根据权利要求1所述的托架,其中所述可移除陶瓷盖的所述面向衬底的表面的平均放射率小于约0.35。
23.根据权利要求1所述的托架,其中所述可移除陶瓷盖的面向载盘的表面及所述金属载盘的所述上表面的表面粗糙度为小于10微英寸。
24.根据权利要求1所述的托架,其中所述金属载盘的所述上表面包括一个或一个以上释气凹槽。
25.根据权利要求24所述的托架,其中所述一个或一个以上释气凹槽包括至少一个径向延伸远离所述金属载盘的中心的凹槽。
26.根据权利要求25所述的托架,其中所述一个或一个以上释气凹槽进一步包括至少一个同心凹槽。
27.根据权利要求24所述的托架,其中所述一个或一个以上释气凹槽的深度介于约0.005英寸到0.025英寸之间。
28.一种在处理半导体衬底期间用于托架上的可移除陶瓷盖,所述可移除陶瓷盖包括:面向衬底的表面,其用于向定位于所述可移除陶瓷盖的所述面向衬底的表面上方的所述半导体衬底提供均匀的热传递;及
面向载盘的表面,其用于定位在所述托架的金属载盘上。
29.一种用于处理半导体衬底的设备,所述设备包括:
腔室,其经配置以接纳所述半导体衬底;
等离子体源,其用于在所述腔室内产生等离子体;
托架,其用于支撑所述半导体衬底且加热所述半导体衬底,所述托架包括:
金属载盘,其包括上表面,所述上表面用于向所述半导体衬底提供热;及
可移除陶瓷盖,其用于在所述设备中处理所述半导体衬底期间向定位于所述可移除陶瓷盖的面向衬底的表面上方的所述半导体衬底提供实质上均匀的热传递,所述可移除陶瓷盖定位于所述金属载盘的所述上表面的上方。
30.一种包括根据权利要求29所述的设备及步进器的系统。
31.一种从半导体衬底剥离光致抗蚀剂的方法,所述方法包括:
(a)将半导体衬底定位在腔室中的托架的上方,所述托架包括:
金属载盘,其包括上表面,所述上表面用于向所述半导体衬底提供热;及
可移除陶瓷盖,其用于在所述半导体衬底的处理期间向定位于所述可移除陶瓷盖的面向衬底的表面上方的所述半导体衬底提供实质上均匀的热传递,所述可移除陶瓷盖定位于所述金属载盘的所述上表面上方;
(b)从所述半导体衬底移除一些或所有光致抗蚀剂;
(c)将所述半导体衬底移动远离所述托架;且
对额外衬底重复操作(a)到(c)。
32.根据权利要求31所述的方法,其中在操作(a)之前将所述托架加热到至少约120℃,使得所述面向衬底的表面的温度分布的偏差小于约3℃。
33.根据权利要求31所述的方法,其进一步包括在使用所述可移除陶瓷盖处理至少10,000个衬底之后用新的可移除陶瓷盖替换所述可移除陶瓷盖。
34.根据权利要求33所述的方法,其进一步包括在操作(a)之前调节所述新的可移除陶瓷盖以稳定所述新的可移除陶瓷盖的新的面向衬底的表面的放射率特性。
35.根据权利要求31所述的方法,其进一步包括:
将光致抗蚀剂涂布到所述半导体衬底;
使所述光致抗蚀剂暴露于光;
图案化所述光致抗蚀剂并将图案转印到所述半导体衬底;及
选择性地从所述半导体衬底移除所述光致抗蚀剂。
CN201180006929.XA 2011-04-13 2011-05-02 托架盖 Expired - Fee Related CN102893386B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/086,010 US8371567B2 (en) 2011-04-13 2011-04-13 Pedestal covers
US13/086,010 2011-04-13
PCT/US2011/034819 WO2012141722A1 (en) 2011-04-13 2011-05-02 Pedestal covers

Publications (2)

Publication Number Publication Date
CN102893386A true CN102893386A (zh) 2013-01-23
CN102893386B CN102893386B (zh) 2015-07-08

Family

ID=47006621

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180006929.XA Expired - Fee Related CN102893386B (zh) 2011-04-13 2011-05-02 托架盖

Country Status (6)

Country Link
US (2) US8371567B2 (zh)
JP (1) JP5322190B2 (zh)
KR (1) KR101275336B1 (zh)
CN (1) CN102893386B (zh)
TW (2) TWI532113B (zh)
WO (1) WO2012141722A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105051871A (zh) * 2013-03-28 2015-11-11 芝浦机械电子株式会社 放置台及等离子体处理装置
CN105336562A (zh) * 2014-07-22 2016-02-17 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
CN106571322A (zh) * 2015-10-08 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 盖板、承载装置及等离子体加工设备
CN110052370A (zh) * 2019-05-15 2019-07-26 苏州美图半导体技术有限公司 匀胶机真空匀胶装置
CN110454655A (zh) * 2019-08-28 2019-11-15 张玲 根据光照自动调整角度的显示板旋转设备
CN112157407A (zh) * 2020-09-30 2021-01-01 靖江先锋半导体科技有限公司 晶圆传输装置及底座的加工方法
CN115371366A (zh) * 2022-08-22 2022-11-22 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 刚性转架装置及其安装工艺和载片刚性转架

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
WO2012134083A2 (en) * 2011-03-25 2012-10-04 Lg Electronics Inc. Plasma enhanced chemical vapor deposition apparatus and method for controlling the same
EP2689049B1 (en) * 2011-03-25 2017-03-01 LG Electronics Inc. Plasma enhanced chemical vapor deposition apparatus
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013103594A1 (en) * 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
JP5997952B2 (ja) * 2012-07-06 2016-09-28 大陽日酸株式会社 気相成長装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101415181B1 (ko) * 2013-08-19 2014-07-04 주식회사 신성에프에이 웨이퍼 접합 시스템용 웨이퍼 척
CN105493260B (zh) * 2013-08-29 2018-07-13 株式会社普利司通 承载器
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10053774B2 (en) 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9405287B1 (en) * 2015-07-22 2016-08-02 Applied Materials, Inc. Apparatus and method for optical calibration of wafer placement by a robot
US9659198B2 (en) 2015-09-10 2017-05-23 Hand Held Products, Inc. System and method of determining if a surface is printed or a mobile device screen
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10121708B2 (en) * 2015-11-17 2018-11-06 Lam Research Corporation Systems and methods for detection of plasma instability by optical diagnosis
US9870917B2 (en) * 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10923385B2 (en) 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10861731B2 (en) * 2017-01-19 2020-12-08 Axcelis Technologies, Inc. Radiant heating presoak
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11702748B2 (en) * 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11011355B2 (en) * 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11222783B2 (en) * 2017-09-19 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Using cumulative heat amount data to qualify hot plate used for postexposure baking
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10535499B2 (en) * 2017-11-03 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Varied component density for thermal isolation
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11382180B2 (en) 2017-11-21 2022-07-05 Watlow Electric Manufacturing Company Multi-zone pedestal heater having a routing layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112789714A (zh) 2018-08-01 2021-05-11 莫门蒂夫性能材料股份有限公司 可拆卸的热矫平器
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10576562B1 (en) * 2018-08-28 2020-03-03 Nishijima Kabushiki Kaisha Circular saw cutting machine
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
GB201815258D0 (en) * 2018-09-19 2018-10-31 Spts Technologies Ltd A support
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP6839314B2 (ja) * 2019-03-19 2021-03-03 日本碍子株式会社 ウエハ載置装置及びその製法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11600492B2 (en) * 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20230004790A (ko) 2020-04-29 2023-01-06 어플라이드 머티어리얼스, 인코포레이티드 균일성 개선을 위한 히터 커버 플레이트
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024010939A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Electrostatic chuck cover piece to enable processing of dielectric substrates

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020069820A1 (en) * 2000-12-08 2002-06-13 Applied Materials, Inc. Heater with detachable ceramic top plate
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
CN101689486A (zh) * 2007-06-28 2010-03-31 东京毅力科创株式会社 载置台构造和热处理装置

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
JPH01107519U (zh) 1987-12-31 1989-07-20
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JPH0637054B2 (ja) 1989-08-09 1994-05-18 積水化成品工業株式会社 廃棄樹脂の処理装置
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
JPH0790582B2 (ja) 1991-01-24 1995-10-04 村田機械株式会社 射出成形生産管理システム
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5580388A (en) 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
AU2001234856A1 (en) 2000-08-04 2002-02-18 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
KR100960773B1 (ko) 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
WO2002086960A1 (en) 2001-04-20 2002-10-31 Memc Electronic Materials, Inc. Method for the preparation of a silicon wafer having stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
JP3588457B2 (ja) * 2002-04-26 2004-11-10 京セラ株式会社 ウェハ加熱装置
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
JP2006210372A (ja) 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
JP2007158074A (ja) 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
JP2009218536A (ja) 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020069820A1 (en) * 2000-12-08 2002-06-13 Applied Materials, Inc. Heater with detachable ceramic top plate
CN101689486A (zh) * 2007-06-28 2010-03-31 东京毅力科创株式会社 载置台构造和热处理装置
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105051871A (zh) * 2013-03-28 2015-11-11 芝浦机械电子株式会社 放置台及等离子体处理装置
CN105336562A (zh) * 2014-07-22 2016-02-17 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
CN105336562B (zh) * 2014-07-22 2018-03-09 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
CN106571322A (zh) * 2015-10-08 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 盖板、承载装置及等离子体加工设备
CN110052370A (zh) * 2019-05-15 2019-07-26 苏州美图半导体技术有限公司 匀胶机真空匀胶装置
CN110052370B (zh) * 2019-05-15 2024-04-02 苏州美图半导体技术有限公司 匀胶机真空匀胶装置
CN110454655A (zh) * 2019-08-28 2019-11-15 张玲 根据光照自动调整角度的显示板旋转设备
CN112157407A (zh) * 2020-09-30 2021-01-01 靖江先锋半导体科技有限公司 晶圆传输装置及底座的加工方法
CN112157407B (zh) * 2020-09-30 2021-12-21 靖江先锋半导体科技有限公司 晶圆传输装置及底座的加工方法
CN115371366A (zh) * 2022-08-22 2022-11-22 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 刚性转架装置及其安装工艺和载片刚性转架
CN115371366B (zh) * 2022-08-22 2024-05-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 刚性转架装置及其安装工艺和载片刚性转架

Also Published As

Publication number Publication date
TWI532113B (zh) 2016-05-01
TW201616592A (zh) 2016-05-01
TW201241952A (en) 2012-10-16
KR101275336B1 (ko) 2013-06-17
US8851463B2 (en) 2014-10-07
JP2013528943A (ja) 2013-07-11
JP5322190B2 (ja) 2013-10-23
US20120264051A1 (en) 2012-10-18
US8371567B2 (en) 2013-02-12
KR20120127606A (ko) 2012-11-22
TWI545679B (zh) 2016-08-11
WO2012141722A1 (en) 2012-10-18
US20130122431A1 (en) 2013-05-16
CN102893386B (zh) 2015-07-08

Similar Documents

Publication Publication Date Title
CN102893386B (zh) 托架盖
TWI472882B (zh) 光阻剝離方法及設備
US20200219740A1 (en) Plasma processing apparatus and heater temperature control method
CN104040710B (zh) 用于均匀传热的自适应传热方法和系统
KR101375966B1 (ko) 산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US5675471A (en) Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
CN102683247A (zh) 等离子体蚀刻装置及等离子体蚀刻方法
US20070298617A1 (en) Processing method
JP2010500762A (ja) トラックリソグラフィツールにおける臨界寸法の制御方法およびシステム
US10727058B2 (en) Methods for forming and etching structures for patterning processes
CN103137415B (zh) 半导体制造装置及半导体制造方法
KR20170073504A (ko) 에칭 방법
US20210276056A1 (en) Condition selectable backside gas
KR101007534B1 (ko) 반도체 제조장치 및 이를 이용한 실리콘 산화막 건식 식각 방법
KR20220113778A (ko) 챔버 컴포넌트들의 표면 프로파일링 및 텍스처링
JP2012089591A (ja) 真空処理装置及び真空処理方法
US11702738B2 (en) Chamber processes for reducing backside particles
JP2018046206A (ja) エッチング装置、基板処理装置、エッチング方法および基板処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150708

Termination date: 20210502

CF01 Termination of patent right due to non-payment of annual fee