TWI545679B - 托架蓋 - Google Patents

托架蓋 Download PDF

Info

Publication number
TWI545679B
TWI545679B TW105102104A TW105102104A TWI545679B TW I545679 B TWI545679 B TW I545679B TW 105102104 A TW105102104 A TW 105102104A TW 105102104 A TW105102104 A TW 105102104A TW I545679 B TWI545679 B TW I545679B
Authority
TW
Taiwan
Prior art keywords
carrier
substrate
facing
ceramic cover
semiconductor substrate
Prior art date
Application number
TW105102104A
Other languages
English (en)
Other versions
TW201616592A (zh
Inventor
伊凡琳 安潔羅夫
布萊恩 賽文森
納坦 索羅門
Original Assignee
諾菲勒斯系統公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾菲勒斯系統公司 filed Critical 諾菲勒斯系統公司
Publication of TW201616592A publication Critical patent/TW201616592A/zh
Application granted granted Critical
Publication of TWI545679B publication Critical patent/TWI545679B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/02Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine for mounting on a work-table, tool-slide, or analogous part
    • B23Q3/10Auxiliary devices, e.g. bolsters, extension members
    • B23Q3/105Auxiliary supporting devices independent of the machine tool
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

托架蓋
鑲嵌處理技術被用於許多現代積體電路製造方案中,因為此等技術較之其他方法需要較少之處理步驟且一般產率較高。鑲嵌處理涉及到,藉由在介電層中之溝渠及通孔中沈積對應之內置金屬線而在積體電路上形成金屬導體。作為鑲嵌處理之一部分,在一介電層上沈積一光阻劑層。光阻劑係光敏有機聚合物,其係可以液體形式「旋塗」(spun on)且經乾燥而形成固態膜。接著藉由使光穿過一光罩而使光阻劑圖案化。此操作之後可對圖案化光阻劑進行電漿蝕刻(例如,該介電層之經曝露部分),以在該介電層中形成溝渠及通孔。接著剝離光阻劑,且可在後續處理之前移除任何有關蝕刻之殘留物。一般而言,光阻劑剝離採用由一種或多種製程氣體所形成之電漿,製程氣體可包含氧氣或其他氧化劑。高度反應性電漿蝕刻有機光阻劑,以形成揮發性組分,其被自該處理腔室排除。在此剝離期間,應精確控制基板溫度(例如,以避免造成蝕刻速率發生不希望之變動)。
本文描述新穎的半導體處理托架及包含此等托架之裝置之實例。此等托架係經特定組態以向半導體基板提供均勻的熱傳遞且減少維修複雜性及/或頻率。具體而言,一托架可包含一可移除蓋,其係經定位於該托架之一金屬載盤之上。該可移除蓋係經組態以維持其面向基板表面之溫度分佈一致且均勻,儘管該載盤之上表面(其支撐該 蓋且此與該蓋熱連通)可具有更少的溫度分佈均勻性。該蓋係可由某些陶瓷材料製成且定形為一薄板。此等材料可耐得住處理環境且在許多次處理循環之後仍維持其熱特性。該蓋係可輕易地自該載盤移除且用一新的蓋更換,而無需對整個裝置進行大規模之拆卸。
本發明提供一種用於支撐一半導體基板之托架。該托架係可用於處理此等基板之裝置中。該托架包含一金屬載盤及一定位於該載盤上方之可移除蓋。該載盤具有一用於經由該蓋向該半導體基板提供熱之上表面。該蓋係經組態以分佈此熱且向該基板提供大致均勻的熱傳遞,在處理期間,該基板係經定位於該蓋之面向基板表面之上。該蓋可由一種或多種陶瓷材料(下文將進一步描述)或適應於處理環境且能夠向該基板提供大致均勻的熱傳遞之一些其他材料製成。
該蓋係定位於該載盤之上表面之上。該蓋一般包含與該面向基板表面相對之面向載盤表面。該面向載盤表面之一大部分係可與經裝配且操作托架中之載盤之上表面直接接觸。或者,該面向載盤表面係可定位距該經裝配且操作托架中之載盤之上表面一預定距離。此距離在該蓋與載盤之間提供額外之熱阻且在應進一步限制熱通量而提供更為均勻之熱分佈之時使用。在某些實施例中,金屬載盤係可由下列材料中之一者或多者製成:鋁6061、鋁7075及鋁3003。金屬載盤可包含配置於該金屬載盤內之一加熱器,以加熱該載盤之上表面。該加熱器之輸出可足以維持該上表面於介於約100℃至約450℃之間之一溫度或如下所述的更特定之溫度範圍內。
在某些實施例中,該蓋之面向基板表面包含一組支撐件,其係用於將一基板支撐於距該面向基板表面一平均預定距離處。此距離可介於約0.001英寸至0.015英寸之間,或更具體而言,介於約0.004英寸至0.007英寸之間。該成組支撐件可包含至少六個個別支撐件,其係經配置成兩個或兩個以上圓形圖案。此等圖案的中心可對應於該蓋之 中心。在一些實施例中,基板支撐件係設置於該金屬載盤之上表面上。此等支撐件突起穿過該可移除蓋中之開口且延伸高於該蓋之面向基板表面。同樣地,此等支撐件可經組態以將一基板支撐於該蓋之面向基板表面上方之距該表面一平均預定距離處。此距離可屬於上文所列舉之範圍內。此等支撐件之實例包含陶瓷球(例如,藍寶石球),其係定位於延伸部之經附接至該金屬載盤之自由端上。該蓋開口可係細長形,且自該蓋之中心徑向延伸,以適應該蓋與載盤之間之熱膨脹差異。
在某些實施例中,一托架可經組態以使得該面向基板表面之溫度分佈於400℃之設定溫度少約3℃以內。面向上之表面在此操作規程中溫度偏差可較大。在一些實施例中,可移除蓋之厚度為介於約0.075英寸至約0.500英寸之間。該蓋之面向載盤表面及面向基板表面可相互平行,且偏差小於約0.002英寸。
一可移除蓋可由下列陶瓷材料中之一者或多者製成:氧化鋁、氮化鋁、鈦酸鋇、氮化硼、氮氧矽鋁、碳化矽、氮化矽、矽酸鎂、碳化鈦、氧化鋅及二氧化鋯。在某些實施例中,該可移除蓋之面向基板表面之平均放射率為小於約0.35。該蓋之面向載盤表面之表面粗糙度可小於約10微英寸。在相同或其他實施例中,該金屬載盤之上表面之表面粗糙度為小於約10微英寸。經拋光表面一般提供較較佳之熱傳遞,原因在於,兩個表面之間之接觸更廣泛。
在某些實施例中,一可移除蓋包含一自該面向載盤表面之中心而延伸之引導銷。在托架裝配期間,該引導銷突起進入該金屬載盤之上表面中之一對應之引導凹部中,從而維持該蓋與載盤之相對位置。在相同或其他實施例中,一可移除蓋包含兩個或兩個以上固持柱,其自該面向載盤表面而延伸且進入該載盤之上表面上之個別上固持凹孔,以在至少一個垂直方向上相對於該載盤緊固該蓋。一托架亦可包 含兩個或兩個以上固持鍵,其延伸進入該載盤之側固持凹孔中且與該蓋之對應固持柱接合。在此等實施例中,該托架亦可包含對應之固持蓋,其係用於插入該側固持凹孔中且用於覆蓋該等凹孔內側之固持鍵。
在某些實施例中,一可移除蓋包含一蓋邊緣突起,其延伸高於該蓋之面向基板表面。該蓋邊緣突起係經組態以在處理期間抓取該半導體基板之一外緣。在其他實施例中,一金屬載盤包含一載盤邊緣突起,其延伸高於該蓋之面向基板表面。該載盤邊緣突起係經組態以抓取該基板之一外緣,且該可移除蓋係定位於由該載盤邊緣突起而形成之一凹孔內。
在某些實施例中,該金屬載盤之一上表面包含一或多個釋氣凹槽。該等凹槽之深度係介於約0.005英寸至約0.025英寸之間。該等凹槽可包含至少一個徑向延伸遠離該載盤之中心之凹槽。該徑向凹槽延伸至該載盤之一外緣且通向腔室環境。除了該徑向凹槽之外,該等凹槽可包含至少一個同心凹槽。該同心凹槽與該等徑向釋氣凹槽重疊且允許氣體在兩個凹槽之間流動。在某些實施例中,該載盤之一上表面具有兩個同心釋氣凹槽,其在該載盤的中心與外緣之間均勻地間隔。該上表面亦具有八個徑向釋氣凹槽,其在該載盤之中心與外緣之間延伸。
本發明亦提供一種可在托架之裝配期間定位於一金屬載盤上且使用此托架來處理半導體基板之可移除蓋。該蓋包含面向基板表面,其係用於向定位於該面向基板表面提供均勻之熱傳遞。該蓋亦包含面向載盤表面,其係用於定位於該托架之一金屬載盤上,或更具體而言,定位於該載盤之上表面上。該蓋可由上述之各種陶瓷材料製成。在某些實施例中,該蓋具有多個支撐件,其係用於將一基板支撐於距該面向基板表面一預定平均距離上方處。
本文亦提供一種用於處理半導體基板之裝置。該裝置包含一腔室,其經組態以收納一基板;一用於在該腔室中產生電漿之電漿源;及一托架,其係用於支撐且加熱該基板。該托架可包含具有一上表面之金屬載盤。此表面係可經加熱且經由定位於此表面上方之可移除蓋而向該基板提供熱。該可移除蓋係經組態以再分佈此熱且向定位於其面向基板表面之上之基板提供大致均勻之熱傳遞。該裝置可為該系統之一部分且亦包含一步進器。
本發明亦提供一種自半導體基板剝離光阻劑之方法。該方法可涉及,將一基板定位於該半導體處理腔室中之托架上或上方。該托架可包含一金屬載盤,其具有一上表面,該上表面係用於經由定位於此表面之上之一可移除蓋而向該基板提供熱。該可移除蓋係經組態以再分佈此熱且向定位於其面向基板表面之上之該基板提供大致均勻之熱傳遞。該方法繼續進行至自該基板移除一些或所有的光阻劑且接著自該托架移離該基板。可對額外的基板重複此等操作。在處理期間,可在提供基板之前將該托架加熱至至少約120℃。在此溫度設定點,該面向基板表面之溫度分佈可偏差小於約3℃。此均勻之溫度分佈確保在處理期間至該基板之熱傳遞係均勻。
在某些實施例中,一種方法涉及,在使用初始蓋處理至少例如約10,000個基板之後用一新的蓋更換一可移除蓋。在更特定之實施例中,該初始托架可用於處理處理至少約100,000個基板而無需更換蓋。在處理期間,該蓋可實質維持其熱特性(包含例如,其放射率)於相同之初始位準。
該方法亦涉及,在引入另一基板而對其處理之前,調整一新蓋。此調整可用於穩定新蓋之放射率特性,或更具體而言,其面向基板表面之放射率。該方法亦涉及,塗佈光阻劑至該基板、使光阻劑曝露於光中、圖案化該光阻劑且將該圖案轉印至半導體基板,且選擇性 地自該半導體基板移除該光阻劑。
10‧‧‧由鋁6061製成之托架
20‧‧‧由鋁6061製成之托架
30‧‧‧由鋁3003製成之托架
100‧‧‧半導體基板處理裝置
101‧‧‧電漿源
103‧‧‧處理腔室
105‧‧‧淋氣頭總成
109‧‧‧淋氣頭
111‧‧‧製程氣體入口
115‧‧‧感應線圈
116‧‧‧半導體基板
117‧‧‧載盤
118‧‧‧可移除蓋
119‧‧‧導管
121‧‧‧淋氣頭孔
123‧‧‧腿狀部
200‧‧‧托架
202‧‧‧托架200之頂部
204‧‧‧金屬載盤
206‧‧‧可移除蓋
208‧‧‧突起
210‧‧‧凹部
212‧‧‧軸桿
214‧‧‧升高機構
220‧‧‧金屬載盤204之上表面
400‧‧‧基板
402‧‧‧金屬載盤
403‧‧‧金屬載盤402之上表面
404‧‧‧蓋
406‧‧‧突起
408‧‧‧基板400與突起406之間之間隙
409‧‧‧載盤402之外側邊緣
410‧‧‧基板
412‧‧‧金屬載盤
414‧‧‧蓋
415‧‧‧蓋414之面向基板表面
416‧‧‧邊緣突起
418‧‧‧突起416與蓋414之間之間隙
500‧‧‧可移除蓋
502‧‧‧可移除蓋500之面向基板表面
504‧‧‧蓋邊緣突起
506‧‧‧MCA支撐件
508‧‧‧凹部
510‧‧‧面向載盤表面
512a‧‧‧固持柱
512b‧‧‧固持柱
514‧‧‧引導銷
600‧‧‧可移除蓋
602‧‧‧面向基板表面
604‧‧‧邊緣
606a‧‧‧孔
606b‧‧‧孔
612‧‧‧面向載盤表面
614‧‧‧引導銷
616a‧‧‧固持柱
616b‧‧‧固持柱
700‧‧‧金屬載盤
702‧‧‧上表面
704‧‧‧凹部
706‧‧‧凹部
708‧‧‧固持凹孔
710‧‧‧固持凹孔
712a‧‧‧釋氣凹槽
712b‧‧‧釋氣凹槽
714‧‧‧載盤700之外側表面
800‧‧‧蓋
802‧‧‧蓋800之面向載盤表面
804‧‧‧固持柱
806‧‧‧桿
808‧‧‧狹槽
810‧‧‧金屬載盤
812‧‧‧金屬載盤810之上表面
814‧‧‧上固持凹孔
816‧‧‧側固持凹孔
820‧‧‧固持鍵
822‧‧‧本體
824‧‧‧提取唇部
826‧‧‧接合唇部
830‧‧‧固持蓋
900‧‧‧裝置
901‧‧‧處理腔室
903‧‧‧卡盒
905a‧‧‧負載鎖
905b‧‧‧負載鎖
907‧‧‧外部機械臂
909‧‧‧內部機械臂
911‧‧‧站
912‧‧‧站
913‧‧‧站
914‧‧‧站
915‧‧‧站
916‧‧‧站
921‧‧‧系統控制器
1000‧‧‧多腔室裝置
1001‧‧‧腔室
1003‧‧‧腔室
1005‧‧‧腔室
1007‧‧‧搬運機械臂
1009‧‧‧儲存卡盒
1011‧‧‧系統控制器
1021‧‧‧負載鎖
1023‧‧‧負載鎖
1025‧‧‧負載鎖
1202‧‧‧托架
1204‧‧‧蓋
1206a‧‧‧突起
1206b‧‧‧突起
圖1係三個灰化速率作為處理時間之函數之曲線圖,其圖解使用三個不同托架之實驗結果;圖2係根據某些實施例包含一可移除蓋之半導體處理裝置之示意圖;圖3A係根據某些實施例之具有一金屬載盤及一定位於該載盤頂部上之可移除蓋之托架之透視圖;圖3B係根據某些實施例在將可移除蓋定位於該金屬載盤之頂部上之前該托架之透視圖;圖4A係根據某些實施例具有設置於一可移除蓋上之邊緣突起(即,蓋邊緣突起)之一托架之示意圖;圖4B係根據某些實施例具有設置於一金屬載盤上之邊緣突起(即,載盤邊緣突起)之一托架之示意圖;圖5A係根據某些實施例之一可移除蓋之俯視透視圖,其展示該蓋之面向基板表面;圖5B係根據某些實施例之相同可移除蓋之仰視透視圖,其展示其面向載盤表面;圖5C係根據某些實施例之可移除蓋之一邊緣部分之展開圖,其圖解各種蓋邊緣突起特徵;圖6A係根據某些實施例之一不同蓋之示意性俯視圖,其展示其面向基板表面上之各種特徵;圖6B係根據某些實施例之此蓋之示意性仰視圖,其展示位於其面向載盤表面上之各種特徵;圖7係根據某些實施例之一金屬載盤之俯視透視圖,其展示位於該載盤之上表面及側上之各種特徵; 圖8A係根據某些實施例之一可移除蓋之透視仰視圖,其展示附接至該蓋的面向載盤表面之固持銷之各種特徵;圖8B係根據某些實施例之托架之一邊緣部分在其蓋被附接至其載盤之前之一透視圖,其展示固持機構之各種組件及特徵;圖9係根據某些實施例之在相同處理腔室內具有多個托架之多站裝置之示意圖;圖10係根據某些實施例之具有位於不同腔室內之托架之多腔室裝置之示意圖;圖11係根據某些實施例之對應於自半導體基板剝離光阻劑之方法之製程流程圖;及圖12係在對包含一鋁載盤及一可移除陶瓷蓋之托架之熱模型化期間獲得之熱分佈圖。
下文將參考圖式進一步描述此等及其他特徵。
在下文之描述中,陳述多個具體細節,以提供對所提出概念之透徹理解。可在除了一些或所有此等具體細節之情形下實踐所提出之概念。在其他情形下,未對廣為熟知之製程操作進行詳盡描述,以避免不必要地模糊所描述之概念。雖然將結合一些特定的實施例描述一些概念,但應理解,此等實施例並不限於此。
引言
半導體處理裝置可在對半導體基板執行各種處理操作期間使用托架來支撐此等基板。此等托架係可經組態以加熱及/或冷卻或,更為具體而言控制所支撐基板之溫度。例如,一托架可配備有一加熱器,其係定位於該托架之金屬本體內且經組態以經由其面向基板表面向該基板提供熱通量。該基板係可定位於該面向基板表面上方之一預定距離處(例如,幾千分之一英寸)且可藉由輻射及對流熱傳遞方法之 一組合而加熱。為了達成均勻的熱傳遞,該面向基板表面需要維持於一均勻之溫度(在其整個表面上及/或在多個處理循環期間)。此外,該面向基板表面需要具有均勻之放射率。
對於此文獻,大致均勻之溫度係界定為偏差小於某些預定值之溫度分佈。此值係可由各種處理需要而決定。例如,對於下文將描述之一些灰化操作,此偏差可小於約5℃,或甚至小於約2℃。如上所述,可認為此溫度分佈係在該托架之整個面向基板表面上,或更具體而言,實際上經而設計在處理期間面向一基板之表面部分上。為了構建此溫度分佈,可忽略其他部分,諸如邊緣突起。此外,曲線之均勻性係可認為在一個處理循環或在多次循環,諸如至少約10,000次循環或甚至至少100,000次循環期間。半導體處理對於處理設備之特定特徵係有效。因此,應最小化此等特徵之改變,包含該面向基板表面之溫度。「實質均勻之熱傳遞」(substantially uniform heat transfer)係界定為由具有一實質均勻之溫度分佈之面向托架表面所提供之熱傳遞。此外,此表面應在預定數目之循環期間維持實質恒定之放射率。一般熟悉此項技術者將理解特定半導體處理關乎溫度及放射率均勻性之具體要求。
在此文獻中,術語「半導體基板」(semiconductor substrate)、「晶圓」(wafer)、「半導體晶圓」(semiconductor wafer)及「partially fabricated integrated circuit」(經部分製作積體電路)係可互換。熟悉此項技術者將理解,此等術語係指在積體電路製程之多個階段之各種基板,諸如200毫米之晶圓及300毫米之晶圓。雖然下文之詳盡描述反復提及處理矽晶圓所採用之方法及裝置,本發明之範圍不限於此。總體而言,半導體基板可具有各種形狀、尺寸及材料。一些實例包含印刷電路板、顯示器及此類物。托架結構或更具體而言,本文所述之可移除蓋之各種組態係可特定修正以處理此等基板。
包含具有可移除蓋之托架之裝置係可用於各種半導體製程,包含但不限於,整體剝離及/或高劑量植入物剝離(HDIS)程序。整體剝離程序可用於移除未經曝露至高劑量離子植入物且因此在其表面上未形成主要結痂之光阻劑。HDIS程序係可用於移除已經曝露至高劑量離子植入物且因此包含此等結痂形成物之光阻劑。HDIS程序一般涉及一分階段方法,其包括若干初始剝離步驟,係經最佳化而用於移除結痂以曝露整體光阻劑,接著係主要剝離階段,經不同優化而用於移除整體光阻劑。該HDIS程序中之一個或兩個階段可與整體剝離程序使用不同之製程條件。例如,整體程序可涉及極其快速地加熱一基板至至少約250℃,例如280℃。在存在例如含氧電漿之情形下於此溫度下執行灰化。相反地,HDIS程序可始於加熱一基板至一較低溫度,例如,至約120℃至約140℃。含氧電漿可用於移除該結痂,同時將該基板保持於此等較低的溫度。該基板接著被加熱至至少約250℃,例如至約280℃,此時,該電漿灰化下伏(且當下未曝露)之整體光阻劑。此等實例圖解被曝露至可能劣化其性能之化學條件及熱條件之托架。此外,相同的托架可用於在相同之HDIS製程循環期間提供不同之製程條件,諸如不同之基板溫度。此等製程條件通常具有一有限的操作窗,必須在許多次處理循環期間連續地維持該窗。
托架之一些組件通常係由鋁製成。從成本及可製造性角度而言,鋁係上好的材料選擇。鋁組件可具有保護性塗層,諸如堅硬陽極氧化物。一些塗層可用於提供特定之性能特徵,諸如放射率。托架之面向基板表面一般需要具有低放射率。因此,通常使用裸露之鋁表面。然而,此等未經保護之表面傾向於在惡劣的處理環境中退化且改變其表面特性。
在一系列實驗中已經發現,面向基板表面通常會改變其放射率特性,而此改變將導致熱傳遞不一致且不均勻。具體而言,在如上所 述,在典型整體剝離程序中,由鋁6061製成之托架經歷約10,000次循環。在一正常的操作規程中,一裝置在約一周內經歷許多次循環。此托架之面向基板表面係裸露之鋁,且在測試末期將嚴重褪色。該托架之中心係遠比其邊緣還要暗。不受限於任何特定的理論,據信此等級之鋁(具有相對高之鎂濃度)使一部分鎂遷移至面向基板表面。面向基板表面之放射率圖表明,較黑暗之中心部分之放射率為約0.15,而較明亮之邊緣部分之放射率僅為約0.4。在該測試之前,初始裸露鋁表面之放射率為大於0.4。此偏差對熱傳遞具有負面影響,尤其是對於藉由輻射而傳遞之熱通量部分。
在另一實驗中,在相同的處理條件下使用三個不同的托架來處理多個晶圓。接著檢查經處理晶圓以確定對應於每個托架之灰化速率。圖1中呈現此實驗之結果且圖解對於不同托架灰化速率迥異。應注意,灰化速率對於基板溫度非常敏感。線條20對應於由鋁6061製成之新托架。其表面並不具有任何可見之褪色。線條30對應於由鋁3003製成之新托架。其表面亦不具有任何可見之褪色。最後,線條10對應於由鋁6061製成之一用過之托架,其先前經歷了約10,000次灰化循環。如上所述,此托架之面向基板表面已經嚴重褪色。此托架產生遠遠高之灰化速率,如圖1中所指示。不受限於任何特定之理論,據信,此托架之一較暗之基板表面比其他兩個托架中之基板表面造成更高之輻射熱通量。因此,在處理期間將晶圓保持於較高之溫度,其導致灰化速率更高。此灰化速率差異在許多情形下不可接受,且該托架將必須更換。換言之,托架僅可使用10,000或甚至更少次之循環,之後必須更換。
托架更換係極其耗時之過程,其可涉及到打破處理腔室之多個壓力/真空密封且形成新的密封。托架更換需要使該托架相對於其他組件(例如,一內部基板搬運機械臂及一負載鎖傳遞機構)而對準。整 個托架亦傾向於成為極其複雜且昂貴之組件。應盡可能地避免經常對托架進行維修及更換。同時,應在兩次維修停工之間維持托架之熱傳遞特徵,而此如上所述在鋁製面向基板表面中可能難以達成。此外,即使新的鋁托架亦可能在其面向基板表面上存在大幅度之溫度變動。
已經發現,可藉由將一經特定組態之蓋定位於該托架之一金屬載盤之上而一致地向一半導體基板提供大致均勻之熱傳遞。此蓋可稱為「可移除蓋」(removable cover),因為其可輕易自載盤移除且用一新蓋更換,而無需更換該載盤。該蓋係由化學性質穩定且溫度穩定之材料製成,其可在特定之處理環境中操作而不會劣化該蓋之熱特性。一些此等材料可具有相對低之初始放射率(在一初始「老化」(seasoning)循環之後)且能夠在大數目之循環之後維持此放射率實質上不變。
在某些實施例中,一可移除蓋係由導熱率遠低於一對應之金屬載盤之導熱率之材料製成。此兩個導熱率之間之比率可至少為約2或甚至為至少約5。此較低之導熱率有助於整平上載盤表面上之任何熱點且避免該蓋之面向基板表面上出現對應之點。換言之,該蓋可用作一散熱件。
此外,一可移除蓋允許在需要相同托架來執行需要不同加熱條件之不同類型處理時輕易調整該托架之熱特性。一可移除蓋可用具有不同熱傳遞特徵之另一蓋輕易更換,或者可不覆蓋該托架。
總體而言,具有可移除蓋之托架可經組態以向基板提供或自基板進行更穩定且均勻之熱傳遞,且其更易於維修及操作。
裝置實例
為了提供具有可移除蓋之托架之多種特徵之更好理解及上下文,本文提供對一處理裝置之簡要描述。圖2係根據某些實施例之用於處理半導體基板之裝置100之示意圖。裝置100一般表示各種類型之 經組態設備,例如,以自半導體基板移除光阻劑材料及/或其他殘留材料,亦執行其他半導體處理操作。一些特定之實例包含GAMMA 2100,2130 I2CP(交織之感應耦合電漿)、G400、GxT及SIERRA,加州聖荷西的Novellus Systems公司均有售。其他系統包含FUSION線,馬里蘭州羅克維爾的Axcelis Technologies公司有售;TERA21,韓國PSK Tech公司有售;及ASPEN,加州弗里蒙特市Matton Technology公司有售。一些包含具有可移除蓋之托架之處理腔室可與集群器工具關聯。例如,可在CENTURA集群器工具(加州聖克拉拉市Applied Materials公司有售)上添加一剝離腔室。
裝置100可具有一電漿源101及一處理腔室103,其係可藉由一淋氣頭總成105而與電漿源101分隔。電漿源101係連接至一製程氣體入口111,其經由淋氣頭總成105將一種或多種製程氣體供應至處理腔室103中。在處理腔室103中低壓力環境係經由真空泵及導管119而獲得。淋氣頭109形成該淋氣頭總成105之底部。處理腔室103封閉具有一金屬載盤117及一定位於載盤117之上表面之上之可移除蓋118之托架。該托架係用於支撐一半導體基板116且在某些實施例中,係用於加熱及/或冷卻半導體基板116。因此,載盤117可與一加熱/冷卻元件配合。在一些實施例中,載盤117亦經組態以向該半導體基板116施加偏壓。
在處理期間,可經由氣體入口111通過電漿源101而引入一種或多種製程氣體。氣體可包含一種或多種化學活性物種。電漿源101係可用於離子化該等氣體,從而產生活性物種且形成電漿。電漿源101可裝配有射頻(RF)感應線圈115。接著淋氣頭109將此電漿經由淋氣頭孔121而導入處理腔室103中。淋氣頭孔121之數目及配置可任選,以使朝向半導體基板116之表面之電漿/氣體混合物及分佈之均勻性最大化。
載盤117可係溫控的且用於加熱半導體基板116。在一些實施例中,熱通量係經由可移除蓋118而傳遞。在處理期間,可移除蓋118與半導體基板116之間可存在一些間隙。該間隙可係由最小接觸面積(MCA)支撐件所提供,下文將參考圖5A進一步描述該等MCA支撐件。在某些實施例中,可允許該可移除蓋118之面向基板表面與基板116之間存在某種程度之接觸。該間隙係可藉由降低托架而增大。當該托架被降低時,半導體基板116係由栓件123所支撐,該等栓件係附接至該處理腔室103。在其他實施例中,當該載盤位於降低位置時,內部機械臂之手指可支撐該半導體基板。
可藉由熱傳導而提供一些熱通量。可藉由輻射而提供另一些熱通量。此等兩種熱傳遞方法之相對貢獻係取決於該可移除蓋118與基板116之間之間隙之尺寸、可移除蓋118之面向基板表面之放射率、處理腔室103內側之壓力及其他因素。在某些實施例中,熱傳導對總體熱通量之貢獻最大。
托架總成
圖3A係根據某些實施例之用於半導體處理裝置中之托架200之示意圖。此等半導體處理裝置之一些實例上文已有描述且此文獻之下文中進一步描述。托架200之頂部202係用於支撐一半導體基板(未圖示)。頂部202一般尺寸及形狀適於容納一特定類型之基板(例如,300mm之晶圓)。在一些實施例中,頂部202為實質圓形且直徑介於約10英寸至15英寸之間,或更具體而言,介於約11英寸至14英寸,或更具體而言,介於約12英寸至13英寸之間(例如,為約12.4英寸)。頂部202包含一金屬載盤204及一可移除蓋206。該可移除蓋206係定位於該金屬載盤204之頂部,或更具體而言,係定位於載盤204之上表面(圖中不可見)上。該可移除蓋206提供一用於收納該基板之表面(即,面向基板表面)。該可移除蓋206亦控制該金屬載盤204與該基板之間之熱 通量且在某些實施例中,係經組態以向該基板提供大致均勻之加熱。現將參考圖3B更為詳盡地描述該托架200中之金屬載盤204及該可移除蓋206之配置。
具體而言,圖3B展示托架200在該可移除蓋206被定位於金屬載盤204之上之前之情形。金屬載盤204具有一上表面,其係可與該可移除蓋206之面向載盤表面(未圖示)直接接觸。在某些實施例中,上表面220及/或面向載盤表面係經拋光而確保兩個表面之間之接觸及熱傳遞更佳。上表面220可包含一或多個釋氣凹槽,其提供讓氣體自由可移除蓋206之上表面220及背側二者在附接時而形成之袋狀部中逃逸之路徑。在某些實施例中,可移除蓋206被升高至金屬載盤204之上方,且蓋206之面向載盤表面與載盤204之上表面220之間形成一間隙。此間隙可用於增加金屬載盤204與可移除蓋206之間之熱傳遞阻力。例如,可在此兩個組件之間定位一組間隔件。此等間隔件可為可移除蓋206、金屬載盤204、其他組件(例如,固持機構)之一部分或若干獨立組件。
如上所述,可移除蓋206可用於向定位於其面向基板表面上方之半導體基板提供大致均勻之熱傳遞。熱係由金屬載盤204供應,該金屬載盤204可配備有一加熱器。在某些實施例中,加熱器為一電阻性加熱器,例如金屬管中之載流線圈,其係配置於載盤204之一或多個下側凹槽內。加熱管係可焊接至載盤204。在其他實施例中,一加熱器包含流經載盤204之熱交換流體。
回頭參考圖3A,頂部202可包含多個突起208,其係用於將一半導體基板支撐於距該可移除蓋206的面向基板表面一距離處。此等突起有時被稱為MCA支撐件。MCA支撐件有助於避免該基板之背側與可移除蓋206之面向基板表面之間產生大的接觸面積,接觸面積大可能損壞且污染該背側。此外,將該基板定位於該面向基板表面之稍微 上方可有助於向該基板提供更為均勻之加熱。MCA支撐件係可提供作為可移除蓋206之一部分或載盤204之一部分。下文將對兩個實施例予以進一步描述。
托架200之頂部202亦可具有多個凹部210來容納內部晶圓傳遞機械臂手指或腔室栓件。例如,圖3A圖解四個凹部,其可用於容納設置於該內部機械臂之一手臂上之兩個手指及設置於另一手臂上之另外兩個手指。然而,亦可使用任何數目之凹部。該等手指或栓件係用於在托架200之頂部202移動至降低位置時支撐該基板。頂部202係經附接至軸桿212,而軸桿212則係耦合至一升高機構214。升高機構214係經組態以在一垂直方向(即,垂直於面向基板表面之方向)上移動頂部202。此垂直運動允許手指或栓件進入及離開該等凹部210。托架總成之該升高機構214、加熱器及其他組件係可耦合至該裝置之一控制系統,下文將進一步描述該控制系統。
頂部202亦可包含一或多個邊緣突起,其係用於在一水平方向(即,平行於該面向基板表面)上支撐一半導體基板。該邊緣突起係可設置於一金屬載盤上、一蓋上或此兩個組件上。圖4A及圖4B圖解根據某些實施例之不同類型之邊緣突起之示意圖。具體而言,圖4A圖解邊緣突起406係設置於一蓋404上之實施例。此突起可稱為一蓋邊緣突起。金屬載盤402上未設置有突起,該金屬載盤402可具有延伸至載盤402之一外側邊緣409之大致平坦上表面403。上表面403可具有若干釋氣凹槽,其中之一些者亦延伸至外側邊緣409。此設計可簡化該載盤之製造且允許製作一更為光滑之上表面及更緊密之容差。
在此組態中,基板400係由蓋邊緣突起406而支撐。基板400與突起406之間可存在一小間隙408,以定位且移除該基板,且用於適應在處理期間基板400與蓋406之間之熱膨脹差異。同時,間隙408應充分小以維持基板對準且防止污染物收集於該間隙中。在某些實施例中, 該蓋邊緣突起之內側直徑為約11.987英寸,以支撐及/或對準300毫米之晶圓。蓋404係使用各種固持特徵而支撐於金屬載盤402上,下文將進一步描述此等固持特徵。
圖4B圖解一邊緣突起416係設置於一金屬載盤412上之另一實施例。此突起被稱為載盤邊緣突起416。蓋414並不具有任何邊緣突起,此可簡化蓋414之製造且允許製造更為光滑之蓋表面及更緊密之容差。載盤邊緣突起416係經設計而延伸高於蓋414之一面向基板表面415。因此,載盤邊緣突起416之高度係取決於蓋414之厚度。一般而言,載盤邊緣突起416延伸高於蓋414之面向基板表面415之距離與上文參考圖4A而描述之該蓋邊緣突起之高度相等或大致相等。為了適應載盤412、蓋414與基板410之熱膨脹之差異且允許將蓋414及基板410定位於由載盤邊緣突起416所形成之邊界內,在該載盤邊緣突起416與蓋414之間及該載盤邊緣突起416與基板410之間提供一間隙418。蓋414係可使用各種固持特徵及/或載盤邊緣突起416而支撐於金屬載盤412上。
可移除蓋
因此,可移除蓋可經製造具有或不具有蓋邊緣突起。現將參考圖5A至圖5C來更為詳盡地描述蓋邊緣突起。下文將參考圖6A至圖6B來描述另一類型的蓋(即,不具有蓋邊緣突起之蓋)。熟悉此項技術者將理解,除非另有指明,關於一個類型的蓋而描述的許多特徵將可應用至另一類型的蓋。因此,為簡潔起見,描述將主要聚焦於具有蓋邊緣突起之蓋。
圖5A係根據某些實施例之可移除蓋500之俯視圖。可移除蓋500具有一面向基板表面502,其係封閉於由蓋邊緣突起504所界定之一邊界內。在該蓋不具有邊緣突起之其他實施例中,該面向基板表面係可由該蓋之一外緣而界定。可移除蓋500亦包含多個凹部508,其係經組 態以容納內部傳遞機械臂手指或腔室栓件。在托架之裝配期間,凹部508係與該金屬載盤上之對應凹部對準。此對準係可藉由一固持機構而保持,下文將進一步描述該固持機構。
圖中展示面向基板表面502具有多個MCA支撐件506。MCA支撐件可為獨立組件,諸如藍寶石球(定位於蓋之凹部(或載盤)內),或整合於該蓋(或該載盤)中。MAC支撐件之延伸高於該面向基板表面之高度係可特定選擇,以控制該基板與表面之間之熱傳遞且防止過多之接觸。在某些實施例中,此高度係介於約0.001英寸至0.010英寸之間或更具體而言,介於約0.004英寸至約0.007英寸之間。此高度使該基板的背側與該可移除蓋500之面向基板表面502之間產生一間隙。在某些實施例中,基板可在此等MCA支撐件之間鬆弛且甚至接觸該面向基板表面。因此,該間隙一般被稱為平均間隙。此平均間隙可小於MCA支撐件之延伸高於該面向基板表面之高度。
圖5A展示六個MCA支撐件506,其係均勻地定位於可移除蓋500之整個面向基板表面上。需要均勻之定位來最小化基板鬆弛,尤其時當基板被加熱至相對高之處理溫度時。然而,可使用不同數目之MCA支撐件(例如,3至25)。在某些實施例中,一托架亦不具有支撐件,且一基板係直接定位於該面向基板表面上且與該面向基板表面接觸。
圖5A中所圖解之六個支撐件係沿兩個同心圓(即,第一組三個支撐件延一內圓而設置且第二組三個圓係沿一外圓而設置)而均勻地設置。此等圓之中心與該可移除蓋500之中心實質上重合。此等兩組中之各者可相對於另一者偏差約120°,以提供均勻之分佈。一組中之支撐件相對於另一組中之支撐件偏差約60°。此等圓之直徑係取決於面向基板表面之尺寸。例如,用於支撐一個300毫米之晶圓之內圓直徑可介於約4英寸至6英寸之間(例如,5英寸),且外圓直徑係介於約9英 寸至11英寸之間(例如,10英寸)。
一可移除蓋係由具有適於提供均勻的熱傳遞之特定熱特徵之抗化學腐蝕及耐高溫材料而製成。熱特徵之一些實施例包含熱傳遞係數、特定熱及放射率。在用於一般操作環境中經歷合理大數目之循環之後,此等特徵應保持大致穩定。可對該蓋執行一些初始預處理(例如,「老化」(seasoning)或「預燒」(burn in)),以確保後續之穩定性。例如,一蓋可在其面向基板表面上不具有任何基板之情形下經歷一或多個處理循環,以調整其放射率特徵。
可移除蓋之合適材料之實例包含各種陶瓷材料,諸如:氧化鋁、氮化鋁、鈦酸鋇、氮化硼、氮氧矽鋁、矽、氧化矽、碳化矽、氮化矽、矽酸鎂、碳化鈦、氧化鋅及二氧化鋯。在一特定實施例中,蓋係由氧化鋁或更具體而言,由至少約99.5%之純氧化鋁或甚至更具體而言,由至少約99.9%純氧化鋁而製成。高純度位準有助於避免在該蓋隨後接受處理時出現釋氣及顆粒形成。99.5%及99.9%純度位準亦可應用於上文列舉之其他陶瓷材料。
用於可移除蓋之材料之特徵亦可基於其熱特性。在某些實施例中,蓋材料之熱傳遞係數(在室溫時)為小於約100W/(m K)或,更具體而言,小於約50W/(m K),或甚至小於約25W/(m K)。如上文所述,低熱傳遞係數可有助於「散熱」(thermal spreading)且避免該可移除蓋之面向基板表面上形成熱點。
可移除蓋之另一特徵在於其面向基板表面之放射率。此特徵係可取決於材料、表面塗飾及其他因素。在某些實施例中,該面向基板表面之平均放射率為小於約0.07或更具體而言,小於約0.03,或甚至小於約0.01。蓋之組態方式一般使得此等放射率特徵在許多次循環之後保持穩定。在某些實施例中,在約10,000次循環之後該初始放射率改變小於約50%,或更具體而言,小於約25%,或甚至小於約5%。此 穩定性係可藉由對蓋特定地選擇穩定材料且藉由控制該面向基板表面之粗糙度而達成。在不受限於任何特定理論之情形下,據信較為粗糙之表面經歷之放射率漂移較一更為光潔之表面小。在某些實施例中,面向基板表面之表面粗糙度為介於約0.001英寸至0.025英寸之間。
上文所說明之放射率值係針對托架之典型操作溫度及測量放射率之典型角度而言。在一輻射本體中,溫度影響光譜分佈發射能量。因此,本文所提供之放射率值係針對在操作條件下發射最強之光譜區而言。例如,處於約350℃至400℃之間之載盤之面向晶圓表面之放射率值一般對應於介於約2微米至約8微米之間之波長且放射率角度為約90°。此外,在合適之情境下,所提供之放射率值係載盤之面向晶圓表面上之平均值或整數值。應瞭解,局部放射率值在表面上之各個不同點之間亦會不同。例如,在操作期間,載盤之面向晶圓表面上可能形成劃痕及/或局部褪色且因此具有局部化放射率峰值。應理解,可週期性地對載盤之面向晶圓表面進行再整修,以使其放射率處於指定之範圍內。
圖5B係根據某些實施例之可移除蓋500之仰視透視圖,其圖解一面向載盤表面510。在一經裝配托架中,面向載盤表面510係可與該金屬載盤之上表面接觸或定位於距該上表面一預定距離處。直接接觸實施例之熱傳遞抵抗力較低,但是可提供散熱。在直接接觸實施例中,熱傳遞抵抗力係取決於面向載盤表面510之粗糙度及該載盤之上表面之粗糙度。在特定實施例中,此等表面中之一者或二者之表面粗糙度可小於20微英寸或更具體而言,小於約10微英寸,或甚至小於約5微英寸。此外,熱通量之均勻性亦係取決於該兩個實質平行(在小於約0.025英寸或更具體而言,小於約0.010英寸,或甚至小於約0.005英寸之範圍內)之表面。在其他實施例中,面向載盤表面510與該金屬載盤之上表面之間之間隙係可藉由設置於此等表面中之一者或二者上之一 組特徵而提供。該間隙可介於約0.001英寸至0.025英寸之間,或更具體而言,介於約0.005英寸至0.010英寸之間。
圖5B亦圖解一定位於面向載盤表面510上(例如,位於蓋500之中心)之引導銷514。引導銷514係可組態以突起進入該載盤之上表面上之一對應引導凹部中且用於建立且維持該可移除蓋500與該載盤之間之相對位置。引導銷514及引導凹部可具有緊密配合(在室溫下),以提供兩個組件之充分對準。在某些實施例中,引導銷514之直徑介於約0.125英寸至0.5英寸之間,或更具體而言,為約0.25英寸。在相同或其他實施例中,引導銷514之高度為約0.125英寸至0.5英寸之間,或更具體而言,為約0.25英寸。引導銷514可製作為獨立組件且接著附接至面向載盤表面510。或者,引導銷514可為可移除蓋500之一整合部分。
圖5B亦圖解定位於面向載盤表面510上之兩個固持柱512a及512b。在某些實施例中,兩個固持柱係經定位而與引導銷514處於同一條直線。經設計用於支撐300毫米之晶圓之蓋之兩個固持柱可定位於距該蓋之中心約4英寸至5.75英寸之間,或更具體而言,介於約4.5英寸至5英寸之間(例如,約4.8英寸)。固持柱512a及512b應定位得相對近於可移除蓋500之外緣,以避免在金屬載盤上出現過深之側固持凹孔。固持柱512a及512b之其他配置亦可行。固持柱512a及512b可製作為獨立組件且接著附接至面向載盤表面510。或者,固持柱512a及512b可作為可移除蓋500之一整合部分。
將引導銷及/或固持柱製作為獨立組件允許在製作其他特徵(例如,面向載盤表面及面向基板表面)期間達成更大的精確性。例如,此等表面中之一者或多者按更為精確之粗糙度規格而製作且平坦及/平行程度大於原本所可達成之位準。可使用多種不同之技術,諸如,釉質接合或銅焊來進行附接。例如,銅焊涉及將一些小顆粒陶瓷材料 放置於具有大顆粒之兩個預製陶瓷組件之間。此堆疊體接著經歷加熱且在某些實施例中,經歷壓力,以熔融小顆粒陶瓷材料且將該材料熔合至其他兩個組件中,從而形成一連續冶金接合。
現將參考圖5B來更為詳盡地描述可移除蓋500之一些額外特徵,諸如,其厚度及該蓋邊緣突起(若存在此一突起)之輪廓。具體而言,圖5C係根據某些實施例之可移除蓋500之邊緣部分之透視圖。此圖圖解面向基板表面502及蓋邊緣突起504之若干部分。圖中亦圖解凹部508,其允許以橫截面圖檢視一些其他特徵。例如,可移除蓋500之厚度可自此圖估計。術語「厚度」(thickness)係界定為面向基板表面502與面向載盤表面(圖中不可見)之間之距離。此定義並不涵蓋此等表面上之各種突起或凹部。在某些實施例中,蓋之厚度可介於約0.075英寸至0.500英寸之間或更具體而言,介於約0.125英寸至約0.250英寸之間(例如,約0.198英寸之間)。可選定一特定的厚度來滿足某些導熱率要求。例如,當使用熱阻更大之材料來進行蓋構造,則蓋可製作得薄於使用導熱材料時。上文所呈出之值係可應用至由氧化鋁或其他陶瓷材料於某些處理條件(下文將描述)下所製成之蓋。出於製作原因(例如,蓋之製作、引導銷與固持銷之接合及其他因素),需達成某一最小厚度。
圖5C亦允許檢視且估計蓋邊緣突起504之高度。在某些實施例中,高度為介於約0.010英寸至約0.100英寸或更具體而言,介於約0.025英寸至0.050英寸之間(例如,約0.040英寸)。此高度應足以向該基板之一邊緣提供支撐,如上文關於圖4A及圖4B所述。然而,該高度不應過大,以免對此邊緣造成損害。在某些實施例中,蓋邊緣突起504在與面向基板表面502之介面處具有一槽。該槽可有助於提供至一基板之對準且有助於定位且移除該基板。在某些實施例中,一槽相對於面向基板表面502之角度介於約30°至60°之間(例如,約45°)。
圖6A及圖6B係根據某些實施例之一不同可移除蓋600之示意性俯視圖及仰視圖。如圖6A中所示,此可移除蓋600在其面向基板表面602上並不具有MCA支撐件。相反地,可移除蓋600具有多個穿孔606a及606b,其允許MCA支撐件突起穿過可移除蓋600且延伸高於其面向基板表面602。穿孔606a及606b在圖6A中之面向基板表面602及圖6B中之面向載盤表面612上均可見。在此等實施例中,該等MCA支撐件可為該金屬載盤之一部分或由該金屬載盤所支撐之獨立組件(例如,藍寶石球)。由於該金屬載盤之熱膨脹係數可與可移除蓋600大不相同,該等MCA支撐件可相對於可移除蓋600而移動。例如,鋁之熱膨脹係數為約22.2*10-6m/(m K)且對於以鋁為主之陶瓷僅僅為5.4*10-6m/(m K)。因此,將長度為6英寸(約等於典型托架之半徑)之陶瓷物件及鋁物件自室溫加熱至約425℃(對應於一些操作溫度)將導致約0.041英寸之延伸差異。因此,在某些實施例中,穿孔606a及606b係形成為狹槽,其係相對於可移除蓋600之中心而呈徑向細長狀。穿孔606a及606b可沿一或多個圓(圖6A中用虛線展示兩個圓)而配置。可移除蓋600可沿邊緣604具有一蓋邊緣突起。或者,可移除蓋600可具有面向基板表面602,其延伸直至邊緣604且並不由一蓋邊緣突起而封閉。可移除蓋600之其他特徵可相同或類似於上文關於圖5A至圖5C而描述之特徵。例如,圖6B圖解包含兩個固持柱616a及616b及引導銷614之面向載盤表面612。
金屬載盤
現將參考圖7更為詳盡地描述金屬載盤之各種特徵。金屬載盤係可用於支撐可移除蓋且向該可移除蓋傳送熱。金屬載盤可形成為一大塊金屬結構而自一加熱元件(其可附接至該載盤之底部或定位於該載盤內)提供初始熱分佈。具體而言,圖7係根據某些實施例之金屬載盤700之一透視圖。金屬載盤700係可由各種導熱材料製成。此等材料亦 應具有抗化學腐蝕且耐熱,以應對各種處理環境。鋁係可用於構造金屬載盤(更具體而言,鋁6061、鋁7075及鋁3003)。亦可使用其他等級之鋁或金屬。在某些實施例中,金屬載盤具有保護性塗層,諸如硬質陽極氧化塗層。某些塗層係可用於上表面702,以改良或控制金屬載盤700與蓋之間之熱傳遞。例如,硬質陽極氧化塗層係可用於修飾上表面702之表面粗糙度及/或放射率。
金屬載盤700之厚度可為至少0.5英寸或更具體而言,至少為約1英寸(例如,為約1.4英寸)。較厚之載盤一般提供自一加熱器之更為均勻且穩定之溫度分佈。載盤700可經組態以維持其上表面702於約100℃至450℃之間之一設定(溫度)點。一些操作範圍實例包含介於約120℃至140℃之間(例如,約130℃),介於約280℃至320℃(例如,約300℃)或介於約375℃至425℃(例如,約400℃)之間之溫度。
金屬載盤702亦包含多個凹部704,其係用於容納經附接至腔室之內部晶圓傳遞機械臂手指或栓件。在托架裝配期間,凹部704係與該蓋之對應之凹部對準。金屬載盤700亦可具有一或多個上固持凹孔708或一或多個側固持凹孔710。每個上固持凹孔708可具有一對應之側固持凹孔719。兩個凹孔708與710係交叉,使得該蓋之一被插入上固持凹孔708中之固持銷係可與被插入該側固持凹孔710中之固持鍵接合,下文將參考圖8A及圖8B進一步描述。上固持凹孔708形如細長狹槽,其相對於該載盤700之中心在徑向方向上延伸,以適應該蓋與載盤700之間之任何熱膨脹差異。上表面702亦可包含一引導凹部706,其係用於在托架之裝配期間收納該蓋之一引導銷。如上所述,引導凹部706與引導銷之組合係用於提供且維持該蓋相對於金屬載盤702之對準。
上表面702可具有一或多個釋氣凹槽712a及712b。此等凹槽係經組態以提供自上表面702之不同點至載盤700之一外側緣714之路徑。 當蓋係定位於上表面702之上方時,上表面702與該蓋之面向載盤表面之間將由於兩個表面之間平整度不同而形成小袋狀部。當該腔室內側之壓力變化時,氣體將試圖逸出此等袋狀部(例如,在真空化該腔室期間)或將試圖填充此等袋狀部(例如,當該腔室內之壓力增加時)。此現象有時被稱為托架之「釋氣」。藉由從袋狀部提供較不阻塞路徑及提供較不阻塞路徑至袋狀部,釋氣凹槽712a及712b有助於加快釋氣。由於袋狀部之位置及尺寸難以預測且可能隨著時間流逝而變化(例如,由於因溫度、壓力及其他因素而造成金屬載盤及蓋變形),釋氣凹槽712a及712b可均勻地在整個上表面702上分佈。釋氣凹槽之深度可介於約0.005英寸至0.025英寸之間(例如,約0.015英寸)。
在某些實施例中,釋氣凹槽可包含徑向釋氣凹槽712a及同心釋氣凹槽712b。具體而言,徑向釋氣凹槽712a延伸至載盤700之外側緣714且提供路徑至該腔室之腔室環境。徑向釋氣凹槽712a可或可不穿過載盤700之中心。具體而言,圖7圖解八個相交於中心之徑向釋氣凹槽712a。此等凹槽均勻地間隔約45°。然而,亦可使用其他數目及組態之徑向釋氣凹槽。
圖7亦圖解兩個同心釋氣凹槽712b。同心釋氣凹槽712b及徑向釋氣凹槽712a彼此相交且在上表面702與外側緣714之間之多個位置之間提供氣體流徑。對於經設計用於支撐300毫米晶圓之載盤而言,內同心凹槽之直徑為介於約3英寸至5英寸之間(例如,約4英寸)。外同心凹槽之直徑可介於約6英寸至10英寸之間,且更具體而言,介於約7英寸至9英寸之間(例如,約8英寸)。總體而言,應對凹槽之型樣加以設計使得整個上表面702係由凹槽均勻地覆蓋且提供相對直接流徑至外側緣714。除了在金屬載盤上提供釋氣凹槽或作為替代手段,亦可在可移除蓋上提供釋氣凹槽。
固持機構
現將參考圖8A及圖8B來更為詳盡地描述固持機構之多種特徵。該固持機構係用於在托架之操作及搬運期間相對於一金屬載盤支撐一可移除蓋,且在某些實施例中,用於維持此等兩個組件之間之緊密接觸。亦可藉由該可移除蓋之重量而提供一定程度之支撐。
一固持機構可包含一或多個固持柱,其係經剛性地連接至該蓋之面向載盤表面。具體而言,圖8A係蓋800之面向載盤表面802之仰視圖,其圖解固持柱804之各種特徵。固持柱804包含桿806,該桿806之一端係附接至面向載盤表面802。桿806具有一圓周狹槽808。桿806之直徑可介於約0.25英寸至約0.5英寸之間(例如,約0.375英寸)。圓周狹槽808之深度可介於約0.040英寸至0.125英寸之間(例如,約0.063英寸)。桿806之於面向載盤表面802下方延伸之高度可介於約0.040英寸至約0.125英寸之間(例如,約0.060英寸)。固持柱804(若被製作為一獨立組件)亦可包含一附接頭部,其係用於接合至面向載盤表面802或更具體而言,附接至面向載盤表面802中之一凹部。面向載盤表面802可包含兩個或任何其他數目之固持柱804。
圖8B係根據某些實施例之托架之透視圖,其展示在該托架之裝配之前固持機構之多個組件及特徵。具體而言,圖中展示蓋800在被定位於金屬載盤810之上表面812之前之情形。對於位於蓋800之面向載盤表面上之每個固持柱(圖8B中不可見),金屬載盤具有一上固持凹孔814及一側固持凹孔816。此等凹孔之位置對應於該等固持柱之位置。上固持凹孔814係經組態以收納一固持柱。其可稍微徑向細長(如上所解釋),以適應蓋800與金屬載盤810之熱膨脹差異。在裝配期間,該等固持柱突起進入上固持凹孔814中,直到該柱之圓周狹槽與該側固持凹孔816對準。在某些實施例中,固持柱及凹孔之設計方式使得當該蓋之面向載盤表面與該載盤之一上表面接觸時,該固持柱之一圓周狹槽與該側凹孔之中心對準。可將一固持鍵820插入側固持凹 孔816中而繼續托架裝配。固持鍵820包含一本體822、狹槽接合唇部826及一提取唇部824。在插入該側固持凹孔816中期間,狹槽接合唇部826延伸穿過該圓周狹槽且接合該固持柱,使得其無法自上固持凹孔814移除。接著可繼續裝配:可將一固持蓋830插入側固持凹孔816中以防止固持鍵820滑出側固持凹孔816。固持蓋830及固持鍵820可與托架用相同之材料製成,以最小化熱膨脹差異。固持蓋830可相對於側固持凹孔816具有一緊密配合,而固持鍵820可具有滑動配合。
多站裝置實例
上述之各種托架實例係可用於單一站裝置或多站裝置中。圖9係根據某些實施例之多站裝置900之一示意圖。裝置900包含一處理腔室901及一或多個卡盒903(例如,前開口統一端口),以固持待處理之晶圓及已經完成剝離處理之晶圓。處理腔室901可具有多個站,例如,兩個站、三個站、四個站、五個站、六個站、七個站、八個站、十個站或任何其他數目之站。站之數目通常係由處理操作之複雜性及可在一共用環境中執行之操作數目而決定。圖9圖解包含六個站911至916之處理腔室901。多站裝置900中位於一單一處理腔室內之所有的站911至916係曝露至相同的壓力環境。然而,每個站911至916可具有個別局部電漿條件,及個別局部加熱條件,其係由指定的電漿產生器、加熱器及載盤組態以達成。
待在裝置900中處理之半導體基板係自該等卡盒903中之一者經由一或兩個負載鎖905a及905b而裝載於站911中。一外部機械臂907係可用於在該卡盒903與負載鎖905a及905b之間傳遞基板。在所繪示之實施例中,具有兩個獨立的負載鎖905a及905b。負載鎖905a及905b係用於在一個壓力環境(例如,處理腔室901外側之大氣壓力)與另一壓力環境(例如,處理腔室901內側之遠低之壓力)之間傳遞基板。一旦壓力經平衡至對應於處理腔室901之內部環境之位準,則可使用另一 傳遞裝置(未圖示)來將基板自負載鎖905a傳遞至該站911。相同或另一傳遞裝置係可用於將一基板自站916傳遞回到負載鎖905b中,以自處理腔室901移除。內部機械臂909係可用於在處理站911至916中傳遞基板。內部機械臂909可包含一轉軸總成,其具有多個延伸朝向處理站之臂。每個臂可具有四個手指(例如,在該臂之每個側上具有兩個延伸朝向該等站之手指)。此等手指係用於升高、降低且定位基板於該處理站內。
在一基板被定位於站911上之前,該內部機械臂909的對應之臂係經定位而使得此等四個手指(定位於站911之相對側上之兩個相鄰臂中之各側上具有兩個手指)駐留於該載盤及/或可移除蓋之凹部內。如上所解釋,此等凹部係適於收納此等手指。手指係可自站911之凹部升高,以支撐該基板於站911之上方且移動該基板至另一站。因此,其他站之凹部係經組態以收納此等手指。總體而言,任何站之凹部係經組態以收納任何組之內部機械臂909之手指。內部機械臂909及站911至916之托架係經組態以相對於彼此垂直地移動,以升高該基板高於該托架表面或將該基板定位於該托架表面上。一般熟悉此項技術者應理解,將該基板定位於托架表面上可或可不涉及到此等組件之一大部分之間之直接接觸。例如,托架可配備有一MCA支撐件,以防止過多接觸該基板之背側。為了描述總體半導體處理裝置實施例及處理實施例,據說基板係定位於該托架上,雖然其係由該MCA支撐件所支撐。此外,內部機械臂909及站911至916之托架係經組態以相對於彼此旋傳遞動,以將基板自一站移動至另一站。由於所有的站均處於相同的環境中,該等站之間無需負載鎖或其他類型之傳遞端端口。一基板係可在每一站上或選定之子組站上處理(包含加熱)。
一個站(例如,站911)可經保留而用於對新近接收到之基板晶圓進行初始加熱。此站可配備有一定位於該站上方之加熱燈。該基板之 初始溫度可接近室溫(例如,約25℃)。在此預加熱操作之後,溫度可超過300℃且一般係由後續之操作(諸如剝除結痂或整體剝離)而決定。
其他站(例如,站912、913、914、915及916)可用於其他類型之處理。在該裝置中之多個站上之處理係可循序或平行執行。在某些實施例中,裝置900之所有或一些選定處理站可包括具有可移除蓋之托架。如上所述,一些或所有處理站係可設置有其自身之射頻(RF)電源,諸如一下游感應耦合之電漿RF源。此等站亦可經配備而向定位於該托架表面上之一基板提供偏壓。此外,一些或所有載盤可配備有一加熱元件。
不同站可具有相對於內部機械臂909位於不同垂直位置之托架。例如,站912及913之對應之托架可駐留於降低位置,以使自此等托架之熱傳遞通量較小。例如,此等站係可用於自光阻劑移除植入物結痂。因此,在此操作期間,該等基板與托架(例如,可移除蓋)之間可存在一間隙,以保持該基板溫度低於在其他站上執行之其他操作期間之溫度。此間隙可介於約0.1英寸至3英寸或更具體而言,介於約1.5英寸至2.5英寸之間。在處理期間,可基於一或多個因素而選擇及/或調整該間隙,諸如該載盤之面向晶圓表面之放射率、該載盤之溫度、晶圓被傳遞至站時之初始溫度、操作期間之晶圓溫度要求、晶圓之熱預算、晶圓之電阻率、該基板上之光阻劑之類型及其他製程參數。托架之降低位置係界定為該托架(即,其面向基板表面或MCA支撐件)不與該基板接觸之任何位置。托架之垂直定向之差異(即,升高位置與降低位置之間)允許達成不同的基板溫度,同時保持大致類似之托架加熱組態(從托架結構及加熱元件輸出二者角度而言)。或者,不同之站可具有不同類型之托架或更具體而言,不同類型之蓋。例如,站912及913之托架(需要降較低之基板溫度)可配備有較厚之基板蓋。在相 同或其他實施例中,此等托架可具有由導熱率較小之材料製成之蓋。此外,該等加熱器之輸出可加以控制以達成不同的基板溫度。在某些實施例中,此等技術之不同組合(即,托架之垂直位置、可移除蓋之熱傳遞特徵及加熱器之輸出)係可用於控制基板之溫度。
現將簡要描述使用多站裝置900來進行HDIS剝離程序之一些實施例。現將參考圖11來描述該程序之進一步細節。基板首先被定位於站911上,且其托架位於升高位置,且經加熱至介於約120℃至140℃之間之一溫度。在某些實施例中,此站之托架不具有一可移除蓋且基板係經定位而與該金屬載盤直接接觸。在其他實施例中,此站之托架具有一可移除蓋,其相對於用於其他托架上之其他蓋高度導熱。當該基板係移動至站912且接著至站913時,此等站之托架係位於降低位置,使得該基板並不接觸此等托架且熱傳遞被最小化。或者,站912及913之一或兩個托架係可在處理之某一階段或整個處理期間升高。例如,此等托架係配備有相對厚之耐高溫蓋,其可在很大規模上限制熱傳遞。在某些實施例中,此等托架係經組態以維持該基板之溫度於相同之位準(例如,在站911上(溫度)達到約120℃至140℃)。
接著將基板移動至站914,以開始整體剝離。基板溫度需要增加至至少約250℃或更具體而言,約280℃。此站之托架可位於升高位置,使得可移除蓋係與該基板接觸。同時,此托架可配備有一具有良好熱傳遞性質之可移除蓋。
在某些實施例中,一裝置係用於處理不同類型之基板。例如,一些裝置可用於剝離「無結痂」(un-crusted)光阻劑,其一般需要高溫條件,而有結痂光阻劑則需要較低溫度條件。為了在此等不同溫度操作範圍內切換,可改變托架蓋以達成更為精確之控制。裝置之此結構性變化係可與該托架之加熱器輸出及/或垂直位置之變化而關聯。
在某些實施例中,一系統控制器921係用於控制針對剝離程序之 多個操作之處理條件,下文將描述。例如,系統控制器921可控制每個站911至916中之各者中之托架之位置及其加熱器輸出。系統控制器921可接收來自多個感測器(例如,測量該托架、基板及其他組件之溫度之熱耦合器)及來自使用者介面(例如,指定用於每個托架上之蓋之類型)之輸入。系統控制器921一般包含一或多個記憶體裝置及一或多個處理器。該處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接器、步進器馬達控制器板及此類物。
系統控制器921可控制該裝置900之一些甚至大部分活動。例如,系統控制器921可執行包含成組指令之系統控制軟體,以控制多個處理操作之計時、站911至916之托架位置、基板及托架溫度、腔室901內側之壓力及其他製程參數。其他電腦程式亦可儲存於與系統控制器921關聯之記憶體裝置上。此等程式可用於各種處理及維修任務。用於控制處理操作之電腦程式碼係可用任何習知的可讀程式語言寫成,例如:組合語言、C、C++、帕斯卡語言(Pascal)、福傳語言(Fortan)或其他語言。經編譯之目標碼或腳本係由該處理器執行,以執行程式中所識別之任務。
在某些實施例中,系統控制器921具有一關聯之使用者介面。該使用者介面可包含一顯示螢幕、裝置之圖形軟體顯示器及/或處理條件,及使用者輸入裝置(諸如指示裝置、鍵盤、觸控螢幕、麥克風及此類物)。
控制器參數係關於處理條件,諸如,處理步驟之計時、前驅物及其他製程氣體之流率及溫度、基板之溫度(諸如,由一托架相對於該基板之位置及/或傳送至該托架之能量/電能)、腔室之壓力及一特定程序之其他參數。此等參數係以方案之形式提供至使用者且可使用該使用者介面而輸入。
該系統軟體係可以許多不同的方式設計或組態。例如,可編寫 各種腔室組件子常式或控制物件,以控制執行本文所述之操作所必須之腔室組件之操作。用於此目的之程式或程式段之實例包含處理步驟碼之基板計時、前驅物之流率及溫度及其他製程氣體碼及指示該腔室之壓力之碼。
系統控制器921可接收來自該使用者介面之輸入(例如,操作者輸入製程參數,諸如基板類型、溫度要求及多種剝離操作之持續時間)及/或各種感測器(例如,測量基板及載盤溫度之熱耦合器、輻射測量裝置、對準基板與載盤位置之感測器、壓力測量裝置及其他)。系統控制器921可連接至該處理腔室901內側之各個站911至916之致動器機構且經組態以基於提供至該系統控制器911之輸入而控制每個載盤之位置(例如,升高、降低、中間、可變化或任何其他位置)。在對剝離程序之描述或此文獻之其他部分中呈出了各種控制方案。例如,該系統控制器921可接收指示待在站912上處理之下一基板之電阻率低且應使用HDIS剝離方法之輸入。該系統控制器921可確認來自一或多個感測器之某些處理條件(例如,當該下一基板被收納於站912之載盤上時,該基板之溫度;該載盤之溫度,或基板之熱阻率)。系統控制器921可基於所有可獲得之輸入判定該托架應位於降低位置且可確認該托架之當前位置。該系統控制器921接著可指示該站912之致動器將托架移動至該降低位置。此外,接收輸入且調整托架之位置可為一動態過程。該系統控制器921可連續地接收輸入(基板之溫度)且在所有操作期間重新調整該托架之位置,以更精確地控制基板之溫度。
多腔室裝置實例
圖10係根據某些實施例之一多腔室裝置1000之示意圖,其係可配備有具有可移除蓋之托架。裝置1000可具有三個獨立的腔室1001、1003及1005(未圖示)或任何其他數目之腔室。每個腔室1001至1005具有其不與其他腔室共有之自身的壓力環境。例如,腔室1001可與腔室 1003及1005在不同的壓力位準下操作或在其環境中具有不同的化學組分。此增強處理靈活性,但亦需要在不同的操作環境之間經由傳遞端口傳遞基板,以防止此等環境之間發生交叉污染。具體而言,圖10圖解每個腔室具有兩個負載鎖(例如,腔室1001具有一組負載鎖1021,腔室1003具有一組負載鎖1023且腔室1005具有一組負載鎖1025)。應理解,每個個別腔室可使用任何數目之負載鎖。負載鎖1021至1025可曝露至中間環境1031,其可不同於儲存卡盒1009之周遭環境,且可藉由一組負載鎖(未圖示)而與儲存卡盒1009分隔。此外,一或多個腔室1001至1005可與中間環境1031共用其環境,且因此,兩個側上之一或多個對應之負載鎖組1021至1025可省略或保持開放。
圖10展示每個腔室配備有兩個站。然而,可使用任何數目之站。在一實施例中,該多腔室裝置之一或多個腔室可類似於上文關於圖9所述之兩個站之實例。每個腔室並不具有相同數目之站。多腔室裝置1000之一或多個站包括具有如上所述之可移除蓋之托架。在某些實施例中,一個腔室或所有腔室中之所有站包括具有可移除蓋之托架。
多腔室裝置1000亦可具有共用之基板搬運機械臂1007,以在負載鎖1021至1025與一或多個卡盒1009或一些其他組件之間傳遞晶圓。每個腔室(且甚至每個個別站)係可由一系統控制器1011而控制,該系統控制器1011可經組態以類似於上文關於圖9所述之系統控制器。
處理實例
圖11係根據某些實施例自半導體基板剝離光阻劑之各種方法之製程流程圖。此描述提供具有可移除蓋之托架之各種特徵之額外情境。處理1100可始於在操作1101中將一托架加熱至一預定溫度。在某些實施例中,該托架被加熱至至少約200℃或更具體而言,至至少約300℃。在此操作之後,該托架之面向基板表面(諸如該托架蓋之面向基 板表面)之整個表面上之溫度變化可小於約2℃或更具體而言,小於約1℃。
程序1100可繼續:在操作1102中將一基板定位於經加熱托架之上方。在某些實施例中,該基板表面包含低k介電材料或在後段製程(BEOL)處理中所採用之其他材料。或者,該表面可包含矽(例如,單晶矽及/或多晶矽)或前段製程(FEOL)處理中所使用之其他材料。
托架可位於升高位置且可用於支撐該晶圓(與該面向基板表面直接接觸或與MCA支撐件或二者直接接觸)。或者,該托架可位於一降低位置且該晶圓係由晶圓傳遞機械臂之手指而支撐。如上所述,托架之溫度、可移除蓋之類型及托架之垂直位置係由該基板之溫度要求所決定,其可不同於針對整體剝離及HDIS剝離之要求。
程序1100可繼續:在操作1104中自基板移除一些或所有光阻劑。該托架可保持於初始位置或可在此操作期間調整,以達成更為精確之溫度控制。電漿係可用於輔助剝離該光阻劑。可連同氧化劑(諸如氧氣、二氧化碳、一氧化碳、四氟化碳)使用惰性氣體。可使用任何已知之電漿源,諸如,RF電漿、DC電漿、微波電漿或任何其他已知之電漿源。在一較佳實施例中,使用一下游RF電漿源。一般而言,對於300mm晶圓,RF電漿功率為約300瓦特至約10000瓦特之間的範圍。在一較佳實施例中,該RF電漿功率為介於約3000瓦特至6000瓦特之間。該處理腔室可保持於介於約300毫托至2托之間,或更具體而言,介於約0.9托至1.1托之間的範圍。晶圓溫度可保持於220℃至約300℃。
程序1100可繼續:在操作1106中將經處理之基板自托架移除。例如,該內部機械臂之在處理期間駐留於托架凹部內之一組手指可將基板升高且移動至另一站或運送至另一傳遞機構,以自該腔室移除。
程序1100可涉及到對該托架之面向基板表面進行週期性檢查,以 確定任何溫度變化、褪色及/或污染。此檢查可在處理預定數目之基板之後或在處理每個晶圓之後執行。例如,一或多個熱耦合器可向該系統控制器提供關於該面向基板表面之溫度條件之回饋。
溫度測量係可由任何合適的裝置執行,包含熱耦合器、高溫計、測量自晶圓發出之紅外輻射之發射計及此類物。一般而言,不使用非接觸溫度測量裝置,以避免污染或損壞該晶圓。若使用接觸裝置,則該接觸裝置可接觸該晶圓之下側或邊緣而非頂側。在一特定實施例中,可將一黑體放置在鄰近晶圓處,且該熱耦合器中具有一黑體,以監測溫度。在某些實施例中,一或多個熱耦合器係懸掛或支撐於該晶圓附近。放置於不同點之多個熱耦合器可用於供應額外之溫度資訊。該熱耦合器輸出一直接電壓,其係溫度之指示項。
如上所述,溫度感測裝置通將晶圓溫度資訊以輸出電壓之形式發送至一控制器。該控制器分析資料且轉而將指令發送至一線性馬達,以調變晶圓-托架間隙且保持溫度於希望之位準。一般而言,需要具有小過衝之精確回饋控制。在某些實施例中,該控制器係用比例-積分-微分(PID)演算法而程式化,以達成穩定且精確之控制。在某些實施例中,用於移動該托架及/或晶圓支撐件之馬達係伺服機構控制之線性致動器馬達,其接收指令,以基於來自一溫度測量設備之輸入而進行規定之運動。該馬達可具有嵌入之邏輯電路,以支援該PID閉環演算法適應間隙變化。
程序可包含對一或多個預定參數進行週期性檢查(塊1108),其可觸發在操作1110中更換該可移除蓋。此更換操作之某些態樣上文已參考圖8A及圖8B而描述。應注意,自該托架移除之蓋可進一步再加工且引入回到該程序中。例如,可對該蓋之面向基板表面進行拋光,以達成適合的特徵,諸如放射率。
程序1100可繼續:在1112操作中執行關於是否需要處理額外之晶 圓之詢問。若需要,則操作1102至1110可如上重複。應注意,一些製程條件在此新循環中可不同。實際上,在先前的操作1100中可具體更換該可移除蓋,以改變或適應新的製程條件。
在一些實施例中,該剝離裝置亦可用於與PLAD(電漿輔助摻雜)程序關聯之剝離程序中,其提供極高濃度(例如,1*1016cm-2或更多)之摻雜劑(一般為硼、砷或含磷物)。較高濃度使得更加難以移除結痂,因為封存於結痂中之摻雜劑一般比氧化光阻劑材料揮發性更大。有時,向電漿添加含氟化合物,以增強該移除程序。在其他實例中,將基板曝露至由氧氣及一成形氣體所形成之第一電漿。成形氣體可包含氫氣(例如,介於約0.5至10摩爾百分比或更具體而言,介於約4至6摩爾百分比,或更為具體而言,約5摩爾百分比)。此方法亦可包含使用第一電漿中之氧氣及成形氣體在基板上形成薄氧化物之步驟。氧化物係充分厚以防止或至少使在基板被曝露至氟基團時矽之損失最小化。例如,該氧化物之厚度可介於約0奈米至5奈米,或更具體而言,介於約0奈米至約2奈米之間。
第一電漿中之成形氣體係作為該光阻劑之結痂之還原劑。具體而言,氫氣經由該機構相當有效地將氧化硼還原為更為揮發之物種:B2O3+H+ → BXHY+OZ
較之未經還原之結痂,此等揮發性物種可更易於自半導體基板移除。在某些實施例中,該第一電漿包含之氧氣對成形氣體之比率為介於約0:1至1:0之間,或更具體而言,介於約1:19至19:1之間(例如,約4:1)。
在該半導體基板已經被曝露於該第一電漿中一足以移除一部分光阻劑且允許氧化物層形成於該基板之時間之後,該基板經歷一第二電漿。在某些實施例中,該第二電漿係由氧氣、形成氣體或惰性稀釋劑(諸如,氮氣或氦氣)及一作為氟基團源之含氟氣體所形成。含氟氣 體可為三氟化氮(NF3)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氟化碳(CF4)三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟環丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟異丁烯(C4F8)、氟(F2)及此類物。在某些實施例中,該第二電漿可由氧氣、成形氣體或氮氣及CF4而形成。在某些實施例中,第二電漿經形成其中之氧氣含量為約10%至約100%,成形氣體或氮氣之含量為約10%至約50%,且CF4之含量為約0%至約20%。在更特定之實施例中,第二電漿係由氧氣、成形氣體或氮氣及C4所形成,且氧氣:成形氣體或氮氣:CF4之比率為:16:2:0:0.05。成形氣體可允許對矽損失進行更為精確之控制,因為氫氣可與氟基團鍵合。第二電漿移除光阻劑殘留物,且以一更小之速率移除該薄氧化物層,同時最小化在該第二電漿程序期間矽之消耗。
在某些實施例中,在曝露至第二電漿期間,半導體基板被保持於或加熱至約16℃(即,室溫)至約300℃之範圍內之一溫度。半導體基板曝露至第二電漿之時間根據在該第一電漿程序之後光阻劑殘留物之厚度而決定。半導體基板亦被保持於約1毫托至約1個大氣壓範圍內之一溫度且較佳為約0.1托至約10托。應理解,曝露至第一電漿及曝露至第二電漿可作為兩個離散步驟而執行,例如,在二者之間執行一沖洗步驟,或者可作為一個連續電漿流動步驟而執行,且連續電漿流之組合物自第一電漿之組合物變化至第二電漿之組合物。總體而言,托架之可移除蓋係由一種或多種可抵抗上述之處理環境之材料而製成。
圖案化方法/裝置實例
本文上述之裝置/程序係可與微影圖案化工具或程序配合使用,以製作或製造半導體裝置、顯示器、LED、光伏面板及此類物。一般而言(但是不必須),此等工具/程序將在一起用於一共有製作設施。薄 膜之微影圖案化一般包含一些或所有下列步驟,且每個步驟能夠使用若干可行之工具:(1)使用旋轉或噴塗工具將光阻劑塗敷於一工件(即,基板)上;(2)使用熱板、爐或UV固化工具固化光阻劑;(3)用諸如晶圓步進器之工具將光阻劑曝露至可見光、UV或X線光;(4)顯影光阻劑,以選擇性地移除光阻劑且因此使用諸如濕式清洗台圖案化光阻劑;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻劑圖案轉印至一底層之薄膜或工件中;及(6)使用諸如RF或微波電漿光阻劑剝離器之工具來移除光阻劑。
實驗結果
圖12中之實驗結果已支援證明定位於鋁托架上之陶瓷蓋係有效之散熱器。具體而言,圖12圖解在一模型化熱穩定狀態中,此等兩個組件之一熱圖形。蓋1204係由99.9%之純氧化鋁製成。其厚度為0.198英寸。托架1202係由鋁6061製成。其厚度為1.395英寸。突起1206a、1206b及1206c圖解該等加熱元件之位置。該模型係針對400℃之設定溫度而製作。
結果表明,蓋1204之面向基板表面之一大部分之溫度為397.8℃,而較小部分之外緣部分之溫度為398.4℃。同時,托架1202之上表面被均勻地分割為一401.1℃區、401.6℃區及402.2℃區。不受限於任何特定的理論,據信若不使用蓋1204,則上表面之溫度分佈較為不均勻。據信蓋1204亦有助於托架1202之上表面中之熱分佈。總體而言,此等結果表明,具有一蓋之托架較不具有一蓋之托架可使該面向基板表面之溫度分佈更為均勻。
結論
儘管為了清晰之理解,上述概念係以某種細節而描述,顯而易見,在附加申請專利範圍內,可做出某些改變及修改。應注意,具有多種替代方式來實施該等程序、系統及裝置。因此,本發明之實施例 應被理解為闡釋性而非限制性。
200‧‧‧托架
204‧‧‧金屬載盤
206‧‧‧可移除蓋
220‧‧‧金屬載盤204之上表面

Claims (33)

  1. 一種托架(pedestal),其係用於將一半導體基板支撐於用以處理該半導體基板之一裝置中,該托架包括:一金屬載盤(platen),其包括一上表面,用於向該半導體基板提供熱;及一可移除陶瓷蓋(cover),其用於在該裝置中處理該半導體基板期間向位於該可移除陶瓷蓋的一面向基板表面(substrate-facing surface)之上之該半導體基板提供實質上均勻之熱傳遞,該可移除陶瓷蓋位在該金屬載盤之該上表面之上,其中在裝配該托架之後,一與該可移除陶瓷蓋之該面向基板表面相對之面向載盤表面係藉由一空氣間隙(air gap)而與該金屬載盤之該上表面分隔。
  2. 如請求項1之托架,其中該金屬載盤包括選自由下列組成之群之一或多個鋁材料:鋁6061、鋁7075及鋁3003。
  3. 如請求項1之托架,其中該金屬載盤包括一加熱器,其配置於該金屬載盤內,以用於將該金屬載盤之該上表面加熱至介於約100℃至450℃之間之一溫度。
  4. 如請求項1之托架,其中該面向基板表面包括一組支撐件,其係用於將該半導體基板支撐於該面向基板表面上方之一平均預定距離處。
  5. 如請求項4之托架,其中該平均預定距離係介於約0.004英寸至約0.007英寸之間。
  6. 如請求項4之托架,其中該組支撐件包括至少六個個別支撐件,其係配置成以該可移除陶瓷蓋之中心為中心之兩個或更多個圓形圖案。
  7. 如請求項1之托架,其中該金屬載盤之該上表面包括一組支撐件,其突起穿過該可移除陶瓷蓋中之開口,該組支撐件經組態以將該半導體基板支撐於該可移除陶瓷蓋的該面向基板表面之上方的一平均(average)預定距離處。
  8. 如請求項7之托架,其中該組支撐件包括陶瓷球,其係定位於延伸部之附接至該金屬載盤之自由端上。
  9. 如請求項7之托架,其中該等開口具有自該可移除陶瓷蓋之中心徑向地延伸之細長形狀。
  10. 如請求項1之托架,其中該托架係經組態以將該面向基板表面之溫度分佈保持於在400℃設定溫度內少約3℃以內。
  11. 如請求項1之托架,其中該可移除陶瓷蓋之厚度為約0.075英寸至約0.500英寸之間。
  12. 如請求項1之托架,其中該可移除陶瓷蓋具有在小於約0.002英寸偏差以內平行於該面向基板表面之一面向載盤表面。
  13. 如請求項1之托架,其中該可移除陶瓷蓋包括選自由下列組成之群之一或多個材料:氧化鋁、氮化鋁、鈦酸鋇、氮化硼、氮氧矽鋁、碳化矽、氮化矽、矽酸鎂、碳化鈦、氧化鋅及二氧化鋯。
  14. 如請求項1之托架,其中該可移除陶瓷蓋包括一引導銷,其自一面向載盤表面之一中心延伸且突起進入該金屬載盤之該上表面中之一對應凹部中,該引導銷及該對應凹部係經組態以維持該可移除陶瓷蓋與該金屬載盤之相對位置。
  15. 如請求項1之托架,其中該可移除陶瓷蓋包括兩個或兩個以上固持柱,其自一面向載盤表面延伸且進入該金屬載盤之該上表面上之個別上固持凹孔,以相對於該金屬載盤緊固該可移除陶瓷蓋。
  16. 如請求項15之托架,其進一步包括兩個或兩個以上固持鍵(retaining keys),其延伸進入該金屬載盤之側固持凹孔中且與該可移除陶瓷蓋之該兩個或兩個以上固持柱接合。
  17. 如請求項16之托架,其進一步包括兩個或兩個以上固持蓋,其係用於插入該金屬載盤之該等側固持凹孔中且覆蓋該等側固持凹孔內側之兩個或兩個以上固持鍵。
  18. 如請求項1之托架,其中該可移除陶瓷蓋包括一蓋邊緣突起(cover edge protrusion),其延伸高於該可移除陶瓷蓋之該面向基板表面,且經組態以在該裝置中處理該半導體基板期間抓取該半導體基板之一外緣(outer edge)。
  19. 如請求項1之托架,其中該金屬載盤包括一載盤邊緣突起,其延伸高於該可移除陶瓷蓋之該面向基板表面,且經組態於該裝置中處理半導體基板期間抓取該半導體基板之一外緣,其中該可移除陶瓷蓋係位於由該載盤邊緣突起形成之一凹孔內。
  20. 如請求項1之托架,其中該可移除陶瓷蓋之該面向基板表面之平均放射率小於約0.35。
  21. 如請求項1之托架,其中該可移除陶瓷蓋之一面向載盤表面及該金屬載盤之該上表面之表面粗糙度為小於10微英寸。
  22. 如請求項1之托架,其中該金屬載盤之該上表面包括一或多個釋氣凹槽。
  23. 如請求項22之托架,其中該一或多個釋氣凹槽包括至少一個徑向延伸遠離該金屬載盤之一中心的凹槽。
  24. 如請求項23之托架,其中該一或多個釋氣凹槽進一步包括至少一個同心凹槽。
  25. 如請求項22之托架,其中該一或多個釋氣凹槽之深度為介於約0.005英寸至約0.025英寸之間。
  26. 一種在處理一半導體基板之期間用於一托架之可移除陶瓷蓋,該可移除陶瓷蓋包括:一面向基板表面,其用於向位於該可移除陶瓷蓋的該面向基板表面之上之該半導體基板提供均勻之熱傳遞;一與該面向基板表面相對之面向載盤表面,其位於該托架之一金屬載盤上,其中在定位該面向載盤表面於該金屬載盤上之後,該面向載盤表面係藉由一空氣間隙而與該金屬載盤之一上表面分隔。
  27. 一種用於處理一半導體基板之裝置,該裝置包括:一腔室,其經組態以收納該半導體基板;一電漿源,其用於在該腔室中產生一電漿;一托架,其用於支撐且加熱該半導體基板,該托架包含:一具有一上表面之金屬載盤,其用於向該半導體基板提供熱;及一可移除陶瓷蓋,其用於在該裝置中處理該半導體基板期間向位於該可移除陶瓷蓋的一面向基板表面之上之該半導體基板提供實質上均勻之熱傳遞,該可移除陶瓷蓋位在該金屬載盤之該上表面之上,其中在裝配該托架之後,一與該可移除陶瓷蓋之該面向基板表面相對之面向載盤表面係藉由一空氣間隙而與該金屬載盤之該上表面分隔。
  28. 一種包含如請求項27之裝置及一步進器(stepper)之系統。
  29. 一種自半導體基板剝離光阻劑之方法,該方法包含:(a)將一半導體基板定位於一腔室中之一托架上方,該托架包含:一具有一上表面之金屬載盤,其用於向該半導體基板提供熱;及 一可移除陶瓷蓋,其用於在處理該半導體基板期間向位於該可移除陶瓷蓋的一面向基板表面之上之該半導體基板提供實質上均勻之熱傳遞,該可移除陶瓷蓋位在該金屬載盤之該上表面之上,其中一與該可移除陶瓷蓋之該面向基板表面相對之面向載盤表面係藉由一空氣間隙而與該金屬載盤之該上表面分隔;(b)自該半導體基板移除一些或所有的光阻劑;(c)自該托架移離該半導體基板;及對額外的基板重複操作(a)至(c)。
  30. 如請求項29之方法,其中在操作(a)之前,該托架係經加熱至至少約120℃以使得該面向基板表面之一溫度分佈的偏差小於約3℃。
  31. 如請求項29之方法,其進一步包含在使用該可移除陶瓷蓋處理至少10,000個基板之後,用一新的可移除陶瓷蓋更換該可移除陶瓷蓋。
  32. 如請求項31之方法,其進一步包含在操作(a)之前,調整(conditioning)該新的可移除陶瓷蓋以穩定該新的可移除陶瓷蓋之一新的面向基板表面之放射率特性。
  33. 如請求項29之方法,其進一步包含:塗佈光阻劑至該半導體基板;使該光阻劑曝露於光中;圖案化該光阻劑且將該圖案轉印至該半導體基板;及選擇性地自該半導體基板移除該光阻劑。
TW105102104A 2011-04-13 2011-05-17 托架蓋 TWI545679B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/086,010 US8371567B2 (en) 2011-04-13 2011-04-13 Pedestal covers

Publications (2)

Publication Number Publication Date
TW201616592A TW201616592A (zh) 2016-05-01
TWI545679B true TWI545679B (zh) 2016-08-11

Family

ID=47006621

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100117275A TWI532113B (zh) 2011-04-13 2011-05-17 托架蓋
TW105102104A TWI545679B (zh) 2011-04-13 2011-05-17 托架蓋

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100117275A TWI532113B (zh) 2011-04-13 2011-05-17 托架蓋

Country Status (6)

Country Link
US (2) US8371567B2 (zh)
JP (1) JP5322190B2 (zh)
KR (1) KR101275336B1 (zh)
CN (1) CN102893386B (zh)
TW (2) TWI532113B (zh)
WO (1) WO2012141722A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741530B (zh) * 2019-03-19 2021-10-01 日商日本碍子股份有限公司 晶圓載置裝置及其製法

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
JP6054314B2 (ja) * 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
WO2012134084A2 (en) * 2011-03-25 2012-10-04 Lg Electronics Inc. Plasma enhanced chemical vapor deposition apparatus and method for controlling the same
US10081870B2 (en) * 2011-03-25 2018-09-25 Lg Electronics Inc. Plasma enhanced chemical vapor deposition apparatus and method for controlling the same
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140119726A (ko) * 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP5997952B2 (ja) * 2012-07-06 2016-09-28 大陽日酸株式会社 気相成長装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101586181B1 (ko) * 2013-03-28 2016-01-15 시바우라 메카트로닉스 가부시끼가이샤 적재대 및 플라즈마 처리 장치
KR101415181B1 (ko) * 2013-08-19 2014-07-04 주식회사 신성에프에이 웨이퍼 접합 시스템용 웨이퍼 척
US10287685B2 (en) * 2013-08-29 2019-05-14 Maruwa Co., Ltd. Susceptor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN105336562B (zh) * 2014-07-22 2018-03-09 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10053774B2 (en) 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9405287B1 (en) * 2015-07-22 2016-08-02 Applied Materials, Inc. Apparatus and method for optical calibration of wafer placement by a robot
US9659198B2 (en) 2015-09-10 2017-05-23 Hand Held Products, Inc. System and method of determining if a surface is printed or a mobile device screen
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106571322A (zh) * 2015-10-08 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 盖板、承载装置及等离子体加工设备
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
US9870917B2 (en) * 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10923385B2 (en) * 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10861731B2 (en) * 2017-01-19 2020-12-08 Axcelis Technologies, Inc. Radiant heating presoak
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11011355B2 (en) * 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11222783B2 (en) * 2017-09-19 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Using cumulative heat amount data to qualify hot plate used for postexposure baking
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10535499B2 (en) * 2017-11-03 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Varied component density for thermal isolation
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11343879B2 (en) 2017-11-21 2022-05-24 Watlow Electric Manufacturing Company Multi-zone pedestal heater without vias
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20210035204A (ko) 2018-08-01 2021-03-31 모멘티브 퍼포먼스 머티리얼즈 인크. 분리 가능한 열 레벨러
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10576562B1 (en) * 2018-08-28 2020-03-03 Nishijima Kabushiki Kaisha Circular saw cutting machine
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
GB201815258D0 (en) * 2018-09-19 2018-10-31 Spts Technologies Ltd A support
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN110052370B (zh) * 2019-05-15 2024-04-02 苏州美图半导体技术有限公司 匀胶机真空匀胶装置
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110454655B (zh) * 2019-08-28 2020-12-01 周菊青 根据光照自动调整角度的显示板旋转设备
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11600492B2 (en) * 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
CN115461856A (zh) 2020-04-29 2022-12-09 应用材料公司 用于均匀性改善的加热器盖板
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112157407B (zh) * 2020-09-30 2021-12-21 靖江先锋半导体科技有限公司 晶圆传输装置及底座的加工方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240011147A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Electrostatic chuck cover piece to enable processing of dielectric substrates
CN115371366B (zh) * 2022-08-22 2024-05-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 刚性转架装置及其安装工艺和载片刚性转架

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
JPH01107519U (zh) 1987-12-31 1989-07-20
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JPH0637054B2 (ja) 1989-08-09 1994-05-18 積水化成品工業株式会社 廃棄樹脂の処理装置
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
JPH0790582B2 (ja) 1991-01-24 1995-10-04 村田機械株式会社 射出成形生産管理システム
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5580388A (en) 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
JP2004506313A (ja) 2000-08-04 2004-02-26 エス.シー.フルーイズ,インコーポレイテッド 遮断密閉メカニズムを有した逆圧容器
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
KR20030032034A (ko) 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
TW541581B (en) 2001-04-20 2003-07-11 Memc Electronic Materials Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
JP3588457B2 (ja) * 2002-04-26 2004-11-10 京セラ株式会社 ウェハ加熱装置
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
JP2006210372A (ja) 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
JP2007158074A (ja) 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
JP2009218536A (ja) 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101359070B1 (ko) * 2009-03-03 2014-02-05 도쿄엘렉트론가부시키가이샤 탑재대 구조, 성막 장치 및 원료 회수 방법
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741530B (zh) * 2019-03-19 2021-10-01 日商日本碍子股份有限公司 晶圓載置裝置及其製法

Also Published As

Publication number Publication date
TW201616592A (zh) 2016-05-01
KR101275336B1 (ko) 2013-06-17
US20130122431A1 (en) 2013-05-16
TWI532113B (zh) 2016-05-01
TW201241952A (en) 2012-10-16
US8371567B2 (en) 2013-02-12
US20120264051A1 (en) 2012-10-18
CN102893386B (zh) 2015-07-08
WO2012141722A1 (en) 2012-10-18
KR20120127606A (ko) 2012-11-22
JP2013528943A (ja) 2013-07-11
CN102893386A (zh) 2013-01-23
US8851463B2 (en) 2014-10-07
JP5322190B2 (ja) 2013-10-23

Similar Documents

Publication Publication Date Title
TWI545679B (zh) 托架蓋
TWI472882B (zh) 光阻剝離方法及設備
TWI677049B (zh) 方位可調整的多區域靜電夾具
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
JP5732941B2 (ja) プラズマエッチング装置及びプラズマエッチング方法
JP5973731B2 (ja) プラズマ処理装置及びヒータの温度制御方法
KR101375966B1 (ko) 산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
JP5100372B2 (ja) 基材を処理するための加工システムおよび方法
US20050269291A1 (en) Method of operating a processing system for treating a substrate
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
US20220163834A1 (en) Structure and method of bi-layer pixel isolation in advanced lcos back-plane
US11666952B2 (en) Condition selectable backside gas
KR20220113778A (ko) 챔버 컴포넌트들의 표면 프로파일링 및 텍스처링
WO2024049699A1 (en) Nitride thermal atomic layer etch
KR20230156422A (ko) 정전 척킹 시의 감소된 로컬화된 힘

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees