JP2009010111A - 半導体装置および半導体装置の製造方法 - Google Patents

半導体装置および半導体装置の製造方法 Download PDF

Info

Publication number
JP2009010111A
JP2009010111A JP2007169023A JP2007169023A JP2009010111A JP 2009010111 A JP2009010111 A JP 2009010111A JP 2007169023 A JP2007169023 A JP 2007169023A JP 2007169023 A JP2007169023 A JP 2007169023A JP 2009010111 A JP2009010111 A JP 2009010111A
Authority
JP
Japan
Prior art keywords
layer
substrate
semiconductor device
semiconductor
epitaxial growth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007169023A
Other languages
English (en)
Other versions
JP5286701B2 (ja
Inventor
Takuji Matsumoto
拓治 松本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2007169023A priority Critical patent/JP5286701B2/ja
Priority to TW097120490A priority patent/TWI460859B/zh
Priority to US12/137,112 priority patent/US8039901B2/en
Priority to KR1020080060865A priority patent/KR101475364B1/ko
Priority to CN2008101317526A priority patent/CN101335299B/zh
Publication of JP2009010111A publication Critical patent/JP2009010111A/ja
Priority to US13/238,580 priority patent/US8486793B2/en
Priority to US13/913,012 priority patent/US9070704B2/en
Application granted granted Critical
Publication of JP5286701B2 publication Critical patent/JP5286701B2/ja
Priority to US14/662,351 priority patent/US9356146B2/en
Priority to US15/136,091 priority patent/US20160240674A1/en
Priority to US17/493,392 priority patent/US20220029018A1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

【課題】レイアウトに依存することなく深さが制御されかつ結晶欠陥の発生が抑えられたエピタキシャル成長層が設けられ、これにより特性の向上が図られた半導体装置を提供する。
【解決手段】半導体基板3上に設けられたゲート電極9と、ゲート電極9両脇に不純物を導入して設けられたソース/ドレイン領域15とを備えた半導体装置1aにおいて、ソース/ドレイン領域15は、ゲート電極9脇における半導体基板3を掘り下げた位置に当該半導体基板3とは格子定数が異なる半導体材料をエピタキシャル成長させてなるエピタキシャル成長層11と、半導体基板3の表面層に設けた基板拡散層13とで構成されている。
【選択図】図1

Description

本発明は、半導体装置および半導体装置の製造方法に関し、特にはゲート電極脇の掘り下げ部に格子定数の異なる半導体材料をエピタキシャル成長させてなる半導体装置およびその製造方法に関する。
MOS型のトランジスタを備えた半導体装置においては、半導体基板のチャネル部への応力印加によってキャリア移動度を向上させる技術が積極的に利用されている。このような技術の一つとして、図11に示す構成が提案されている。すなわち、半導体基板101の表面側が素子分離102で分離され、分離されたアクティブ領域103上を横切る状態でゲート電極104が設けられ、この両脇が掘り下げられている。そして掘り下げたリセス部分に、半導体基板101とは格子定数が異なる半導体材料がエピタキシャル成長層105として設けられ、これをソース/ドレイン領域とする構成である。
この場合、例えばp型のMOSトランジスタ(PMOS)においては、半導体基板101を構成するシリコン(Si)よりも格子定数の大きいシリコンゲルマニウム(SiGe)がエピタキシャル成長層105として形成される。これにより、チャネル部chに圧縮応力が印加されてキャリア移動度が改善される(例えば、下記特許文献1参照)。
一方、n型のMOSトランジスタ(NMOS)においては、半導体基板101を構成するシリコン(Si)よりも格子定数の小さいシリコンカーボン(SiC)がエピタキシャル成長層105として形成される。これにより、チャネル部chに引張応力が印加されてキャリア移動度が改善される(例えば、下記非特許文献1参照)。
特開2006−165012号公報 Kah Wee Ang,etal、"Enhanced Performance in 50 nm N-MOSFETs with Silicon-Carbon Source/Drain Regions"、2004年12月、IEDM Tech. Dig.、pp-1069-1071
ところで、上述した構成の半導体装置において、各トランジスタの特性を均一化するためには、チャネル部に印加される応力のバラツキを抑えることが重要である。そして、チャネル部に印加される応力は、エピタキシャル成長層の深さ、すなわち半導体基板の掘り下げ深さによって制御される。
しかしながら、半導体基板の掘り下げ深さは、半導体基板を掘り下げるエッチングにおいて発生するマイクロローディング効果により、掘り下げ面積が小さいほど小さくなる。このため、各エピタキシャル成長層の深さは、半導体基板(アクティブ領域)上におけるゲート電極のレイアウトに依存してばらついてしまう。
また、シリコンゲルマニウム(SiGe)のエピタキシャル成長層は、レイアウト面積が大きくなると結晶欠陥が多くなり、接合リークの増加を引き起こす問題もあった。
さらに、MOSトランジスタにおいては、ソース/ドレイン領域の一方に隣接させて逆導電型の拡散層を設け、これらの表面層に形成したシリサイド層によってソース/ドレイン領域の一方と逆導電型の拡散層とを短絡させた構成とすることで、レイアウト面積が縮小される。このような構成において、PMOSトランジスタのソース/ドレイン領域としてシリコンゲルマニウム(SiGe)からなるエピタキシャル成長層を適用した場合、ヒ素(As)やリン(P)などのn型不純物を半導体基板の表面層に拡散させてなるn型拡散層が、逆導電型の拡散層として設けられることになる。
ところが、シリコンからなる半導体基板中に対して、SiGe中においてのn型不純物の拡散速度は、ヒ素(As)で7倍、リン(P)で2倍程度大きい。したがって、逆導電型の拡散層(n型拡散層)中のn型不純物が、これに接して設けられたソース/ドレイン領域(SiGeからなるエピタキシャル成長層)中を拡散してチャネル部に達し易く、MOSトランジスタの閾値を高くしてしまう問題があった。
そこで本発明はレイアウトに依存することなく深さが制御されかつ結晶欠陥の発生が抑えられたエピタキシャル成長層が設けられ、これにより特性の向上を図ることが可能な半導体装置およびその製造方法を提供することを目的とする。
このような目的を達成するための本発明の半導体装置は、半導体基板上に設けられたゲート電極と、このゲート電極両脇に不純物を導入して設けられたソース/ドレイン領域とを備えた半導体装置に関する。そして特に、ソース/ドレイン領域は、ゲート電極脇における半導体基板を掘り下げた位置に当該半導体基板とは格子定数が異なる半導体材料をエピタキシャル成長させてなるエピタキシャル成長層と共に、半導体基板の表面層に設けた基板拡散層とで構成されていることを特徴としている。
また本発明は、このような半導体装置の製造方法でもあり、次の工程を順に行うことを特徴としている。先ず第1工程では、半導体基板上にゲート電極を形成する。次の第2工程では、マスクパターン上からのエッチングにより、ゲート電極脇における前記半導体基板の表面層を掘り下げる。第3工程では、掘り下げられた半導体基板の表面に当該半導体基板とは格子定数が異なる半導体材料からなるエピタキシャル成長層を形成する。次いで第4工程では、マスクパターンを除去して前記半導体基板の表面を露出させる。その後、エピタキシャル成長層と半導体基板の表面層とに不純物を拡散させる。これにより、不純物が拡散された当該エピタキシャル成長層と、半導体基板の表面層に不純物を拡散させてなる基板拡散層とで構成されたソース/ドレイン領域を形成する。
以上のような半導体装置および製造方法では、エピタキシャル成長層と基板拡散層とでソース/ドレイン領域が構成されている。このため、エピタキシャル成長層の幅が、基板拡散層によって調整される。これにより、レイアウトに依存することなく、エピタキシャル成長層が設けられる部分となる半導体基板の掘り下げ部の幅が制御され、この掘り下げ部をエッチングによって掘り下げる場合の深さが制御されることになる。したがって、例えば基板拡散層によってエピタキシャル成長層の幅を所定の一定幅とすることで、レイアウトに依存することなくエピタキシャル成長層が設けられる半導体基板の掘り下げ深さが均一化される。また、レイアウトに依存することなく、基板拡散層を設けた分だけエピタキシャル成長層の形成面積(レイアウト面積)が縮小されるため、結晶欠陥の少ないエピタキシャル成長層が得られる。
以上説明したように本発明によれば、レイアウトに依存することなくエピタキシャル成長層が設けられる半導体基板の掘り下げ深さを制御できるため、制御された深さのエピタキシャル成長層によってゲート電極下のチャネル部に印加する応力のバラツキを抑えることが可能になる。また、レイアウトに依存することなく結晶欠陥の少ないエピタキシャル成長層が得られることから、接合リークを抑えることが可能になる。この結果、半導体装置の特性の向上を図ることが可能になる。
以下本発明の実施の形態を、図面に基づいて詳細に説明する。尚ここでは、基板上に複数のMOSトランジスタを設けた半導体装置の構成を説明する。
<第1実施形態>
図1は第1実施形態の半導体装置の構成を示す概略断面図である。この図に示す半導体装置1aは、シリコン(Si)からなる半導体基板3の表面側が、素子分離5で分離され、分離されたアクティブ領域7上を横切る状態でゲート電極9を設けてなる。また、ゲート電極9の両側における半導体基板3の表面が掘り下げられている。この掘り下げたリセス部分には、半導体基板3とは格子定数が異なる半導体材料がエピタキシャル成長層11として設けられ、不純物が拡散されている。また、ゲート電極9に対してエピタキシャル成長層11の外側には、半導体層3の表面層に不純物を拡散してなる基板拡散層13が設けられている。
そして本第1実施形態においては、ゲート電極9の両脇において、不純物が拡散されたエピタキシャル成長層11と基板拡散層13とで、ソース/ドレイン領域15が構成されているとろこが特徴的である。
ここで、各ゲート電極9の両脇に設けられたエピタキシャル成長層11は、基板拡散層13によって制御された所定幅Wで形成されていることとする。ここでは一例として、同一規格のMOSトランジスタであればチャネル長方向に略同一の所定幅Wを有して設けられていることとする。このため、基板拡散層13は、エピタキシャル成長層11が同一幅となるように、エピタキシャル成長層11の外側の一部のみに設けられていれば良い。尚、エピタキシャル成長層11のチャネル長方向における所定幅Wは、10〜100nm程度であることとする。
尚、半導体装置1aに設けられるMOSトランジスタのうち、p型のMOSトランジスタ(PMOS)には、エピタキシャル成長層11として、半導体基板3を構成するシリコン(Si)よりも格子定数の大きいシリコンゲルマニウム(SiGe)が設けられている。これにより、チャネル部chに圧縮応力が印加される構成となっている。
一方、この半導体装置1aに設けられるMOSトランジスタのうち、n型のMOSトランジスタ(NMOS)には、エピタキシャル成長層11として、半導体基板3を構成するシリコン(Si)よりも格子定数の小さいシリコンカーボン(SiC)が設けられている。これにより、チャネル部chに引張応力が印加される構成となっている。
次に上記構成の半導体装置1aの詳細な構成を、図2〜図5の断面工程図に基づいて製造工程順に説明する。
先ず、図2(1)に示すように、単結晶シリコンからなる半導体基板3を用意する。この半導体基板3は、例えば比抵抗が約10mmΩ・cmであることとする。尚、半導体基板3としては、表面層が単結晶シリコンで構成されていれば、SOI(silicon-on-insulator)やSiGe層を含む基板を用いても良い。
そして、この半導体基板3の表面層に、熱酸化によって膜厚約15nmのパッド酸化膜21を形成する。次に、LP−CVD(Low Pressure CVD)法により、膜厚約160nmの窒シリコン膜22を堆積成膜する。尚、パッド酸化膜21上に窒化シリコン膜22を積層した構造以外に、ポリシリコン膜上に窒化シリコン膜を積層した構造、またはパッド酸化膜上に窒化シリコン膜を積層した構造としても良い。
次に、図2(2)に示すように、窒化シリコン膜22およびパッド酸化膜21に、素子分離形成部に対応する開口22aを形成する。ここでは、リソグラフィー技術によってレジストパターン(図示省略)を形成し、これをマスクに用いたエッチングによって窒化シリコン膜22およびパッド酸化膜21を加工する。エッチングには、RIE(Reactive Ion Etching)装置またはECR(Electron Cyclotron Resonance)装置などを用いる。加工後には、アッシング装置などを用いてレジストパターンを除去する。
次に、図2(3)に示すように、開口22aを設けた窒化シリコン膜22をマスクに用いて半導体基板3をエッチングすることにより、半導体基板3に素子分離用のトレンチ3aを形成する。トレンチ3aの深さは、約0.3μm程度とする。またこのエッチングには、RIE(Reactive Ion Etching)装置またはECR(Electron Cyclotron Resonance)装置などを用いる。
この状態で、熱酸化処理を行うことにより、ここでの図示を省略したライナー酸化膜を膜厚約4〜10nmの膜厚で形成する。この熱酸化処理は、約800℃〜900℃の温度で行うこととする。このライナー酸化膜は、窒素を含んだ酸化膜であっても良い。尚、ライナー酸化膜に換えて、CVD法によって堆積成膜した窒化膜を成膜しても良い。
次に、図2(4)に示すように、トレンチ3a内を絶縁膜で埋め込んでなる素子分離5を形成し、半導体基板3の表面側を複数のアクティブ領域7に分離する。この素子分離5は、トレンチ3a内を埋め込む状態でHDP(High Density Plasma)酸化膜、SOG(Spin on Glass)などの無機膜、または有機酸化膜などの絶縁膜を成膜し、次に窒化シリコン膜22が露出するまで絶縁膜をCMP(Chemical Mechanical Polishing)法によって研磨することによって形成する。
次に、図2(5)に示すように、半導体基板3の表面に対する素子分離5の高さを調整するために、トレンチ3a内に埋め込まれた絶縁膜(例えば酸化膜)をウェットエッチングする。エッチング膜厚は、例えば約40nm〜100nmである。次にホット燐酸によって窒化シリコン膜(22)を除去し、パッド酸化膜21を露出させる。
次に、図3(1)に示すように、半導体基板3の表面層にウェル拡散層23を形成すると共にチャネル注入を行う。ここでは、レジストパターンをマスクに用いることにより、p型のMOSトランジスタを形成する領域(以下PMOS領域と記す)と、n型のMOSトランジスタを形成する領域(以下NMOS領域と記す)とに対してそれぞれ個別にイオン注入を行う。
PMOS領域には、n型のウェル拡散層23を形成する。この場合、リン(P)イオンを200KeVの注入エネルギーで、約1E13個/cm2のドーズ量で注入する。またチャネル注入は、ヒ素(As)イオンを100keVの注入エネルギーで、約1E11〜2E13個/cm2のドーズ量で注入する。
一方、NMOS領域には、p型のウェル拡散層23を形成する。この場合、ホウ素(B)イオンを200keVの注入エネルギーで、約1E13個/cm2のドーズ量で注入する。またチャネル注入は、ホウ素(B)イオンを10〜20KeVの注入エネルギーで、約1E11〜2E13個/cm2のドーズ量で注入する。
以上のようなイオン注入が終了した後にはレジストパターンを除去する。また、パッド酸化膜21をウェットエッチングによって除去する。
次に、図3(2)に示すように、半導体基板3の表面にゲート絶縁膜25を形成する。ここでは、高耐圧のMOSトランジスタが形成される領域に厚膜のゲート絶縁膜25を形成する一方、低電圧用のMOSトランジスタが形成される領域には薄膜のゲート絶縁膜25を形成する。
この際先ず、酸化シリコンからなる厚膜のゲート絶縁膜25を形成する。例えば電源電圧3.3V用のMOSトランジスタでは膜厚約7.5nm、電源電圧2.5V用のMOSトランジスタでは膜厚約5.5nmである。その後、レジストパターンをマスクに用いたエッチングにより、低電圧用のMOSトランジスタが形成される領域における厚膜のゲート絶縁膜25を除去する。
次に、低電圧用のMOSトランジスタが形成される領域に薄膜のゲート絶縁膜25を形成する。例えば、1.0V用のMOSトランジスタでは膜厚約1.2〜1.8nmである。
以上のようなゲート酸化膜25は、熱酸化膜、RTO(Rapid Thermal Oxidation)によって成膜した酸窒化膜でも良い。また、ゲートリークをさらに低減するためにHfやZr系などの酸化膜を用いた高誘電体膜でもよい。
次に、LPCVD法によって、ゲート絶縁膜25上に、ゲート電極構成膜としてポリシリコン膜27を堆積成膜する。ポリシリコン膜27の膜厚は、技術ノードにもよるが、90nmノードでは、約150〜200nmである。また、膜厚は、加工の制御性から一般にゲートアスペクト比を大きくしないため、ノード毎に薄くなる傾向がある。
次に、ポリシリコン膜27に対してゲート空乏化対策のための不純物を導入する。この際、レジストパターンをマスクに用いることにより、NMOS領域にはリン(P)またはヒ素(As)をイオン注入し、PMOS領域にはホウ素(B)またはフッ化ホウ素(BF2)またはインジウム(In)をイオン注入する。注入ドーズ量は、約1E15〜1E16個/cm2である。ここで、ゲート空乏化対策とは、ゲート酸化膜厚薄膜化に伴い、物理的なゲート酸化膜厚だけでなくゲートポリシリコン内の空乏層膜厚の影響が無視できなくなることにより、実効的なゲート膜厚が薄くならず、Tr.性能が落ちてしまう問題に対する対策のことである。
この場合、ポリシリコン膜27に導入した不純物のゲート絶縁膜25直下への突き抜けを防ぐために、窒素(N2)注入を組み合わせても良い。
尚、ゲート空乏化対策としては、ゲート電極構成膜としてポリシリコン膜の代わりにSiGeのポリ膜を成膜したり、ゲート電極をフルシリサイド化させたり、金属ゲートを用いる方法を適用しても良い。
次に、ポリシリコン膜27上に、ゲート加工時のマスクとなるマスク層29を成膜する。このマスク層29としては、酸化シリコン膜または窒化シリコン膜などが用いられる。膜厚は約10〜100nmである。
次いで図3(3)に示すように、レジストパターンをマスクにしてRIE装置等を用いたエッチングにより、マスク層29をゲート電極の形状にパターニングする。エッチング終了後にはレジストパターンを除去する。
その後、RIE装置等を用い、パターニングされたマスク層29上からポリシリコン膜27をエッチングし、ポリシリコン膜27をパターニングしてなるゲート電極9を形成する。またこの際ゲート絶縁膜25もエッチングによってパターニングされても良い。
次ぎに、ゲート電極9の側壁にオフセットスペーサ31を形成する。ここでは先ず、TEOS膜、HTO膜、または窒化シリコン膜等のオフセット用の絶縁膜を成膜し、RIE装置を用いてこの絶縁膜をエッチバックすることにより、オフセットスペーサ31を得る。このオフセットスペーサ31は、ゲート電極31の側壁に設けることにより、実効チャネル長を長くし、短チャネル効果を抑制する効果がある。また、オフセットスペーサ31を形成する前に、RTOなどでゲート電極の側壁を酸化させる工程を行っても良い。この工程は、寄生容量であるゲートオーバーラップ容量を低減する効果がある。
次に、ゲート電極9脇の半導体基板3の表面側にポケット注入を行うと共に(プロファイルの図示省略)、エクステンション拡散層33を形成する。ここでは、レジストパターンをマスクに用いることにより、PMOS領域とNMOS領域とに対してそれぞれ個別にイオン注入を行う。
PMOS領域のポケット注入は、ヒ素(As)またはリン(P)を約1E12〜1E14個/cm2のドーズ量で行う。エクステンション拡散層33には、ホウ素(B)またはフッ化ホウ素(BF2)またはインジウム(In)を約1E15〜2E15個/cm2のドーズ量でイオン注入する。
一方、NMOS領域のポケット注入は、ホウ素(B)またはフッ化ホウ素(BF2)またはインジウム(In)を、約1E12〜1E14個/cm2のドーズ量で行う。エクステンション拡散層33は、ヒ素(As)またはリン(P)を約1E14〜2E15個/cm2のドーズ量でイオン注入する。なお、NMOS領域に本発明の構造を採用する場合は、このエクステンション拡散層33の形成は省略して良い。
また、NMOS領域およびPMOS領域へのポケット注入前に、注入のチャネリング抑制技術として、Geを注入することなどでプリアモルファス化を行ってもよい。また、エクステンション拡散素33の形成後にTED(Transient Enhanced Diffusion)などを引き起こす注入欠陥を小さくするために、800〜900℃程度のRTA(Rapid Thermal Annealing)処理を追加しても良い。
そして次の、図3(4)に示す工程が、本発明に特徴的な工程の1つとなる。
すなわち、先ずCVD法により、膜厚約10nmの酸化シリコン膜35と、膜厚約50nmの窒化シリコン膜37とをこの順に成膜する。またここでの図示は省略したが、さらに酸化シリコン膜を積層成膜しても良い。
次に、レジストパターン(すなわちマスクパターンでありここでの図示は省略)をマスクに用いたエッチングにより、酸化シリコン膜35/窒化シリコン膜37の積層膜をパターニングする。ここでは、ゲート電極9の両脇に、酸化シリコン膜35/窒化シリコン膜37の積層膜からなるサイドウォールを介して、チャネル長方向に所定幅Wの開口が設けられるように、酸化シリコン膜35/窒化シリコン膜37をパターニングする。これにより、ゲート電極9の両脇における所定幅Wの外側には、酸化シリコン膜35/窒化シリコン膜37の積層膜が残される部分も発生する。
尚、上記所定幅Wは、例えば同一規格のMOSトランジスタにおいて略同一の値であることとする。
次に、上記レジストパターンをマスクに用いたRIEにより、半導体基板3を掘り下げるリセスエッチングを行う。これにより、半導体基板3(ウェル拡散層23)の表面側に上記所定幅Wのリセス部39を形成する。この際、リセス深さを150nm程度とする。ここでのリセス深さと、後工程の熱処理により、ソース/ドレイン領域の接合深さが決まる。したがって、テクノロジーノードが進むと、微細化が進み、そのエッチング深さは浅くなる方向になる。
以上のエッチングが終了した後には、レジストパターンを除去する。
次に、図4(1)に示すように、掘り下げられた半導体基板3の表面、すなわちリセス部39に、半導体基板3とは格子定数が異なる半導体材料からなるエピタキシャル成長層11を形成する。
ここでは、図1を用いて説明したように、pMOS領域には、エピタキシャル成長層11として、半導体基板3を構成するシリコン(Si)よりも格子定数の大きいシリコンゲルマニウム(SiGe)を形成する。この際、nMOS領域は酸化膜シリコン膜あるいは酸化シリコン膜/窒化シリコン膜の積層膜で覆った状態としておく。そして、温度600℃〜800℃で、ガス種としてジクロロシラン(Si2H2Cl2)、ジボラン(B26)、塩化水素(HCl)、水素(H2)等を用いることにより、ホウ素(B)を含有するシリコンゲルマニウム(SiGe)をエピタキシャル成長させる。
一方、nMOS領域には、エピタキシャル成長層11として、半導体基板3を構成するシリコン(Si)よりも格子定数の小さいシリコンカーボン(SiC)を形成する。この際、pMOS領域は酸化膜シリコン膜あるいは酸化シリコン膜/窒化シリコン膜の積層膜で覆った状態としておく。そして、温度600℃〜800℃で、ガス主としてシラン(SiH4),プロパン(C36)、ホスフィン(PH3)、塩化水素(HCl)等を用いることにより、リン(P)を含有するシリコンカーボン(SiC)をエピタキシャル成長させる。
次に、図4(2)に示すように、酸化シリコン膜35/窒化シリコン膜37の積層膜をエッチバックし、ゲート電極9の側壁にサイドウォール37aを形成する。これにより、アクティブ領域7の一部において半導体基板3の表面Aが露出する。
次に、図4(3)に示すように、ソース/ドレイン領域15を形成する。ここでは、レジストパターンをマスクに用いることにより、PMOS領域とNMOS領域とに対してそれぞれ個別にイオン注入を行う。
PMOS領域には、p型の不順物としてホウ素(B)またはフッ化ホウ素(BF2)を、1E15〜1E16個/cm2のドーズ量でイオン注入する。
一方、NMOS領域には、n型の不純物としてヒ素(As)またはリン(P)を、1E15〜1E16個/cm2のドーズ量でイオン注入する。
上記イオン注入の終了後にはレジストパターンを除去し、約800〜1100℃で、活性化アニールを行う。装置は、RTA, Spike-RTAなどを用いる。
これにより、ゲート電極9の両脇に、不純物が拡散されたエピタキシャル成長層11と基板拡散層13とで構成されたソース/ドレイン領域15が設けられたp型またはn型のMOSトランジスタTrが得られる。
次に、図4(4)に示すように、シリコンの露出表面をシリサイド化させたシリサイド層41を形成する。ここでは、先ず自然酸化膜のウェットエッチング処理を行った後、スパッタ装置を用いて例えばニッケル(Ni)からなる金属膜を約10nmの膜厚で堆積成膜する。次に、約300〜400℃程度の温度でアニール処理することにより、シリコンの露出部分をシリサイド化させる。その後、シリサイド化の後に残った金属膜をウェットエッチングによって除去する。その後、さらに500〜600℃程度でアニール処理を行うことにより、ニッケルシリサイドからなるシリサイド層41を形成する。このシリサイド層41は、ポリシリコンからなるゲート電極9、シリコンゲルマニウム(SiGe)からなるエピタキシャル層11、および単結晶シリコンからなる基板拡散層13の表面のみに、自己整合的に形成される。
尚、金属膜としては、ニッケル(Ni)の他に、コバルト(Co)、チタン(Ti)、プラチナ(Pt)、タングステン(W)などが用いられ、それぞれコバルトシリサイド(CoSi2)、チタンシリサイド(TiSi2)、プラチナシリサイド(PtSi)、タングステンシリサイド(WSi2)が得られる。
次に、図5(1)に示すように、ゲート電極9、エピタキシャル層11、および基板拡散層13の上部に、窒化シリコンからなる応力膜43を形成する。ここでは、n型のMOSトランジスタTrが形成された領域に引張応力が印加され、p型のMOSトランジスタTrが形成された領域に圧縮応力が印加されるように、それぞれ異なる応力膜43を形成する。
この際先ず、LPCVD法またはp−CVD法等により、応力膜42として引張応力を与える窒化シリコン膜(Tensile Si3N4)を約5nm〜100nmの膜厚で堆積成膜する。次いで、応力膜43を加工する際のストッパ膜(図示省略)として、CVD法等により酸化シリコン膜(TEOS、PSG、BPSG、SOG膜など)を100nm程度の膜厚で堆積成膜する。その後、レジストパターンをマスクに用いたエッチングにより、p型のMOSトランジスタTrが形成された領域におけるストッパ膜を除去し、さらにこのストッパ膜をマスクに用いて応力膜42を除去する。このとき、pFETのサイドウォール膜もエッチングの選択比・オーバーエッチの関係で、削れてしまう。
以上により、n型のMOSトランジスタTrが形成された領域を、チャネル部chに対して引張応力を与える応力膜43で覆う。
次に、CVD法等により、応力膜43として圧縮応力を与える窒化シリコ膜(Compressive Si3N4)を約5nm〜100nmお膜厚で堆積成膜する。次いで、n型のMOSトランジスタTrが形成された領域において、このような圧縮応力を与える応力膜43を除去する。
以上によりp型のMOSトランジスタTrが形成された領域を、チャネル部chに対して圧縮応力を与える応力膜43で覆う。
また以上までの工程で、図1に示したと同様の構成の半導体装置1aが得られる。以下においては、さらに引き続き行われる工程を説明する。
先ず、図5(2)に示すように、CVD法によって、応力膜43を覆う状態でTEOS、PSG、BPSG、SOG膜などの酸化シリコン膜45を、約100〜1000nm程度の膜厚で堆積成膜し、CMPを行うことにより平坦化する。
次に、図5(3)に示すように、酸化シリコン膜45および応力膜43に、ソース/ドレイン領域15表面のシリサイド層41に達する接続孔47を形成する。ここでは、レジストパターン(図示省略)をマスクに用いたRIEを行う。またRIEによる接続孔47形成後には、レジストパターンを除去する。
その後、図5(4)に示すように、接続孔27内を導電性材料で埋め込むことによりコンタクト49を形成する。ここでは、先ず、バリアメタル膜として、窒化チタン(TiN)/チタン(Ti)の積層膜をスパッタ法またはCVD法によって堆積成膜し、さらにタングステン(W)膜をCVD法によって堆積成膜する。タングステン膜の膜厚は約100〜500nmである。次にタングステン膜をCMPまたはエッチンバックし、接続孔47内のみに埋めんでなるコンタクト49を形成する。
次に、コンタクト49に接続された配線51を酸化シリコン膜45上に形成する。ここでは先ず、アルミニウム(Al)膜をスパッタ法で堆積成膜し、次にレジストパターンをマスクに用いたRIEによりアルミニウム膜をパターンエッチングすることにより、アルミニウムからなる配線51を形成する。尚、配線51の材料としては、より低抵抗である銅(Cu)を用いてもよい。
以上の後は、ここでの図示は省略するが、さらに上層の配線を形成し、2層、3層、4層、またはそれ以上の他層配線構造を形成しても良く、これによって層配線構造を備えた半導体装置を得ることができる。
以上説明した第1実施形態によれば、エピタキシャル成長層11と基板拡散層13とでソース/ドレイン領域15が構成された半導体装置1aを得ることができる。このため、エピタキシャル成長層11の幅を、基板拡散層13によって調整することができる。
これにより、図3(4)で説明した工程では、エピタキシャル成長層の幅に対応する所定幅Wのリセス部39を形成するが、この工程では、アクティブ領域7に対するゲート電極9のレイアウトに依存することなく、リセス部39の幅を所定幅Wに制御することで、エッチングの際のマイクロローディング効果も考慮したエッチング深さでリセス部39を形成することが可能になる。具体的には、リセス部39の幅を一定の所定幅Wとすることで、マイクロローディング効果によるエッチング深さのバラツキが抑えられたリセス部39を得ることが可能である。
これにより、このリセス部39に形成するエピタキシャル成長層11の深さを制御して一定とすることができる。
また、レイアウトに依存することなく、基板拡散層13を設けた分だけエピタキシャル成長層11の形成面積(レイアウト面積)が抑えられるため、結晶欠陥の少ないエピタキシャル成長層11を得ることができる。
以上の結果、制御された一定深さのエピタキシャル成長層11によってゲート電極9下のチャネル部chに印加する応力のバラツキを抑えることが可能になる。また、レイアウトに依存することなく結晶欠陥の少ないエピタキシャル成長層11が得られることから、接合リークを抑えることが可能になる。この結果、トランジスタTrの特性の向上を図ることが可能になる。
尚、このような構成により、エピタキシャル成長層11のボリュームが抑えられるが、エピタキシャル成長層11の深さをある程度に保つことにより、チャネル部chに与える応力を維持することができる(K. Ota et al “Scalable eSiGe S/D technology with less layout dependence for 45-nm generation”,「2006 Symposium VLSI Technology Digest of Technical Papers」,2006年、参照)。
また、上述したように基板拡散層13を設けた分だけエピタキシャル成長層11の形成面積(レイアウト面積)が抑えられるため、エピタキシャル成長層11における外側の側壁を覆う応力膜43部分がチャネル部chに近くなる。これにより、応力膜43によるチャネル部chへの応力印加の効果を高めることができる。
<第2実施形態>
図6は、第2実施形態の半導体装置の構成を示す概略断面図である。この図に示す半導体装置1bが、図1を用いて説明した第1実施形態の半導体装置1aと異なるところは、ソース/ドレイン領域15を構成する基板拡散層13の深さが、エピタキシャル成長層11の深さよりも深いところにある。他の構成は第1実施形態と同様であることとする。
このような構成の半導体装置1bにおいては、ゲート電極9に対してエピタキシャル成長層11よりも外側にある基板拡散層13を深くすることにより、第1実施形態の効果に加えて、短チャネル効果の影響を小さく抑えながらも、pn接合の空乏層電界を小さく抑えて接合リークをさらに改善できる。また、基板拡散層13を深くすることにより、接合部の基板不純物濃度が下がるため、接合容量を小さくでき、MOSトランジスタTrの動作速度の向上を図ることができる。
<第3実施形態>
図7は、第3実施形態の半導体装置の構成を示す概略断面図である。この図に示す半導体装置1cが、図1を用いて説明した第1実施形態の半導体装置1aと異なるところは、ソース/ドレイン領域15を構成する基板拡散層13の表面高さが、ゲート電極9下の半導体基板3の表面高さよりも低いところにある。他の構成は第1実施形態と同様であることとする。
このような構成の半導体装置1cにおいては、トランジスタTrを覆う応力膜43がチャネル部chよりも低い位置にまで延設されることになる。これにより、第1実施形態の効果に加えて、さらに応力膜43によるチャネル部chへの応力印加の効果を高めることができる。
<第4実施形態>
図8は、第4実施形態の半導体装置の構成を示す概略断面図である。この図に示す半導体装置61aは、特にp型のMOSトランジスタTrを備えた構成であることとする。そして、この半導体装置61aが、図1を用いて説明した第1実施形態の半導体装置1aと異なるところは、ソース/ドレイン領域15の一方のみがエピタキシャル成長層11を用いて構成されており、ソース/ドレイン領域15の他方は基板拡散層13のみを用いて構成されているところにある。また、基板拡散層13に隣接させて、ソース/ドレイン領域15とは逆導電型(n型)の拡散層(逆導電型拡散層63)が設けられている。以下、第1実施形態と同様の構成に付いての重複する説明は省略する。
このような半導体装置61aにおいて、逆導電型拡散層63は、ウェル拡散層23に対するコンタクト領域として設けられている。この逆導電型拡散層63と、これに隣接して設けられたソース/ドレイン領域15とは、これらの表面層に設けられたシリサイド層41によって短絡されている。この半導体装置61aは、このような構成とすることにより、ウェルコンタクトを縮小化している。
このような構成であれば、ウェル拡散層23に対するコンタクト領域(逆導電型拡散層63)に隣接させて短絡させたソース/ドレイン領域15を、基板拡散層13で構成したことにより、逆導電型拡散層63内のn型不純物がソース/ドレイン領域15を拡散してチャネル部chにまで達することを防止できる。
つまり、図9の比較構成例に示したように、逆導電型拡散層63に隣接して設けられたソース/ドレイン領域15としてシリコンゲルマニウム(SiGe)からなるエピタキシャル成長層11を適用した場合には、逆導電型拡散層63中のn型不純物がエピタキシャル成長層11内を拡散してチャネル部chにまで達し易い。これは、シリコンからなる半導体基板中に対して、SiGe中においてのn型不純物の拡散速度は、ヒ素(As)で7倍、リン(P)で2倍程度大きいためである。
したがって、図8のように、ソース/ドレイン領域15の一方のみがエピタキシャル成長層11を用いて構成し、逆導電型拡散層63に隣接させるソース/ドレイン領域15を基板拡散層13で構成することにより、逆導電型拡散層63内のn型不純物がチャネル部chにまで拡散することを防止でき、p型のMOSトランジスタTrにおける閾値の変動を抑制することが可能になる。
<第5実施形態>
図10は、第5実施形態の半導体装置の構成を示す概略断面図である。この図に示す半導体装置61bが、第4実施形態の半導体装置61aと異なるところは、ソース/ドレイン領域15の一方が、エピタキシャル成長層11と共に基板拡散層13を用いて構成されているところにあり、他の構成は同様であることとする。
この場合、ゲート電極9脇には、エピタキシャル成長層11を介して基板拡散層13が設けられていることとする。
このような構成とすることにより、エピタキシャル成長層11におけるチャネル長方向の幅が、基板拡散層13によって制御された所定幅Wとすることができる。これにより、第1実施形態と同様の効果を得ることが可能である。
第1実施形態の半導体装置の構成を示す概略断面図である。 第1実施形態の半導体装置の製造工程図(その1)である。 第1実施形態の半導体装置の製造工程図(その2)である。 第1実施形態の半導体装置の製造工程図(その3)である。 第1実施形態の半導体装置の製造工程図(その4)である。 第2実施形態の半導体装置の構成を示す概略断面図である。 第3実施形態の半導体装置の構成を示す概略断面図である。 第4実施形態の半導体装置の構成を示す概略断面図である。 第4実施形態に対する比較例を示す概略断面図である。 第5実施形態の半導体装置の構成を示す概略断面図である。 従来の半導体装置の構成を示す概略断面図である。
符号の説明
1a,1b,1c,61a,61b…半導体装置、3…半導体基板、9…ゲート電極、11…エピタキシャル成長層、13…基板拡散層、15…ソース/ドレイン領域、41…シリサイド層、63…逆導電型拡散層、W…所定幅

Claims (10)

  1. 半導体基板上に設けられたゲート電極と、前記ゲート電極両脇に不純物を導入して設けられたソース/ドレイン領域とを備えた半導体装置において、
    前記ソース/ドレイン領域は、
    前記ゲート電極脇における前記半導体基板を掘り下げた位置に当該半導体基板とは格子定数が異なる半導体材料をエピタキシャル成長させてなるエピタキシャル成長層と、
    前記半導体基板の表面層に設けた基板拡散層とで構成されている
    ことを特徴とする半導体装置。
  2. 請求項1記載の半導体装置において、
    前記エピタキシャル成長層は前記ゲート電極の両脇に設けられ、
    前記基板拡散層は前記エピタキシャル成長層の外側に設けられた
    ことを特徴とする半導体装置。
  3. 請求項2記載の半導体装置において、
    前記エピタキシャル成長層は、チャネル長方向に所定幅で設けられている
    ことを特徴とする半導体装置。
  4. 請求項2記載の半導体装置において、
    前記基板拡散層の表面は、前記ゲート電極の直下における前記半導体基板の表面よりも低い
    ことを特徴とする半導体装置。
  5. 請求項1記載の半導体装置において、
    前記ソース/ドレイン領域のうちの一方が前記エピタキシャル成長層を用いて構成され、他方が前記基板拡散層のみで構成された
    ことを特徴とする半導体装置。
  6. 請求項5記載の半導体装置において、
    前記基板拡散層に隣接して当該基板拡散層とは異なる導電型の逆導電型拡散層が設けられ、
    前記ソース/ドレイン領域と前記逆導電型拡散層との表面に設けられたシリサイド層によって、前記基板拡散層と当該逆導電型拡散層とが短絡している
    ことを特徴とする半導体装置。
  7. 請求項6記載の半導体装置において、
    前記半導体基板はシリコンからなり、
    前記エピタキシャル成長層は、シリコンゲルマニウムからなる
    ことを特徴とする半導体装置。
  8. 請求項5記載の半導体装置において、
    前記ソース/ドレイン領域のうちの一方は、前記エピタキシャル成長層と、その外側に設けられた前記基板拡散層とで構成されている
    ことを特徴とする半導体装置。
  9. 請求項8記載の半導体装置において、
    前記基板拡散層の表面は、前記ゲート電極の直下における前記半導体基板の表面よりも低い
    ことを特徴とする半導体装置。
  10. 半導体基板上にゲート電極を形成する第1工程と、
    マスクパターン上からのエッチングにより、前記ゲート電極脇における前記半導体基板の表面層を掘り下げる第2工程と、
    前記掘り下げられた半導体基板の表面に当該半導体基板とは格子定数が異なる半導体材料からなるエピタキシャル成長層を形成する第3工程と、
    前記マスクパターンを除去して前記半導体基板の表面を露出させた後、前記エピタキシャル成長層と前記半導体基板の表面層とに不純物を拡散させることにより、不純物が拡散された当該エピタキシャル成長層と当該半導体基板の表面層に不純物を拡散させてなる基板拡散層とで構成されたソース/ドレイン領域を形成する第4工程とを行う
    ことを特徴とする半導体装置の製造方法。
JP2007169023A 2007-06-27 2007-06-27 半導体装置および半導体装置の製造方法 Expired - Fee Related JP5286701B2 (ja)

Priority Applications (10)

Application Number Priority Date Filing Date Title
JP2007169023A JP5286701B2 (ja) 2007-06-27 2007-06-27 半導体装置および半導体装置の製造方法
TW097120490A TWI460859B (zh) 2007-06-27 2008-06-02 半導體裝置及製造半導體裝置之方法
US12/137,112 US8039901B2 (en) 2007-06-27 2008-06-11 Epitaxial source/drain transistor
KR1020080060865A KR101475364B1 (ko) 2007-06-27 2008-06-26 반도체 장치 및 반도체 장치의 제조 방법
CN2008101317526A CN101335299B (zh) 2007-06-27 2008-06-27 半导体装置及其制造方法
US13/238,580 US8486793B2 (en) 2007-06-27 2011-09-21 Method for manufacturing semiconductor device with semiconductor materials with different lattice constants
US13/913,012 US9070704B2 (en) 2007-06-27 2013-06-07 Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
US14/662,351 US9356146B2 (en) 2007-06-27 2015-03-19 Semiconductor device with recess, epitaxial source/drain region and diffuson
US15/136,091 US20160240674A1 (en) 2007-06-27 2016-04-22 Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
US17/493,392 US20220029018A1 (en) 2007-06-27 2021-10-04 Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007169023A JP5286701B2 (ja) 2007-06-27 2007-06-27 半導体装置および半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2009010111A true JP2009010111A (ja) 2009-01-15
JP5286701B2 JP5286701B2 (ja) 2013-09-11

Family

ID=40159317

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007169023A Expired - Fee Related JP5286701B2 (ja) 2007-06-27 2007-06-27 半導体装置および半導体装置の製造方法

Country Status (5)

Country Link
US (6) US8039901B2 (ja)
JP (1) JP5286701B2 (ja)
KR (1) KR101475364B1 (ja)
CN (1) CN101335299B (ja)
TW (1) TWI460859B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011035393A (ja) * 2009-07-29 2011-02-17 Internatl Business Mach Corp <Ibm> 埋め込み拡張領域を有するsoiトランジスタ、及びその形成方法
JP2011054972A (ja) * 2009-09-03 2011-03-17 Internatl Business Mach Corp <Ibm> 集積回路構造及びその製造方法
JP2011054740A (ja) * 2009-09-01 2011-03-17 Toshiba Corp 半導体装置及びその製造方法
JP2011066055A (ja) * 2009-09-15 2011-03-31 Fujitsu Semiconductor Ltd 半導体装置とその製造方法
EP2541793A1 (en) 2011-06-27 2013-01-02 Sony Corporation Communication Apparatus and Communication System
JP5141686B2 (ja) * 2007-07-12 2013-02-13 富士通セミコンダクター株式会社 半導体デバイス及び半導体デバイスの製造方法
US8415224B2 (en) 2010-08-25 2013-04-09 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device including forming trenches having particular structures
JP2013115113A (ja) * 2011-11-25 2013-06-10 Toshiba Corp 半導体装置およびその製造方法
JP2016004963A (ja) * 2014-06-19 2016-01-12 ルネサスエレクトロニクス株式会社 半導体装置

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5178103B2 (ja) * 2007-09-12 2013-04-10 株式会社東芝 半導体装置およびその製造方法
TWI552230B (zh) * 2010-07-15 2016-10-01 聯華電子股份有限公司 金氧半導體電晶體及其製作方法
US8816409B2 (en) 2010-07-15 2014-08-26 United Microelectronics Corp. Metal-oxide semiconductor transistor
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102487008A (zh) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN102646573B (zh) * 2011-02-17 2014-10-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
US9218962B2 (en) 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
KR20130118103A (ko) * 2012-04-19 2013-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN103779216B (zh) * 2012-10-18 2016-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN103811420B (zh) * 2012-11-08 2016-12-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
KR20140106270A (ko) 2013-02-26 2014-09-03 삼성전자주식회사 집적 회로 장치 및 그 제조 방법
US9093555B2 (en) * 2013-07-25 2015-07-28 Texas Instruments Incorporated Method of CMOS manufacturing utilizing multi-layer epitaxial hardmask films for improved EPI profile
US9224656B2 (en) * 2013-07-25 2015-12-29 Texas Instruments Incorporated Method of CMOS manufacturing utilizing multi-layer epitaxial hardmask films for improved gate spacer control
US9324841B2 (en) * 2013-10-09 2016-04-26 Globalfoundries Inc. Methods for preventing oxidation damage during FinFET fabrication
CN103972065A (zh) * 2014-05-05 2014-08-06 清华大学 SiGe层的形成方法
US9837499B2 (en) * 2014-08-13 2017-12-05 Intel Corporation Self-aligned gate last III-N transistors
US9595585B2 (en) * 2014-09-19 2017-03-14 Semiconductor Manufacturing International (Beijing) Corporation Methods for high-k metal gate CMOS with SiC and SiGe source/drain regions
CN106611787A (zh) * 2015-10-26 2017-05-03 联华电子股份有限公司 半导体结构及其制作方法
KR102481427B1 (ko) 2016-01-13 2022-12-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20220028989A1 (en) * 2020-07-27 2022-01-27 The Boeing Company Fabricating sub-micron contacts to buried well devices
CN116546811B (zh) * 2023-06-27 2023-09-12 合肥晶合集成电路股份有限公司 一种半导体集成器件及其制作方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0974188A (ja) * 1995-09-05 1997-03-18 Mitsubishi Electric Corp 半導体装置及びその製造方法
WO2006006972A1 (en) * 2004-06-24 2006-01-19 International Business Machines Corporation Improved strained-silicon cmos device and method
WO2006023183A2 (en) * 2004-08-24 2006-03-02 Freescale Semiconductor, Inc. Method and apparatus for performance enhancement in an asymmetrical semiconductor device
JP2006229071A (ja) * 2005-02-18 2006-08-31 Fujitsu Ltd 半導体装置
WO2007034553A1 (ja) * 2005-09-22 2007-03-29 Fujitsu Limited 半導体装置およびその製造方法
JP2007110098A (ja) * 2005-09-13 2007-04-26 Infineon Technologies Ag 応力変形させた半導体装置およびその製造方法
JP2008060408A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5744842A (en) * 1996-08-15 1998-04-28 Industrial Technology Research Institute Area-efficient VDD-to-VSS ESD protection circuit
JP2004039866A (ja) * 2002-07-03 2004-02-05 Toshiba Corp 半導体装置及びその製造方法
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
DE10345347A1 (de) * 2003-09-19 2005-04-14 Atmel Germany Gmbh Verfahren zur Herstellung eines DMOS-Transistors mit lateralem Driftregionen-Dotierstoffprofil
JP2006066691A (ja) * 2004-08-27 2006-03-09 Renesas Technology Corp 半導体装置およびその製造方法
JP5172083B2 (ja) * 2004-10-18 2013-03-27 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法、並びにメモリ回路
US7256460B2 (en) * 2004-11-30 2007-08-14 Texas Instruments Incorporated Body-biased pMOS protection against electrostatic discharge
JP2006165012A (ja) 2004-12-02 2006-06-22 Renesas Technology Corp 半導体装置及びその製造方法
US7422956B2 (en) * 2004-12-08 2008-09-09 Advanced Micro Devices, Inc. Semiconductor device and method of making semiconductor device comprising multiple stacked hybrid orientation layers
US7465972B2 (en) * 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
JP4303209B2 (ja) * 2005-02-04 2009-07-29 富士通株式会社 強誘電体素子及び強誘電体素子の製造方法
JP2006253317A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd 半導体集積回路装置およびpチャネルMOSトランジスタ
JP2006261227A (ja) * 2005-03-15 2006-09-28 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
JP4515305B2 (ja) * 2005-03-29 2010-07-28 富士通セミコンダクター株式会社 pチャネルMOSトランジスタおよびその製造方法、半導体集積回路装置の製造方法
KR100694470B1 (ko) * 2005-07-11 2007-03-12 매그나칩 반도체 유한회사 이미지 센서 제조 방법
JP4984665B2 (ja) * 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
CN100463143C (zh) * 2005-07-07 2009-02-18 中芯国际集成电路制造(上海)有限公司 具有氧化物间隔层的应变源漏cmos的集成方法
US7348248B2 (en) * 2005-07-12 2008-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS transistor with high drive current and low sheet resistance
US7825473B2 (en) * 2005-07-21 2010-11-02 Industrial Technology Research Institute Initial-on SCR device for on-chip ESD protection
JP2007034553A (ja) 2005-07-26 2007-02-08 Sharp Corp 情報処理装置
US8207523B2 (en) * 2006-04-26 2012-06-26 United Microelectronics Corp. Metal oxide semiconductor field effect transistor with strained source/drain extension layer
US7935590B2 (en) * 2006-05-11 2011-05-03 United Microelectronics Corp. Method of manufacturing metal oxide semiconductor and complementary metal oxide semiconductor
US8384138B2 (en) * 2006-06-14 2013-02-26 Texas Instruments Incorporated Defect prevention on SRAM cells that incorporate selective epitaxial regions
US7612364B2 (en) * 2006-08-30 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with source/drain regions having stressed regions and non-stressed regions
US7504301B2 (en) * 2006-09-28 2009-03-17 Advanced Micro Devices, Inc. Stressed field effect transistor and methods for its fabrication
US7709312B2 (en) * 2006-09-29 2010-05-04 Intel Corporation Methods for inducing strain in non-planar transistor structures
US7750338B2 (en) * 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US7381623B1 (en) * 2007-01-17 2008-06-03 International Business Machines Corporation Pre-epitaxial disposable spacer integration scheme with very low temperature selective epitaxy for enhanced device performance
US7691752B2 (en) * 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0974188A (ja) * 1995-09-05 1997-03-18 Mitsubishi Electric Corp 半導体装置及びその製造方法
WO2006006972A1 (en) * 2004-06-24 2006-01-19 International Business Machines Corporation Improved strained-silicon cmos device and method
JP2008504677A (ja) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション 改良した歪みシリコンcmosデバイスおよび方法
WO2006023183A2 (en) * 2004-08-24 2006-03-02 Freescale Semiconductor, Inc. Method and apparatus for performance enhancement in an asymmetrical semiconductor device
JP2008511169A (ja) * 2004-08-24 2008-04-10 フリースケール セミコンダクター インコーポレイテッド 非対称半導体装置の性能を向上させる方法及び装置
JP2006229071A (ja) * 2005-02-18 2006-08-31 Fujitsu Ltd 半導体装置
JP2007110098A (ja) * 2005-09-13 2007-04-26 Infineon Technologies Ag 応力変形させた半導体装置およびその製造方法
WO2007034553A1 (ja) * 2005-09-22 2007-03-29 Fujitsu Limited 半導体装置およびその製造方法
JP2008060408A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5141686B2 (ja) * 2007-07-12 2013-02-13 富士通セミコンダクター株式会社 半導体デバイス及び半導体デバイスの製造方法
JP2011035393A (ja) * 2009-07-29 2011-02-17 Internatl Business Mach Corp <Ibm> 埋め込み拡張領域を有するsoiトランジスタ、及びその形成方法
JP2011054740A (ja) * 2009-09-01 2011-03-17 Toshiba Corp 半導体装置及びその製造方法
JP2011054972A (ja) * 2009-09-03 2011-03-17 Internatl Business Mach Corp <Ibm> 集積回路構造及びその製造方法
JP2011066055A (ja) * 2009-09-15 2011-03-31 Fujitsu Semiconductor Ltd 半導体装置とその製造方法
US8415224B2 (en) 2010-08-25 2013-04-09 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device including forming trenches having particular structures
US8604551B2 (en) 2010-08-25 2013-12-10 Samsung Electronics Co., Ltd. Semiconductor device including trenches having particular structures
EP2541793A1 (en) 2011-06-27 2013-01-02 Sony Corporation Communication Apparatus and Communication System
JP2013115113A (ja) * 2011-11-25 2013-06-10 Toshiba Corp 半導体装置およびその製造方法
JP2016004963A (ja) * 2014-06-19 2016-01-12 ルネサスエレクトロニクス株式会社 半導体装置

Also Published As

Publication number Publication date
US20130295741A1 (en) 2013-11-07
US20150194526A1 (en) 2015-07-09
CN101335299B (zh) 2010-06-23
TW200908325A (en) 2009-02-16
US20220029018A1 (en) 2022-01-27
US8486793B2 (en) 2013-07-16
KR20080114608A (ko) 2008-12-31
CN101335299A (zh) 2008-12-31
US8039901B2 (en) 2011-10-18
US9070704B2 (en) 2015-06-30
KR101475364B1 (ko) 2014-12-22
US20120009753A1 (en) 2012-01-12
TWI460859B (zh) 2014-11-11
JP5286701B2 (ja) 2013-09-11
US20160240674A1 (en) 2016-08-18
US9356146B2 (en) 2016-05-31
US20090001420A1 (en) 2009-01-01

Similar Documents

Publication Publication Date Title
JP5286701B2 (ja) 半導体装置および半導体装置の製造方法
JP5107680B2 (ja) 半導体装置
US6372559B1 (en) Method for self-aligned vertical double-gate MOSFET
JP4847152B2 (ja) 半導体装置とその製造方法
JP5114919B2 (ja) 半導体装置とその製造方法
JP5630185B2 (ja) 半導体装置及びその製造方法
JP5605134B2 (ja) 半導体装置及びその製造方法
JP5772068B2 (ja) 半導体装置及びその製造方法
JP2006332337A (ja) 半導体装置及びその製造方法
JP2004241755A (ja) 半導体装置
JP2007134432A (ja) 半導体装置およびその製造方法
JP2014041974A (ja) 半導体装置およびその製造方法
JP2008192686A (ja) 半導体装置及びその製造方法
JP4134001B2 (ja) 半導体装置の製造方法
JP2007005575A (ja) 半導体装置およびその製造方法
JP2005332993A (ja) 半導体装置および半導体装置の製造方法
JP2008263114A (ja) 半導体装置の製造方法および半導体装置
JP2009111046A (ja) 半導体装置および半導体装置の製造方法
JP2007305889A (ja) 半導体装置およびその製造方法
TWI626678B (zh) 用於類比應用之高增益電晶體
JP2019220702A (ja) 半導体装置
JP5854104B2 (ja) 半導体装置
JP2004253707A (ja) 半導体装置及びその製造方法
JP2005019473A (ja) 半導体装置およびその製造方法
JP2001024190A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20091009

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091106

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120814

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120815

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121009

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130520

R151 Written notification of patent or utility model registration

Ref document number: 5286701

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees