JP2008541487A - 斬新な低電力不揮発性メモリおよびゲートスタック - Google Patents

斬新な低電力不揮発性メモリおよびゲートスタック Download PDF

Info

Publication number
JP2008541487A
JP2008541487A JP2008512494A JP2008512494A JP2008541487A JP 2008541487 A JP2008541487 A JP 2008541487A JP 2008512494 A JP2008512494 A JP 2008512494A JP 2008512494 A JP2008512494 A JP 2008512494A JP 2008541487 A JP2008541487 A JP 2008541487A
Authority
JP
Japan
Prior art keywords
memory cell
layer
volatile memory
trapping
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008512494A
Other languages
English (en)
Inventor
バッタチャリヤ,アーラップ
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008541487A publication Critical patent/JP2008541487A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42332Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/954Making oxide-nitride-oxide device

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Read Only Memory (AREA)

Abstract

良好な電荷保持のために、高い電荷ブロッキングバリアおよび深いキャリアトラッピングサイトを維持しながら、直接的なトンネルプログラミングと消去を可能にするNOR又はNANDメモリアーキテクチャにおいて、バンドギャップ技術に基づくゲートスタックを、反転モードおよび通常モードのフローティングノードメモリセルにおける非対称トンネルバリアと一緒に、使用することを促進する不揮発性メモリデバイスおよびアレイが記載されています。低電圧で直接的なトンネリングプログラムと消去の能力は、高いエネルギーキャリアからゲートスタックおよび結晶格子に対する損害を減少させて、書込み疲労を減少させ、デバイス寿命を増進させます。低電圧で直接的なトンネルプログラムと消去の能力は、また、低電圧設計およびさらなるデバイス形状性のスケーリングを介して、サイズ縮小を可能にします。本発明のメモリセルは、また、多数ビット記憶を可能にします。これらの特性は、本発明のメモリデバイスの実施の形態が、システム内のDRAMおよびROM双方に取って代わることができて、ユニバーサルメモリの定義内で動作することを可能にします。
【選択図】 図1A

Description

本発明は、一般的には集積回路に関し、特に本発明は、不揮発性メモリデバイスに関するものです。
メモリデバイスは、コンピュータでの内部記憶領域として典型的に設けられています。メモリという用語は、集積回路チップの形式で市場にあらわれるデータ記憶を特定しています。いくつかの異なったタイプのメモリが近代エレクトロニクスにおいて使用されており、1つの代表タイプがRAM(ランダムアクセスメモリ)です。RAMは、コンピュータ環境において、メインメモリとして使用されるのが特徴的に見出されます。RAMは読取りおよび書込みメモリとして機能します、すなわち、データをRAMに書込み、RAMからデータを読取ることの双方が可能です。これは、データを読取ることだけを許可するリードオンリーメモリ(ROM)とは対照的です。ダイナミックRAM(DRAM)、スタティックRAM(SRAM)および同期DRAM(SDRAM)のようなほとんどのRAMは揮発性であり、このことは、そのコンテンツを維持するために電気の安定した流れを必要とすることを意味しています。電源が切断されるやいなや、どんなデータもRAM内で失われます。
コンピュータは、ほとんどの場合、コンピュータを始動させるための命令を保持する少量のROMを含んでいます。RAMとは違って、ROMには書込むことができません。EEPROM(電気的に消去可能なプログラマブルリードオンリーメモリ)は、電荷に触れさせることで、消去することができる特別なタイプの不揮発性ROMです。EEPROMは、電気的に絶縁されたゲートを有する多数のメモリセルを含んだメモリアレイを含んでいます。データは、メモリセル内に、ゲートと関連するフローティングゲート又はフローティングノード上に電荷の形式で記憶されます。EEPROMメモリアレイ内のそれぞれのセルは、フローティングノードを充電することにより、任意の基準で、電気的にプログラムすることができます。この電荷は、また、消去動作によって、フローティングノードから無作為に除去することができます。電荷は専門プログラミングと消去動作のそれぞれによって、個々のフローティングノードに送られるか、あるいは個々のフローティングノードから除去されます。
さらにもう一つのタイプの不揮発性メモリが、フラッシュメモリです。フラッシュメモリは、一回当たりシングルビット又は1バイト(8又は9ビット)に代わって、ブロックにて典型的に消去および再プログラムされるEEPROMの1つのタイプです。典型的なフラッシュメモリは、多数のメモリセルを含むメモリアレイを含んでいます。メモリセルのそれぞれは、電荷を保持できるフローティングゲート電界効果トランジスタ(FET)を含んでいます。セル内のデータは、フローティングゲート/電荷トラッピング層内の電荷の有無によって、決定されます。セルは通常、“消去ブロック”と呼ばれるセクションに、グループ化されます。消去ブロック内のセルのそれぞれは、フローティングゲートを充電することにより、任意の基準で、電気的にプログラムすることができます。電荷はブロック消去動作により、フローティングゲートから除去でき、そこでは、消去ブロック内の全てのフローティングゲートメモリセルが単一の操作で消去されます。
EEPROMメモリアレイおよびフラッシュメモリアレイ双方のメモリセルは、“NOR”アーキテクチャ(ビット線に直接結合された各セル)又は“NAND”アーキテクチャ(各セルがビット線に間接的に結合されて、アクセスのためにストリングの他方のセルを活性化することを要求するように、セルの“ストリング”内に結合されているセル)のいずれかに典型的に配置されます。
フローティングゲートメモリセルは、チャンネルホットキャリア注入(CHE)によりセルを高い閾値電圧状態にして、電子をフローティングゲートに注入することで典型的にプログラムされ、そして、基板からのホットホール注入により消去することができます。あるいは、フローティングゲートメモリセルは、被プログラム又は被消去閾値状態にするためのFowler−Nordheimトンネリングによる、基板からおよび基板への電子トンネリングによって、プログラムと消去ができます。両方の構造では、デバイスの特性と信頼性で逆効果を結果的に生じますが、ゲート絶縁層にわたって高いフィールドにすることができるメモリデバイスにおいて、かなりの量の電力および高い正負の電圧の発生が必要となります。
CHE、ホットホール注入およびFowler−Nordheimトンネリングについての問題点は、それらの動作に必要とされる高いエネルギーがデバイス材料に損害を与え、メモリセルの寿命および耐久性を減少させるということです。それらは、また、多量の電力を消費し、それが、携帯用のデバイスでの問題点です。また、高い電圧およびフィールドは、アレイおよびそのサポート回路のデバイス素性のスケーラビリティを制限しますし、結果的デバイスの書込み、読取りおよび消去スピードを著しく遅くします。特に、フラッシュメモリデバイスタイプについては、CHE電子注入は、インターフェース状態を発生し、デバイスの相互コンダクタンスを悪化させ、電荷保持と読取りディスターブに影響を及ぼすバックトンネリングを増加させる可能性があります。Fowler−Nordheimトンネリングおよびホットホール注入は、トンネリング絶縁体における固定電荷中心およびトラッピング層における浅いトラップと欠陥を発生させる可能性があり、従って、安定したボンドを壊し、結局は、デバイスの絶縁体/誘電体特性を悪化させます(10プログラム/消去・サイクル未満の典型的な寿命にデバイスの耐久性を制限します)。そのような高電力、高電圧、遅いアクセススピード、制限された耐久性およびスケーリングの困難さは、最も一般に利用されている不揮発性メモリデバイスの典型的な特性です。
理想的な又はユニバーサルなメモリであれば、RAMの高スピード、低電力および事実上無限(1012〜1015プログラム/消去・サイクル)の書込みおよび消去の耐久性と、不揮発性メモリの不揮発性長期データ電荷保持とを組み合わせることでしょう。そのようなメモリデバイスは、コンピュータシステムおよび携帯用デバイスにおけるRAMおよびROM/フラッシュ/不揮発性メモリの双方を補うか、又は完全に置き換えるために、システム設計者によって利用され得るでしょう。
上に述べられた理由で、および本明細書を読んで理解した際に、当業者にとって明らかになる以下に述べられる他の理由で、不揮発性メモリセル用の方法および装置のための技術において、高スピード書込み/読取り/消去アクセス、低電圧プログラムと消去、低電力使用法、デバイス素性のスケーラビリティおよび事実上無限の耐久性を有する不揮発性メモリを考慮する必要があります。
低電圧プログラミングと消去を高い電荷保持と組み合わせる理想的なメモリアプリケーションを可能にする不揮発性フローティングノードメモリセルを作ることに伴う、上述の問題点および他の問題点が、本発明によって取り組まれており、以下の明細書を読んで検討することで、理解されるでしょう。
不揮発性メモリデバイスおよびアレイは、本発明の実施の形態に従って、フローティングノードメモリセルにおいて非対称トンネルバリアを有しており、良好な電荷保持のための高い電荷ブロッキングバリアと深いキャリアトラッピングサイトを維持しながら、低電圧で直接的なトンネリングプログラミングと消去を可能にする、バンドギャップ技術に基づくゲートスタックを利用します。このことは、本発明のメモリデバイスの実施の形態が、理想的な又はユニバーサルなメモリデバイスの特性で動作することを可能にします。低電圧で直接的なトンネルプログラムと消去の能力は、また、ゲートスタックおよび結晶格子に対する高エネルギーキャリアからの損害を減少させて、書込み疲労および漏出問題を減少させ、デバイス寿命を増進させ、実効的な無限の耐久性(1012〜1015プログラム/消去・サイクル)を可能にします。本発明の実施の形態の低電圧のプログラムと消去は、また、低電圧のメモリアレイ設計およびメモリセルゲートスタックのより小さい実効酸化物厚(EOT)を可能にして、低電力、電圧スケーリングおよび全体的なメモリアレイのダイ領域の低減を可能にし、プロセス技術が良くなるにつれて、デバイス素性のさらなるスケーリングを可能にします。本発明の反転モードおよび通常モードのメモリセルの実施の形態が詳述されます。本発明の他のメモリセルの実施の形態は、単一のメモリセルにおける複数レベルのビット記憶を可能にします。NOR又はNANDメモリアーキテクチャ内に配置される本発明の実施の形態もまた、提供されています。
一実施の形態として、この発明は、基板内に形成され、チャンネル領域によって結合された第1と第2のソース/ドレイン領域と、前記チャンネル領域及び/又は第1と第2のソース/ドレイン領域上に形成された2つ以上の補助層(sub-layer)を含む非対称バンドギャップトンネル絶縁層とを含み、ここで前記2つ以上の補助層が、増加する伝導バンドオフセットの層と、前記トンネル絶縁層上に形成されたトラッピング層と、前記トラッピング層上に形成された電荷ブロッキング層と、前記電荷ブロッキング層上に形成された制御ゲートとを含むことを特徴とする不揮発性メモリセルを提供します。
別の実施の形態として、この発明は、行および列に形成された複数の不揮発性メモリセルを含む不揮発性メモリアレイと、メモリインタフェースと、前記メモリインタフェースおよび前記不揮発性メモリアレイに結合される制御回路とを含む不揮発性メモリデバイスを提供します。1つ以上の前記複数の不揮発性メモリセルは、基板内に形成され、チャンネル領域によって結合された第1と第2のソース/ドレイン領域と、前記チャンネル領域及び/又は第1と第2のソース/ドレイン領域上に形成された2つ以上の補助層の非対称バンドギャップトンネル絶縁層であり、前記2つ以上の補助層が増加するバンドオフセットの層を含んでいるものと、前記トンネル絶縁層上に形成されたトラッピング層と、前記トラッピング層上に形成された電荷ブロッキング層と、前記電荷ブロッキング層上に形成された制御ゲートとを含んでいます。
さらに別の実施の形態として、この発明は、1つ以上の不揮発性メモリデバイスに結合されたプロセッサを含んでいるシステムを提供します。前記1つ以上の不揮発性メモリデバイスは、行および列に形成された複数の不揮発性メモリセルを含む不揮発性メモリアレイと、メモリインタフェースと、前記メモリインタフェースおよび前記不揮発性メモリアレイに結合される制御回路とを含む不揮発性メモリセルを含んでいます。前記1つ以上の前記複数の不揮発性メモリセルは、基板内に形成され、チャンネル領域によって結合された第1と第2のソース/ドレイン領域と、前記チャンネル領域及び/又は第1と第2のソース/ドレイン領域上に形成された2つ以上の補助層の非対称バンドギャップトンネル絶縁層とを含み、ここで前記2つ以上の補助層が、増加する伝導バンドオフセットの層と、前記トンネル絶縁層上に形成されたトラッピング層と、前記トラッピング層上に形成された電荷ブロッキング層と、前記電荷ブロッキング層上に形成された制御ゲートとを含むことを特徴としています。
さらなる実施の形態として、この発明は、基板に第1と第2のソース/ドレイン領域を形成し、前記第1と第2のソース/ドレイン領域が介在チャンネル領域を規定していることと、前記チャンネル領域上に形成された2つ以上の補助層のトンネル絶縁層を形成し、前記2つ以上の補助層が、増加する伝導バンドオフセットの層を含むことと、前記トンネル絶縁層上にトラッピング層を形成することと、前記トラッピング層上に電荷ブロッキング層を形成することと、前記電荷ブロッキング層上に制御ゲートを形成することを含む、不揮発性メモリセル構造を形成する方法を提供します。
この発明のなおさらなる実施の形態は、基板内に形成され、チャンネル領域によって結合された第1と第2のソース/ドレイン領域と、前記チャンネル領域及び/又は第1と第2のソース/ドレイン領域上に形成された電荷ブロッキング層と、前記電荷ブロッキング層上に形成されたトラッピング層と、前記トラッピング層上に形成された2つ以上の補助層を含む非対称バンドギャップトンネル絶縁層であり、前記2つ以上の補助層が増加する伝導バンドオフセットの層を含んでいるものと、前記非対称バンドギャップトンネル絶縁層上に形成された制御ゲートとを含む反転モード不揮発性メモリセルを提供します。
他の実施の形態が、同様に記載されて、クレームされています。
好ましい実施の形態についての以下の詳述された記述では、これに関しての一部を形成し、そこでは、発明が実施され得る具体的な好ましい実施の形態が例証として示されている、添付の図面について、言及がなされます。これらの実施の形態は、当業者が発明を実施するのを可能にするために、十分詳細に記載されており、そして、本発明の精神と範囲から逸脱しないで、他の実施の形態が利用されてもよいことと、論理的な、機械的なおよび電気的な変更がなされてもよいことが理解されるべきです。以前および以下の記述で使用された用語であるウエハーおよび基板は、いかなるベースとなる半導体構造も含んでいます。両者は、シリコン・オン・サファイア(SOS)技術、シリコン・オン・インシュレーター(SOI)技術、薄膜トランジスタ(TFT)技術、ドープされた又はドープされていない半導体、ベースとなる半導体に支持されたシリコンのエピタキシャル層、同様に当業者に周知の他の半導体構造も含んでいると理解されるべきです。しかも、以下の記述でウエハー又は基板が言及される時、ベースとなる半導体構造における領域/接合を形成するために、以前の処理ステップが利用されてきているかもしれません。以下の詳述された記述は、従って、限定する意味で受け止めるべきではありませんし、本発明の範囲は、請求範囲およびその均等物だけによって規定されます。
不揮発性メモリデバイスおよびアレイは、本発明の実施の形態に従って、フローティングノードメモリセルにおける非対称トンネルバリアとともにバンドギャップ技術に基づくゲートスタックの利用を促進します。これらの非対称トンネルバリアフローティングノードメモリセルは、NOR又はNANDアーキテクチャメモリにおける、低電圧で直接的なトンネリングプログラミングと消去を可能にします。非対称バンドギャップゲートメモリセルスタックは、また、良好な電荷保持のために、高い電荷ブロッキングバリアおよび深いキャリアトラッピングサイトを含んでいます。これは、本発明のメモリデバイスの実施の形態が、理想的な又はユニバーサルなメモリデバイスの特性にて動作することを可能にします。低電圧で直接的なトンネルプログラムと消去の能力は、また、ゲートスタックおよび結晶格子に対する高エネルギーキャリアからの損害を減少させて、書込み疲労および漏出問題を減少させ、デバイス寿命を増進させ、実効的な無限の耐久性(1012〜1015プログラム/消去・サイクル)を可能にします。本発明の実施の形態の低電圧のプログラムと消去は、また、低電圧のメモリアレイ設計およびメモリセルゲートスタックのより小さい実効酸化物厚(EOT)を可能にして、低電力、電圧スケーリングおよび全体的なメモリアレイのダイ領域の低減を可能にし、プロセス技術が良くなるにつれて、デバイス素性のさらなるスケーリングを可能にします。本発明の反転モードおよび通常モードのメモリセルの実施の形態が詳述されます。本発明の他のメモリセルの実施の形態は、単一のメモリセルにおける複数レベルのビット記憶を可能にします。NOR又はNANDメモリアーキテクチャ内に配置される本発明の実施の形態が、提供されています。
ユニバーサルなメモリデバイスとして、システム内のRAMおよびROM双方の役目を果たす、不揮発性メモリとして、制限された書込み/消去の耐久性、高い動作電圧、高い所要電力および制限されたアクセススピードについての不揮発性メモリの現状の制限が克服されるべきです。これを行うために、不揮発性メモリは、一般的に以下の要求を満たすべきです、すなわち、この不揮発性メモリは、その読取り、書込み又は消去動作を実施するのに、2x〜3xの供給/論理・動作電圧以下であること、事実上無限の耐久性(1012〜1015プログラム/消去のサイクル数)を有すること、携帯用デバイス内電源オフのバッテリーになれるように、限られた電力流出を有すること、読取り、書込み動作に高いアクセススピード(RAMアクセスと同じように、ナノ秒の範囲)を有すること、および、記憶データを10年又はさらに多くの年数(今や不揮発性メモリデバイス用の規格であるように)保持することです。現在および将来、高電圧の要請に起因したデバイス素性のスケーリングおよび全体的な設計アーキテクチャで制限されることなく、そのような理想的な不揮発性メモリが、また、より低い内部の動作電圧を用いることができることから利益を得るであろうと注目されます。また、もし、本発明の実施の形態のような不揮発性メモリが、アクセスのスピードとして、ユニバーサルなメモリデバイスへのこれらの要求に近づくなら、システムアーキテクチャおよび設計は、多くの場合に、それがユニバーサルメモリとして使用されるのをさらに可能にするように、変形され得るということが注目されます。そのようなアーキテクチャおよび設計の変更は、当業者には、本開示の利益とともに明らかでしょうし、マルチレベルメモリアーキテクチャ、メモリシステムのリードとライトキャッシング、オンチップライトキャッシングおよびメモリインターリービングを含みますが、これらに限定されません。
上述のように、現状の不揮発性メモリデバイスは、それらの動作特性が原因で、一般的には、ユニバーサルメモリとして振る舞って、コンピュータシステム又は携帯用のデバイスにおいてRAMおよびROMの双方の役目を果たすようにはできません。最新の不揮発性メモリデバイスは、プログラミング及び/又は消去の動作のために、10V〜20Vの電圧が供給されるか、又は、内部で発生されることを必要とし、これは、CHE、ホットホール又はFowler−Nordheimトンネリングの利用で典型的に生じます。この高電圧の要求(現在では一般的な1.8V〜2.5Vの供給電圧/論理レベルの5x〜10x以上)の理由で、デバイスの所要電力は、プログラミング又は消去の動作の間で、とても高いものです。また、もし、高電圧が外部から供給されないと、高電圧の内部発生(チャージポンプのような内部の供給回路を経由して)は、それ自身、非能率的で、電力を消費します。
現世代の不揮発性メモリデバイスにより必要とされる高いプログラミングと消去の電圧は、また、個々のメモリセルのチャンネル幅および実効酸化物厚が、これらの電圧により発生される高いフィールドに耐えることができるようにするために、比較的大きくあるべきだと、指示します。これが、結果的メモリアレイのサイズを増加させ、集積回路プロセシングの改良が原因で行うことができるスケーリングの量を制限します。また、不揮発性メモリデバイスのサポート回路は、同様に、高められた電圧を受け入れるように設計されなければなりません。高電圧使用に起因している増加された酸化物厚、デバイス分離要求、スケーリング制限、絶縁ウェル、高電圧回路設計および他の付属回路は、現在の不揮発性メモリデバイスにおいては、結果的メモリデバイスのレイアウトのサイズを典型的には、40%〜50%だけ増加させます。しかも、メモリセルの大きなEOT、内部の電圧発生、高電圧サポート回路設計および現世代の不揮発性メモリデバイスの他の特性に起因して、アクセススピードは、RAMデバイスと比較して、一般的にとても遅くなっています。特に、書込みと消去の動作は、DRAMにおける書込み動作のナノ秒の実行に代わって、典型的にはミリ秒〜マイクロ秒で、実行されます。上記に、詳述もされているように、必要とされる高電圧、フィールドおよび高エネルギーキャリアによって、現世代の不揮発性メモリデバイスのメモリセルおよび他のデバイスによって発生された多量の漏出電流と、これらに対してなされた材料損害は、また、一般的に、全体的な不揮発性メモリデバイスの耐久性とその期待される耐用年数(現在の不揮発性メモリデバイスでは、典型的には、10プログラム/消去・サイクル)を制限します。
上述のように、従来技術の従来のフローティングゲートメモリセルは、典型的には、電界効果トランジスタ(FET)デバイスです。これらのデバイスは、典型的には、ソース、ドレインおよび制御ゲート接続を有する3端子デバイスとして形成されます。典型的なフローティングゲートメモリセルにおいて、反対の不純物ドーピングのソースとドレイン領域が不純物ドープされたシリコン基板に形成され、チャンネル領域によって分離されます(典型的なNFETトランジスタは、Pドープされた基板にN+ドープされたソース/ドレイン領域を有するでしょうし、PFETトランジスタは、Nドープされた基板にP+ソース/ドレインを有するでしょう)。フローティングゲート又はフローティングノードメモリセルのゲートスタックは、典型的には、ソースとドレイン領域のチャンネル領域及び/又は部分上に形成され、典型的には、トンネル絶縁の一連の層、フローティングゲート又はフローティングノード、電荷ブロッキング絶縁層および制御ゲートを含みます。ゲートスタックは、チャンネル内での少数キャリア形成、および制御ゲートに付与された電圧を経由し、チャンネルを介したソースからドレインへのキャリアの流れ制御を可能にします。フローティングゲート又はフローティングノードは、典型的には、(フローティングゲートとしてポリシリコンのような)導体材料又は(フローティングノードとして窒化物のような)非導電性の電荷トラッピング層で形成されて、トンネルと電荷ブロッキング絶縁層の間で、電気的に絶縁されます。制御ゲートは、典型的には、アルミニウム、タングステン、イリジウム、ポリシリコン又は他の導体材料で形成されます。制御ゲートを堆積させる前に、導電性の下層をオプションで適用してもよいでしょう、これは、化学的なパッシベーション層として振る舞います。このパッシベーション層は、典型的には、TaN、TiN、HfN又はIrOの薄膜で構成されます。
FETの電気的な構造は、チャンネルと制御ゲート間から見れば、キャパシターの構造です。もし、十分な電圧が制御ゲートとチャンネルにわたって印加されれば、キャリアのチャンネルを形成するでしょうし、FETは、そのソースからドレイン領域へ電流を伝導するでしょう。フローティングゲートメモリセルFETにおいては、チャンネル、フローティングゲートと制御ゲートの間に形成された電気的な構造は、2つの直列結合されたキャパシターのそれと等価です。このことが理由で、フローティングゲート上に載かれた/トラップされた電荷は、キャリアを、絶縁層を介して(電荷注入又はトンネリングを経由して)動かすことにより、フローティングゲートメモリセルFETの実効的な閾値電圧(Vth)を変更します(キャリアのチャンネルがチャンネル領域の中に形成される制御ゲートとチャンネルにわたって電圧が印加され、FETがそのソースからドレイン領域へ電流を伝導し始めます)。フローティングゲートから電荷をトラッピング又は除去することによって閾値電圧を変更するこの能力は、データ値が、フローティングゲートメモリセルに記憶されて、そのプログラムされたかプログラムされていない状態における、メモリセルFETを介した、そのソースからドレインへの異なる電流の流れを感知することによって読取られることを可能にします。電荷が、フローティングゲートに取って代わる非導電性のトラッピング層に記憶されること以外は、フローティングノードメモリセルFETは、フローティングゲートメモリセルFETと同じように動作します。非導電性のトラッピング層を有する、NROMのような、いくつかのフローティングノードメモリセルは、各ソース/ドレイン領域の局所的に近く及び/又は上側でキャリアをトラッピングすることによって、メモリセル内の1ビットのデータよりも多い記憶を可能にし、どのソース/ドレイン領域が電気的にソース又はドレインとして使用されているかを切り換えることにより、データの異なるビットが読取られることを可能にしていることが注目されます。
従来のフローティングゲートメモリセルFETの絶縁層は、必要とされる電荷保持周期(典型的には、10年の期間の後に、最初に記憶された電荷の50%〜75%の量が保持されていると設定される)を可能にするために、メモリセルとしての十分な電荷ブロッキング能力を有さなければなりません。従来のフローティングゲートメモリセルにおいて、このことは、トラップされた電荷の漏出およびバックトンネリングを防止する実効的なトンネルバリアであるべく、十分な厚さおよび十分高い伝導バンドを有する材料の絶縁体層(また、利用される絶縁体材料の最も一般的な形のため、酸化物層として知られている)を形成することによって、達成されます。従来のフラッシュメモリセルデバイスは、典型的には、比較的厚いSiOトンネル絶縁層酸化物、および制御ゲートとフローティングゲート間の電荷ブロッキング層媒体として、酸化物−窒化物−酸化物(ONO)のスタックを利用します。これらの従来のフラッシュメモリセルのための、(フローティングゲート/ノードFETの容量特性に影響を及ぼす)ゲートスタック全体の典型的な実効酸化物厚(EOT)は、一般的に、厚さが150nm〜200nmに分布しています。もし、フローティングゲートメモリセルのこれらの絶縁層が、薄すぎるか、又は、十分に高い伝導バンドを有さない材料で形成されていれば、トラップされた電荷は、漏出電流を介して又は直接的なトンネリングを経由して、フローティングゲート又はフローティングノードからデバイスの外へ漏出するでしょう。この漏出電流が増加するにつれて、周期的にリフレッシュされなければならないか、又は、その記憶された電荷データコンテンツが消えて失われる点において、フローティングゲートデバイスは、不揮発性メモリとしての動作はだんだん少なくなり、むしろ(DRAMのような)揮発性メモリデバイスのように振る舞います。
これらの大きい絶縁体の厚さとトンネルバリアのせいで、制御ゲートからチャンネルまでのFETの実効酸化物厚(EOT)は、比較的大きく、従って、従来のフローティングゲートメモリセルをプログラミングする、及び/又は、消去するのに利用されなければならない電圧(集積回路プロセス技術の現状の90〜100nm形状では、12V〜20V)は、典型的には、デバイスの供給電圧(1.2V〜5V)よりも著しく高くなっています。その結果、そのような高電圧は、従って、内部で、チャージポンプ回路で発生されるか、あるいは、特別な外部のソースから独立的に供給されなければなりません。そのような比較的高電圧のこのような使用は、専門化された設計およびレイアウトを必要とし、それが、上述のように、結果的にメモリサイズを40%〜50%だけ増加させ得ますし、メモリデバイスの製作の費用に著しく影響を与え得ます。しかも、高電圧のこの使用は、消去とプログラムに利用されているエネルギーを著しく増加させ得ますし、従って、メモリデバイスの所要電力を増加させます。高い所要電圧は、上記に詳述もされているように、また、絶縁体層の材料に対する損傷を増加させ得て、メモリデバイスにとって、増加された電荷漏出およびより短い耐用年数(全体的なプログラム/消去のより小さいサイクル数)をもたらします。また、これらの高いプログラミングと消去の電圧によって発生される比較的高いフィールドが、製造プロセスが良くなるにつれての、この部分についてのいかなる将来の形状の小形化に限界を設けます。
上述のように、別のタイプの不揮発性メモリセルは、フローティングノード電界効果トランジスタ(FET)で構成され、それにより、電荷は、FETのゲート・絶縁体スタックの一部として組み込まれた誘電体の薄層の材料性質のトラップ特性と呼ばれるローカルなディフェクトサイトに記憶されます。これらのタイプのデバイスは、先に述べたフローティング又は埋め込みゲートデバイスと対照的に、埋め込みトラップデバイスとして分類されます。埋め込みトラップデバイス、一般に、より低いプログラミング電圧を必要とし、採用されているゲート絶縁体スタックのより小さい実効酸化物厚(EOT)およびそれらの構造に利用されている異なる材料に起因して、いくぶんかより高いプログラミングスピードと耐久性を提示しています。
多くの現行のメモリデバイスで広く利用されている第1の共通タイプの埋め込みトラップデバイスは、トラッピング絶縁体を使用し、そこでは、電荷がインターフェース部、および、トラッピング絶縁体の大部分の双方に記憶されます。この種のデバイスは通常、シリコン(基板)−酸化物−窒化物−酸化物−シリコン(ゲート)又は“SONOS”ゲートスタックを採用しており、そこでは、窒化物がトラッピング絶縁体です。もっと最近開発された第2のタイプの埋め込みトラップデバイスは、“ナノ結晶”又は“ナノドット”埋め込みトラップデバイスとして知られています。このタイプにおいては、シリコン、ゲルマニウム又は金属の結晶又はドットが、窒化物に代わって、(SiO又は他の誘電体のような)絶縁体層に埋め込まれます。ナノ結晶と関連する欠陥又はトラップが、これらタイプのデバイスでは、電荷記憶の中心になります。
上述の双方の埋め込みトラップデバイスにおいて、ゲート絶縁体スタックの実効酸化物厚(EOT)は、従来のフローティングゲートタイプのデバイスの最小EOTのそれのほぼ半分に減少させることができます。従って、埋め込みトラップデバイスのプログラミング電圧は、従来のフローティングゲートメモリセルのそれと比較して、ほぼ2の係数(2x)だけ減少できます。この結果として、埋め込みトラップデバイスは、一般的に、改良された集積プロセシング技術の開発にともなって、さらに小形化可能であると考えられています。この改良は、利用されている電荷注入構造、CHE又はFowler−Nordheimトンネリングに関係なく、当てはまります。しかしながら、上記電荷注入構造と関連する高いキャリアエネルギーは、そのようなデバイスの信頼性および耐久性を、従来のフローティングゲートメモリセルデバイスのそれと同様の方法で(減少されたレベルで、ではあるが)、まだ制限します。
上記で議論された従来のフローティングゲートおよび埋め込みトラップのメモリセルとデバイスは、プログラミングと消去のために、チャンネルホット電子(CHE)又はホットホール注入として知られる、基板およびフローティングゲートの間の高いエネルギー(“ホット”)電荷搬送を含みます。CHE/ホットホールのプログラミングと消去では、制御ゲートとチャンネルにわたって設置されるフィールドは、チャンネルへ、又は、チャンネルからのキャリア(ホール/電子)を、トンネル絶縁層にわたって、フローティングゲートかトラッピング層へ注入するのに、十分に高い値です。ホットキャリアのエネルギーの一部は、シリコン基板およびゲート酸化物の間のインターフェース格子に転送されます。結果として、インターフェースボンドが壊れて、複数の書込−消去・サイクルの後に、インターフェース特性が悪化されます。従って、FETの相互コンダクタンス(Gm)を悪化させて、フローティングゲートからの記憶された電荷ロス(すなわち、電荷保持ロス)を増大し、デバイスの耐久性(すなわち、動作可能な書込−消去・サイクル)を減少させることにより、ホット電荷搬送は、酸化ケイ素インターフェースでの表面状態を発生し、ゲート酸化物に、デバイスにとって悪影響を及ぼすローカルな弱点を作り出します。
Fowler−Nordheimトンネリングによるプログラミングと消去は、絶縁体層の伝導バリアを介して、フィールド増大されたキャリアの量子メカニカルトンネリングによって、メモリセルのフローティングゲート/トラッピング層へ又はそこからキャリアを載置又は除去するように動作します。その結果、Fowler−Nordheimトンネリングは、CHE又はホットホール注入よりも減少されたフィールドおよび電圧で行われます。しかしながら、トンネリングを引き起こすために必要とされるレベルに電気的なフィールドをブーストするのに、高められた電圧が必要とされるので、キャリアによって得られるエネルギーは、まだ高くて、デバイス材料の破損を引き起こし得ますし、メモリセルの耐久性および実効的なデバイス寿命を減少させます。特に、Fowler−Nordheimトンネリングは、トンネリング絶縁体に固定された電荷中心、およびトラッピング層に浅いトラップと欠陥を発生させ得ます、従って、安定したボンドを壊して、結局は、デバイスの絶縁体の誘電体特性を悪化させます。必要とされる高電圧および電流に起因して、プログラミングと消去の間の電力消費もまた、Fowler−Nordheimトンネリングデバイスにおいて、依然として重要な要素です。
上述のように、現世代のフラッシュ技術と関連する高い所要電圧およびより高い漏出電流の双方は、結果的にデバイスの耐久性、信頼性、電力および操作のスピードに悪影響を与え出しました。高いプログラミングと消去の電圧は、ゲート絶縁体スタックにわたって高いフィールドを作り出し、結果的に、ゲート絶縁体酸化物の劣化を生じます。このゲート絶縁体酸化物の劣化は、デバイスの不揮発性(電荷保持)の信頼性に影響を与え、全体的なデバイスの耐久性を制限します。高いフィールドは、また、デバイス形状が現世代を越えて縮小し得る量を厳しく制限します。高いプログラミング電圧は、また、同じワード線(又は、ビット線)について、選択されたビットおよび隣接した選択されないビットの間、あるいは、隣接したワード線間又はビット線間の強力な容量性クロスカップリングを引き起こすことも知られています。このクロスカップリングが、全体的なメモリデバイスのスピードおよびスケーリングにおいて、クリティカルな問題となってきました。クロスカップリング問題は、典型的には、電圧レベルが増加されるにつれて、あるいは、メモリデバイス形状が、電圧レベルが対応して低減することなく、より小さく小形化(またはスケール)されるにつれて、増加します。
従来のSONOSタイプのフラッシュメモリセルデバイスにおいて、又は、ナノ結晶トラップを使用しているフラッシュメモリセルデバイスとして、トンネル酸化物厚は、一般的に、およそ4nmに減少させることができ、電荷ブロッキング酸化物/NO層のEOTは、およそ5-6nmに減少させることができますが、一方で、85℃で約10年の電荷保持をなお保証します(寿命末期に、最初にトラップされた電荷の満足できる部分、典型的には、50%〜75%が、論理レベルが適切に(センス)できるものとして、保持されていると仮定して)。これは、全体的な最小ゲートスタックの約10nmのEOTを設定し、従って、100μsec〜1msecの範囲の理にかなったプログラミングスピードを想定すれば、そのようなデバイスのために下端で、約10ボルトのプログラミング電圧レベルを設定します。上記トンネル酸化物厚として、プログラミング期間の電子搬送は、典型的には、Fowler−Nordheimトンネリングを経由して行われます。しかしながら、プログラミングスピードに応じるために、初期の電子電流密度は、典型的には、1E−3・A/cmを越えなければなりませんし、トンネル酸化物を横切るフィールドが10E6・V/cmを越えることが必要とされ、プログラミング電圧低減の量を現実的に可能なように制限します。上記酸化物厚は、典型的には、また、<1E−12・A/cmの記憶された電子のために、反転(または逆:reverse)漏出電流も可能にするでしょう、そしてそれが、結果的デバイスに、85℃で約10年の電荷保持の要求に応じることを可能にします。
もし、従来のフローティングゲート又はフローティングノードメモリセルにおけるトンネル酸化物厚が3.2nmより低く小形化されれば、直接的なトンネリングを経由して搬送が行われます。電子が、エネルギーバリアを越える十分なエネルギー(典型的には、SONOSデバイス用の3.2eV)を獲得する必要がある、Fowler−Nordheimトンネリングによる搬送とは違って、直接的なトンネリングは指数関数的にトンネリング距離に依存し、著しくより低い電子エネルギーにて行なわれます。従って、電子電流密度を多数桁だけより高くできますが、一方では、トンネル酸化物がとても薄い(例えば、1nm〜1.5nm)時には低電圧にて可能です。従って、もし、電子搬送が直接的なトンネリングにて行われるとするならば、プログラミング電力を著しく減少させ得るのみならず、プログラミングスピードを増大させることの双方ができます。しかしながら、上述のように、とても薄いトンネル酸化物のため、バックトンネリングの可能性が、また、薄い酸化物によって典型的に増加されるので、反転漏出もまた、とても高くなります。そのようなものとして、そのような純粋な直接的なトンネリングデバイスの電荷保持は、有意な時間の期間に、記憶された電荷を保持する不揮発性の要求に応じそこない、デバイスが機能しなくなり、(メモリ状態の頻繁なリフレッシングを実行しない)稼働中の不揮発性メモリデバイスと見なすことができないことを意味しています。
上述の理由によって、伝統的なフラッシュ、SONOS又はナノ結晶のメモリセルのような酸化物ベースとされた不揮発性メモリセルデバイスは、電圧、電力およびスピードのスケーラビリティにおいて制限されます。加えて、酸化物絶縁層にわたって必要とされる高いフィールドの理由で、そのような酸化物ベースとされたデバイスは、また、信頼性および耐久性においても制限されます。
一般的には、ユニバーサルなメモリデバイスの動作特性に応じるために、不揮発性メモリセルデバイスは、長い電荷保持、増大された耐久性および信頼性を同時に示しながら、高速のアクセススピードで、容認できる低電圧および電力で、プログラムし、消去しなければなりません。これを行うために、それらは典型的には、以下の要求を満足しなければなりません。
1)全体のゲート絶縁体スタックのEOTは、低電圧動作およびスピードのために、2nm〜6nmの範囲にあるべきです。
2)最大平均初期プログラミングフィールドは、=<7.5E6・V/cmであるべきです。
3)プログラミング期間の電子電流密度は、≫1E−3・A/cmであるべきです。
4)電荷保持期間の電子漏出電流密度は、<1E−12・A/cmであるべきです。
5)電子トラップ密度は、最小で十分な論理的な状態分離のための所望のVt移動を促進するために、>5E12/cmであるべきです。
これらの要求に応じるために、本発明の実施の形態は、トラッピング層へ又はそこからのキャリアの直接的なトンネリングを経由して、メモリセルの低電圧プログラムと消去を可能にするバンドギャップ技術に基づいたゲートスタックを利用します。本発明のバンドギャップ技術に基づいたゲートスタックは、プログラミング期間に、直接的なトンネル層にわたって減少された降下電圧で、とても高い電子電流密度を提供するために、増加する伝導バンドオフセットの直接的なトンネル層の多重層を有し、K(誘電率)の値を増加させる非対称トンネルバリアを組み入れて、上述された要求2)および3)を満たしています。深い電荷トラッピング中心と増加するバンドオフセットの非対称トンネルバリアとの組合せは、必要とされる電荷保持を促進するために、大きな反転トンネリングバリアを提供し、それによって、上記の要求4)を満たしています。電荷トラッピング材料および埋め込みナノ結晶の適切な選択は、全体のゲートスタック層の誘電率値が要求1)を満たすのを助けながら、要求5)の達成を可能にします。
上述のように、キャリアの直接的なトンネリングにおいて、キャリアは、低エネルギーの条件下で、フローティングゲート/トラッピング層内に、量子メカニカル的にトンネルされます。直接的な反転トンネリング動作の制限を克服するために、本発明の実施の形態のゲートスタックは、非対称バンドギャップトンネル絶縁層を形成するために、増加するバンドギャップオフセットおよび高K値を有することによりバンドギャップ技術に基づいた材料の多重層を利用します。この非対称バンドギャップトンネル絶縁層が、1つの方向において、電荷搬送にとても効率的であり、なおかつ反転搬送方向において大変遅れができ、大きいバリアを提示します。非対称バンドギャップトンネル絶縁層は、非対称バンドギャップトンネル絶縁層のバンドギャップの階段状の(あるいは増加する)内部フィールドを利用して、プログラミングフィールドがそれにわたって適用される際に、デバイスのトラッピング層への低電圧で直接的なトンネリングを可能にしますが、その一方で、同じ階段状のバンドギャップオフセットおよび高K誘電体が、大きいバンドギャップ、従って、大きいエネルギーバリアを、バックトンネリングを防止するために、そのようにトラップされた電荷へ提示し、必要とされる長期電荷保持を維持しています。
この直接的なトンネリングプログラミングと消去のおかげで、本発明の実施の形態は、小さい全体的なEOTを有する絶縁体スタックを具備した不揮発性メモリセルを提供して、それらが大変低電力で、そして、低いプログラミング電圧で動作されるのを可能にしています。本発明の実施の形態の低電圧プログラム及び/又は消去動作は、また、絶縁体層を介したキャリアのトンネリング/注入に起因して、デバイスの材料における破損を減少させます(注入されたキャリアは“クール”であり、格子又は材料ボンドに影響を及ぼすほど十分なエネルギーを決して得ません)。また、本発明の実施の形態は、メモリが、より小さい実効酸化物厚(EOT)と、アレイにおける低電圧レイアウトおよび設計と、結果的なメモリデバイスのサポート回路を採用することを可能にすることによって、改良されたスケーリング(または小形化)を可能にします。
本発明の実施の形態のバンドギャップ技術に基づいたトンネリング媒体は、増加する伝導バンドオフセットの直接的なトンネル層の多重層(次に来るトンネル層の各々が、前の層よりも、高い伝導バンドエネルギーレベルを有している)で、プログラミング期間に、直接のトンネル層にわたって、減少させられた降下電圧で、とても高い電子電流密度を提供するために、K(誘電率)の値を増加させるものから成っており、高いスピードと、低電力プログラミングを可能にしています。
従来方式で構築された通常モードメモリセル(通常のゲート・絶縁体スタック又はNGIS)として、電荷ブロッキング層およびトンネル層配置が、ゲート・絶縁体スタック内で、電荷ブロッキング層が制御ゲートとフローティングノードの間で、トンネル絶縁体がチャンネルとフローティングノードの間であるように、位置付けられます。この配置において、主としてチャンネルとトラッピング層(フローティングノード)の間で、プログラミングと消去期間に、電荷搬送が行われます。動作において、階段状のバンドギャップ配置が、低い適用フィールドで、チャンネルからトラッピング層へ、層から層への直接的な電子トンネリングを促進します。トラッピング層へのトンネリングの後に、組合された非対称な階段状のエネルギーバリアと長いバックトンネル距離およびオプションの深いレベル電荷トラップは、基板への電荷漏出を減少させ、不揮発性使用法のために十分な電荷保持を提供するように振る舞います。高Kの電荷ブロッキング層およびパッシベーション層は、また、本発明のそのような実施の形態のためのゲートスタックに、トラッピング層から制御ゲートへの低い電荷漏出を維持するために、集積化されます。
本発明の別の実施の形態において、大きい被トラップ電荷密度を提供するために深い高密度トラップを含むトラッピング層内に、ナノ結晶が埋め込まれ、クーロンブロッケード(blockade)および量子閉じ込めの逆効果を最小化しながら、論理レベル分離を増大し、記憶される電荷を増加しています。深いトラップ及び/又はナノ結晶の使用は、さらに、トラッピング層で深い量子ウェルを提供することにより、電荷保持を増加させ、さらに、トラップされた電荷がトラッピング層から又はバック・トンネルを通って逃げるために越えなければならないポテンシャルバリアを増加させます。
本発明の上記通常モードメモリセルの実施の形態をプログラミングすることは、チャンネルおよび制御ゲートにわたって、複数のトンネル層を横切るフィールドを適用し、チャンネルからトラッピング層へ電子の直接的なトンネリングを誘導する電圧を提供することにより達成されます。マルチビット記憶において、電圧が、選択されたソース/ドレイン領域(ソースとして振る舞う選択されたソース/ドレイン領域と、ドレインとして振る舞う第2のソース/ドレイン領域とともに動作するメモリセルFETについて)と制御ゲートとの間に適用され、電子を選択されたソース/ドレイン領域に直接隣接したトラッピング層へトンネリングさせます。メモリセルは、それから、第1と第2のソース/ドレイン領域の動作上の機能を逆転させることによって、読取られます(選択されたソース/ドレイン領域がドレインとして振る舞い、第2のソース/ドレイン領域がソースとして振る舞います)。
本発明の上記通常モードの実施の形態における消去は、また、直接的なトンネリングによっても達成されます。消去動作もまた、直接的なトンネリングによるものでありますが、エネルギーバリアは、トンネル層にある非対称な階段状のバリアに起因して、引き続いてより高く、書込み動作と比較された時に、比較的低い消去スピードを結果的にもたらします。チャンネルと制御ゲートにわたって、高められた負の電圧が適用され、複数のトンネル層にわたってフィールドを適用し、メモリセルを消去するために、トラッピング層からチャンネルへ電子の直接的なトンネリングを誘発します。上述のように、この直接的なトンネリング消去が、全体の組合された非対称バンドギャップトンネル絶縁体の厚さとバリアをトンネルさせなければならないことに起因して、典型的には、直接的なトンネルプログラム動作よりも動作的に遅いことが注目されます。消去動作を速めるために、より高い電圧が付与され得ます、しかしながら、これは、デバイス構造損傷を増加させる効果を有するでしょう。同時に、非対称なバリアは、著しく電荷保持を改善します、なぜなら、メモリセルがスタンバイ状態にある際に、トラップされた電子は、伝導バンドに入り込む十分なエネルギーを担うことができないからです。比較的遅い消去は、しかしながら、ブロック消去動作の利用により、オフセットでき、そこでは、大きいブロックのビットが並列的に消去されます。
低電圧で直接的なトンネリング消去は、非対称バンドギャップトンネル絶縁体に起因して、直接的なトンネルプログラミングよりも、比較的遅いスピードにありますが、不揮発性デバイスの消去は、典型的には、不定期であり、ブロック消去ベースとされたデバイスでは、上述のように、並列ベースでなされ得ることが注目されます。本発明の実施の形態のメモリセル消去は、また、従来のホットホール注入、Fowler−Nordheimトンネリングにより、又は、制御ゲートからのホールの増大されたトンネリングによって、達成できることも注目されます。
バンド技術に基づくトンネル層だけでなく、ゲートパッシベーション層の適切な選択が、消去動作期間における制御ゲートからの同時ホール注入を介して、消去スピードを増大させるために利用され得ることもまた、注目されます。この形式の消去において、バンドギャップ技術に基づく電荷ブロッキング絶縁層が、制御ゲートとトラッピング層の間に形成され、それが、適切な制御ゲート電圧レベルで、制御ゲートからトラッピング層へのホールの効率的で増大されたトンネリングを可能にします。ホール(電子よりも高い実効的な質量を有している)が電荷ブロッキング層にわたって効率的にトンネルされるように、上記電圧レベルで、実効的なフィールドが電荷ブロッキング層にわたって、充電されたトラッピング層内で制御ゲートにトラップされた電子間で、確立されます。ますますトラップされた電子が、ホールトンネリングおよびトラッピングによって、トラッピング層から補填されるので、制御ゲートおよびトラッピング層の間で電荷ブロッキング層にわたる実効的なフィールドは、トラッピング層が放電されるか、又は、補填されるまでは、減少していきます(このポイントで、制御ゲートからのホールトンネリングとチャンネルからトラッピング層への電子トンネリングのバランスのとれた定常状態が確立されます)。このバランスのとれた定常状態の結果、メモリセル消去は、自己制限式であり、メモリセル過消去の可能性が減少します。ホール注入を介したメモリセル消去のそのような方法は、2005年5月12日に出願され、“BAND−ENGINEERED MULTI−GATED NON−VOLATILE MEMORY DEVICE WITH ENHANCED ATTRIBUTES”とタイトルされたUS特許出願No.11/127,618と、2004年8月31日に発行され、“ASYMMETRIC BAND−GAP ENGINEERED NONVOLATILE MEMORY DEVICE”とタイトルされたUS特許No.6,784,480の同一出願人による双方に詳述されています。
反転モードメモリセル(反転ゲート・絶縁体スタック又はRGIS)として、ゲート・絶縁体スタックにおいて、現在チャンネルおよびフローティングノードとトンネル絶縁体の間にある電荷ブロッキング層が、制御ゲートおよびフローティングノードの間になるように、電荷ブロッキング層およびトンネル層配置が反転されます。この配置において、電荷搬送が、プログラミングと消去期間に、主として制御ゲートおよびトラッピング層(フローティングノード)の間で行われます。そのような反転モードメモリセルをプログラミングすることが、プログラムされるべきセルの制御ゲートが低い電位又は接地の電位に保持されながら、基板に電圧を提供することで達成されます。制御ゲートからの増大された電子注入、増加する伝導バンドオフセットと増加するK値に起因した、直接のトンネル層を介した増加された搬送、およびそれに続くトラッピング層内の深いトラッピング中心におけるトラッピングは、プログラミングの要求を満たします。その結果、プログラムされたセルの閾値が上昇されて、データが記憶されます。読取りは、通常モードメモリセルと同様の方法で、達成されます。
反転モードメモリセルとして、消去は、基板が低い電位(若干負の電位にさえも)又は接地電位に保持されながら、制御ゲートに適切な正の電位を課すことで達成されます。消去の期間、トラッピング層からの電子は、直接的なトンネリングにより、制御ゲートに戻ります。ブロック消去は、通常モードメモリセルデバイスと同じように、いくぶんか減少された消去スピードをオフセットします。消去された状態の読取りは、通常モードデバイスで行われたのと同様の方法で、達成されます。
反転モードメモリセルは、プログラムと消去動作の双方が正の電圧だけで達成することができ、それによって、サポート回路を単純化するという、通常モードメモリセルを越える利点を有します。また、バンド技術に基づく電荷ブロッキング層と同様に、チャンネルと電荷ブロッキング層の間の(シリコン基板のダングリングボンドを飽和させるのに利用できる屈折率γ=1.5のSiOや酸素豊富なSiONのような)チャンネルパッシベーション層の適切な選択が、消去動作期間に、チャンネルからの同時ホール注入を介して消去スピードを増大させるのに利用できます。
実施の形態の多くの関心の的が、主として通常モードメモリセルにありますが、反転モードメモリセルが、ユニバーサルなメモリデバイスを提供することに向かって、この発明の根本的な概念を同等に包含していることが注目されるべきです。しかも、実際の実施において、反転モードメモリセルは、通常モードメモリセルを越えて、スピード・電力・密度のトレードオフで、ある種の利点を提示できるかも知れません。
直接的なトンネリングによる電子の搬送によるこのプログラミングと消去は、本発明の実施の形態が、従来のフラッシュメモリセルおよびデバイスと比較して、複数桁数低い電力を消費することを可能にします。1つの直接のトンネル層から、低いバリアエネルギーの一連の層を介して、電子が直接次へトンネルするので、書込スピードは著しく増大されます。
上述のように、本発明の実施の形態のトンネル絶縁層領域は、増加する伝導バンドオフセット及び/又は増加する誘電体K値を有する誘電体の2つ以上の層から成っており、トンネル層を介したキャリアの一方向に非対称な直接的なトンネリングの効率性を可能にしています。誘電体の層は、それらが、増加するバンドギャップオフセット、望ましくは、結果的なメモリセルのEOTが減少するのを助けるより高いKの誘電体に配置される限りは、普通に利用されているどんな絶縁体材料(酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物又はケイ酸塩)からも選択できます。これらの絶縁体材料の実施例は、二酸化ケイ素(SiO)、二酸化チタン(TiO)、酸化ハフニウム(HfO)、酸化ジルコニウム、酸化プラセオジム(Pr)、アルミナ(Al)、ハフニウムとアルミニウムの混合酸化物、ハフニウムとチタニウムの混合酸化物、ハフニウムとシリコンの混合酸化物、シリコンオキシ窒化物(SiON)、SiN、AlN、HfN、ハフニウムとシリコンの混合オキシ窒化物などを含みますが、これらに限定されません。増加するバンドギャップオフセット誘電体のこれらの層は、典型的には、製造プロセシング期間に原子層堆積(ALD)又は他の適切な堆積プロセスを利用して、メモリセルのチャンネル領域上に堆積されます。
例えば、トンネル領域は、シリコン基板上にALDが成長され、3層トンネル層構造のために、HfO(バンドオフセット:1.65eV、K=24)又はPr(バンドオフセット:1.9eV、バンドギャップ3.9eV、K=30)又はTiO(バンドオフセット3.15eV、K=60)の1つ以上の単層を伴っている窒化ケイ素(SiN、バンドオフセット1.03eV、K=7)又はアルミナ(Al、バンドオフセット:4.5eV、K=10)の1つ以上の単層が伴うSiO(バンドギャップ9eV、K=3.9)の1つ以上の単層の合成物であることがあります。2層のトンネル構造は、SiO/Pr又はSiO/TiO、SiO/HfO等から成り得ます。他の2つ、3つ又はさらに多くの層の本発明の実施の形態の非対称バンドギャップトンネル領域が、また、可能であり、当業者には、本記載のたすけにより明らかでしょうし、そのようなものとして、上記実施例が、限定していると見なされるべきではないことが注目されます。
本発明の一実施の形態においては、トンネル層合成物の実効的なEOTを最小化し、トンネル層の各々にわたる電圧降下を最適化するために、非対称バンドギャップトンネル層の一連の層は、増加するバンドオフセットを有するだけではなく、より高いK値および増加された直接のトンネル厚の材料も有することが、また、注目されます。本発明の実施の形態において、合成のトンネル層の物理的な厚さは、低電圧動作用に、望ましくはおよそ3nm以下に設計され得、EOTがおよそ1.5nm以下にできます。例えば、典型的なトンネル層は、0.8nmのSiO+1nmのSiN+1nmのHfO(EOT=1.6nm)又は0.8nmのSiO+1nmのHfO+1.5nmのPr(EOT=1.3nm)又は0.8nmのSiO+1nmのHfO+2nmのTiO(EOT〜=1.2nm)で構成することができるでしょう。
電荷保持および電荷密度を改善するために、金属ナノ結晶メモリデバイスが利用されてきており、それは、大きな仕事関数の相違に起因して、限定ではありませんが、金属・絶縁体インターフェースで深いエネルギートラッピングサイトを提供するために、タングステン、シリコン、ゲルマニウム、コバルト、プラチナ、金、イリジウムおよびパラジウムを含むある種の金属又は半導体ナノドット又はナノ結晶を含んでいます。しかしながら、そのようなデバイスは、量子閉じ込め効果に起因してトラップされた電荷の実効的な電荷保持を促進するために、最適なドットサイズおよびドット分離を必要とします(電子がトラッピング層以内で隣接したトラッピングサイトの間でトンネリングするか、又は、シリコンへトンネリングバックするのを防ぐために)。また、クーロンブロッケード(そこでは、同種の電荷はお互いに反発します)は、電荷保持をさらに悪化させ得るでしょうし、ナノドット毎の多重の電荷トラッピングが回避されるべきということを余儀なくさせています。
もし、事実上、単一の電子が、量子閉じ込めの逆効果を減少させるために、利用できるナノドットトラッピングサイトとナノドットサイズ毎に捕捉され、分離が最適化されるように、クーロンブロッケードが、最小化されれば、ナノドットトラッピング層の実効的な電荷トラッピング密度は、ナノドットの実際の密度に関係なく、およそ1E12/cm〜2E12/cmに制限されます。従って、従来のナノドット又はナノ結晶デバイスのための実効的な電荷トラッピング密度が制限されます。この実効的な電荷トラッピング密度制限は、もし、ナノ結晶の最適な形状および分布が、SiN、AlN、Ta、TiO又はSiONのような自然に起こる深いトラップの高い密度を同様に含んだ絶縁トラッピング層に埋め込まれるとするならば、克服することができます。もし、このトラッピング層が、同様に、高Kの材料で形成されるなら、全体のスタックのEOTは、同様に、低減されるはずです。
上記概念は、この発明の一実施の形態におけるトラッピング媒体のために利用されています。このアプローチにおいて、トラッピング媒体は、シリコンオキシ窒化物(SiON、トラップ深さ:Et>1.2eV、屈折率−1.8、K=7)、あるいは、HfO(トラップ深さ:Et=1.5eV、K=24)、窒化ケイ素(Si、トラップ深さ:Et=1.0eV、屈折率=2.0、K=7)、シリコン豊富な窒化ケイ素、酸化タンタル(Ta、Et=2.7eV、K=26)、窒化アルミニウム(AlN、トラップ深さ>1.0eV、K=10)又はTiO(トラップ深さ:Et=0.9eV、K=60)のような多数の自然に起こるトラップサイトを有する絶縁体の適切な厚さで構成され得るでしょう。トラッピング媒体は、それから、限定ではありませんが、トラッピングサイトの数をさらに増加させるために、1.5nmから4nmに及ぶサイズで、3.5nm〜5nmのセパレーションを有する、タングステン、シリコン、ゲルマニウム、コバルト、プラチナ、イリジウム、金又はパラジウムを含み得るナノ結晶/ナノドットとともに埋め込まれます。
上述のように、上記実施例で利用されている酸窒化ケイ素(SiON)は、付加的な電荷トラッピングサイトを提供します。窒素豊富なSiONは、約38%〜40%の原子シリコン濃度、約20%の原子酸素濃度および約40%の原子窒素濃度を有しており、結果的に、約7の誘電率、約γ=1.8の屈折率および8E12−1E13/cmの電荷トラップ密度を持ったトラッピング層をもたらしています。上記SiONにおいて、深いエネルギートラップが、酸窒化ケイ素におけるSi−O−Nボンド“欠陥”のより大きい濃度と関連します。本発明の実施の形態のそのようなトラッピング層は、5E12/cm〜1E13/cmの所望の範囲で、クーロンブロッケード又は量子閉じ込めに起因する電荷保持への逆効果なしで、実効的な電荷密度を提供することでしょう。他の電荷トラッピング絶縁体材料が、本発明の実施の形態で、電荷トラッピング層として同様に利用できるであろうと、注目されます。
本発明の一実施の形態として、電荷ブロッキング層は、ゲートスタックの全体的なEOTを最小化するのを助けながら、大きい電子エネルギーバリアを提供し、制御ゲートへのトラップされた電荷の直接的なトンネリングを防止するために、望ましくは、Al(K=10)又はPr(K=30)又は6nmより大きい厚さのTiO(K=60)のような、大きいKの誘電体層の単一の又は合成の層から構成されます。上述のように、電荷ブロッキング層が、記載されてきており、それは、制御ゲートから電荷ブロッキング層を介して、ホールか電子のキャリアのトンネリング又は注入を可能にすることで、メモリセルのトラッピング層又はフローティングゲートのトラップされた電荷に記憶されたデータの消去を可能にします。しかしながら、本発明の実施の形態の電荷ブロッキング層では、限定ではありませんが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物およびケイ酸塩ファミリーからの絶縁体を含めて、複数の絶縁体が利用され得ることが注目されます。
反転モードデバイスのために、同様の概念が、シリコン基板の上端に堆積される電荷ブロッキング層に適用されます。シリコン-ゲート絶縁体インターフェースをパッシベーションするために、高Kの電荷ブロッキング層(例えば、Al又はPr)が堆積される前に、SiO又はSiONの1つから3つの単層が採用できるでしょう。そのようなインターフェースは、プログラミングおよび消去期間に基板からの電子注入を低減するために、固定された負の電荷を提供する付加された利点を有します。
本発明の実施の形態の制御ゲートは、典型的には、HfN、TiN、IrO又はTaN(プロセス統合用)の薄いパッシベーション導電性の下層から、それ上に形成されるポリシリコンゲート又は他のいかなる適切な(アルミニウム、イリジウム又はタングステンのような)金属ゲートのいずれかと一緒に、構成されます。
上記材料および仕様で作成された本発明の実施の形態のゲートスタックとしての合計EOTは、物理的な厚さが10nmからそしてその上に及んでいる(ゲート電極の厚さを除いて)際に、典型的には、EOT=2.5nmからEOT=6.5nmまで及んでおり、1.5Vと低いプログラミング電圧および1.0E6・V/cmと低い平均フィールドを有しています。このことは、本発明の実施の形態のメモリセルおよびデバイスが、他の現行のメモリデバイスでは利用できない電圧スケーラビリティおよび低電力消費レベルを提供することを可能にします。
通常モードのデバイスについて、本発明の実施の形態に従って、図1Aは、ゲートスタック構造の物理的な断面を詳しく述べており、図1Bは、通常モードのメモリセル100の対応するバンドギャップ線図を詳しく述べています。図1Aにおいて、NFETメモリセル100が、基板102上に形成されて、示されています。メモリセル100は、チャンネル領域108と接触している第1と第2のソース/ドレイン領域104、106およびチャンネル領域108上に形成されたゲートスタック110を有しています。ゲートスタック110は、チャンネル領域108上に形成されたトンネル絶縁層112と、このトンネル絶縁層112上に形成されたトラッピング層/フローティングノード114と、このトラッピング層114上に形成された電荷ブロッキング層116と、オプションのパッシベーション層117と、制御ゲート118とを含みます。トンネル絶縁層112は、非対称な段階的バンドギャップのプロフィールを提供するために、層状となされた2層以上の材料を含みます。本発明の、その他の、通常モードメモリセルの実施の形態は、深いトラップと埋め込み金属のナノ結晶を有するトラッピング層114および高Kの電荷ブロッキング層116も提供できます。
具体的には、一実施の形態において、トンネル絶縁層112は、3つの材料層を含み、第1の層は、チャンネル領域108と1.1eVのバンドギャップを有するシリコン基板102上に形成された、約9eVのバンドギャップを有するSiO(K=4)が0.5nmの層です。SiN(バンドオフセット1.03eV、K=7)又はAl(バンドギャップ:8.8eV、K=10)が1nmの第2の層がSiOの第1の層上に形成されます。そして、HfO(バンドギャップ:4.5eV、K=24)が1nmの第3の層が第2の層上に形成されます。
トラッピング層114は、埋め込みコバルトナノドットの3.5〜4.0nmを有するTiOの5〜7nmの層(約3.15eV、K=60のバンドギャップ)で、結果的に生じるほぼ0.3nmのEOTを有して形成されます。あるいは、トラッピング層は、窒化アルミニウム(AlN、K=15)か、又は、窒素豊富なSiON(約γ=1.8の屈折率およびK=7を有する)で、深いトラップ(Et>1.0eV)を含む適切な厚さの単一の層とすることができるでしょう。電荷ブロッキング層116は、10nmのAl(バンドギャップ:8.8eV、K=10)、Pr(バンドギャップ:3.9eV、K=30)、TiO(バンドギャップ:3.15eV、K=60)で、0.67nmという低いEOTを有して形成されます。そして制御ゲート118が、典型的には、ポリシリコン、タングステン、イリジウム、又は、アルミニウムで形成され、電荷ブロッキング層116上に形成されたHfN、TaN、IrO又はTiNの薄層のような初期パッシベーション層117を含んでもよいでしょう。
別の実施の形態において、トンネル絶縁層112は、また、3つの材料層を含み、第1の層は、1.1eVのバンドギャップを有する、チャンネル領域108およびシリコン基板102上に形成され、約9eVのバンドギャップ有するSiO(K=4)が0.5nmの層です。SiN(バンドオフセット1.03eV、K=7)又は酸素豊富な酸窒化ケイ素、SiON(約γ=1.55の屈折率、バンドギャップ7.3eVおよびK=5)又はAl(バンドギャップ:8.8eV、K=10)による1nmの第2の層がSiOの第1の層上に形成されます。そして、HfO(バンドギャップ:4.5eV、K=24)が1.5nmの第3の層が、第2の層上に形成されます。これらの3つの層の実効酸化物厚(EOT)は、1.32nmまで低くできるでしょう。酸素豊富な酸窒化ケイ素(屈折率が約γ=1.55のSiON)は、その原子シリコン濃度が<=33%である反面、>=46%の原子酸素濃度を有します。対応する窒素豊富な酸窒化ケイ素(屈折率が約γ=1.8のSiON)は、原子窒素濃度が約40%である反面、<=25%の原子酸素濃度を有します。
トラッピング層114は、埋め込みコバルトナノドットの3.5〜4.0nmを有するHfOの6nmの層で、結果的に生じるほぼ0.3nmのEOTを有して形成されます。電荷ブロッキング層116は、10nmのTiO(K=60)で、0.67nmのEOTを有して形成されます。そして、ゲート電極118が、10nmのTiNで、パッシベーション層117として形成され、ドープされたポリシリコンとなります。
上記実施例のゲートスタック110の合計の組合せEOTは、2.3nmまで低くでき、合計の物理的絶縁体の厚さは19nmです。このようなデバイスは、次の特性をもたらすことなるでしょう、すなわち、デバイス単位について、プログラミング電圧が±1.5V、トンネル層に結合する電圧が0.86V(結合係数:0.574)、トラップ密度が>5E12/cm、論理分離が>0.5V(100電子トラッピング/記憶)となるでしょう。平均プログラミング/消去電界は、<1E6・V/cmとなります。
上記に詳述されように、本発明の実施の形態のトンネル層においては、限定ではありませんが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物とケイ酸塩ファミリーからの絶縁体を含めて、複数の絶縁体が利用され得ることが注目されます。
反転モードデバイスについて、本発明の実施の形態に従って、図1Cがゲートスタック構造の物理的な断面を詳しく述べており、図1Dが反転モードメモリセル150の対応するバンドギャップ線図を詳しく述べております。図1Cにおいて、反転モードNFETメモリセル150が、基板152上に形成されて、示されています。メモリセル150は、チャンネル領域158と接触している第1と第2のソース/ドレイン領域154、156、およびチャンネル領域158上に形成されたゲートスタック160を有しています。ゲートスタック160は、チャンネル領域158上に形成された電荷ブロッキング層166と、電荷ブロッキング層166上に形成されたトラッピング層/フローティングノード164と、トラッピング層164上に形成されたトンネル絶縁層162と、トンネル絶縁層162上に形成された制御ゲート168とをを含んでいます。オプションのパッシベーション層167が、トンネル絶縁層162と制御ゲート168の間に形成されます。インターフェース状態を減少させる、SiOや酸素豊富なSiONのような、別のオプションのパッシベーション層170を、チャンネル領域158と電荷ブロッキング層166の間に形成することができます。トンネル絶縁層162は、非対称な階段状のバンドギャッププロフィールを提供するために層状となされた、2つ以上の材料層を含みます。本発明の、その他の、反転モードメモリセルの実施の形態は、深いトラップと埋め込み金属のナノ結晶を含むトラッピング層164および高Kの電荷ブロッキング層166も提供できます。
図2は、ホスト202に結合されており、典型的にプロセシングデバイス又はメモリコントローラーである、本発明の不揮発性メモリデバイス200を組み入れたシステム228の単純化された線図を示しています。本発明の一実施の形態において、不揮発性メモリ200は、NORアーキテクチャフラッシュメモリデバイス又はNANDアーキテクチャフラッシュメモリデバイスです。不揮発性メモリデバイス200は、メモリ読取りと書込みアクセスを可能にするために、各々がプロセシングデバイス202に結合されている、アドレスインターフェース204、制御インターフェース206およびデータインターフェース208を含むインターフェース230を有しています。組合されたアドレス/データバスのように、本発明の実施の形態と一緒に利用することができる他のメモリインタフェース230が存在して、本記載の利益とともに、当業者にとって明らかにされるであろうと注目されます。本発明の一実施の形態においては、インターフェース230は、SDRAM又はDDR・SDRAMインターフェースのような、同期メモリインタフェースです。不揮発性メモリデバイスの内部では、内部メモリコントローラー210が内部操作を指図して、不揮発性メモリアレイ212を管理し、RAM制御レジスタと不揮発性消去ブロック管理レジスター214を更新します。RAM制御レジスタおよびテーブル214は、不揮発性メモリデバイス200の操作期間に、内部メモリコントローラー210によって利用されています。不揮発性メモリアレイ212は、一連のメモリバンク又はセグメント216を含んでいます。各バンク216は、一連の消去ブロック(図示せず)へと、論理的に組織されています。メモリアクセスアドレスは、不揮発性メモリデバイス200のアドレスインターフェース204で受信され、行および列アドレス部分に分割されます。本発明の一実施の形態においては、不揮発性メモリ200は、ホスト202によって、ユニバーサルな又は理想的なメモリとして利用され、システム228内において、RAMおよびROM双方に取って代っています。
読取りアクセスの時に、行アドレスは、行デコード回路220によって、ラッチおよびデコードされ、それが、選択されたメモリバンクにわたってメモリセルの行/ページ(図示せず)を選択して稼働させます。メモリセルの選択された行の出力においてエンコードされたビット値は、ローカルなビット線(図示せず)とグローバルなビット線(図示せず)に結合され、メモリバンクと関連するセンスアンプ222によって検出されます。アクセスの列アドレスは、列デコード回路224によって、ラッチおよびデコードされます。列デコード回路224の出力は、個々の読取りセンスアンプ222の出力に結合されている内部データバス(図示せず)からの所望の列データを選択し、データインターフェース208を介してメモリデバイス200から転送のために、それらをI/Oバッファ226に結合します。
書込みアクセスの時に、行デコード回路220は行ページを選択し、列デコード回路224は書込みセンスアンプ222を選択します。書込まれるべきデータ値は、I/Oバッファ226から内部データバスを経由して、列デコード回路224によって選択される書込みセンスアンプ222に結合されて、メモリアレイ212の選択された不揮発性メモリセル(図示せず)に書込まれます。書込まれたセルは、その後、選択されたメモリセル内に正しい値がプログラムされてきたことを確認するためにそれらを読取ることができるように、行と列デコード回路220、224およびセンスアンプ222によって再選択されます。
前に述べたように、EEPROMとフラッシュメモリアレイアーキテクチャの2つの共通タイプは、いわゆる、類似性のために、各基本的なメモリセル構成が対応する論理ゲート設計に対して有する“NAND”と“NOR”のアーキテクチャです。NORアレイアーキテクチャにおいて、メモリアレイのフローティングゲートメモリセルは、RAM又はROMと同じように、マトリックスに配置されます。アレイマトリックスの各フローティングゲートメモリセルのゲートは、行によって、ワード選択線(ワード線)に結合され、それらのドレインは、列ビット線に結合されます。各フローティングゲートメモリセルのソースは、典型的には、共通のソース線に結合されます。NORアーキテクチャフローティングゲートメモリアレイは、フローティングゲートメモリセルの行を、それらのゲートに結合されたワード線を選択することで、稼働させる行デコーダーによってアクセスされます。選択されたメモリセルの行は、その後、結合されたソース線から結合された列ビット線に、それらのプログラムされた状態に依存して、異なる電流を流すことによって、それらの記憶されたデータ値を列ビット線に載せます。ビット線の列ページは、選択およびセンスされ、そして、個々のデータワードは、列ページからセンスされたデータワードから選択されそのメモリから通信されます。
EEPROM又はフラッシュNANDアレイアーキテクチャは、アレイの各フローティングゲートメモリセルのゲートが、各行によって、各ワード線に結合されるように、フローティングゲートメモリセルのそのアレイを、また、マトリックスに配置します。しかしながら、各メモリセルは、ソース線および列ビット線に、直接結合されてはいません。その代わりに、アレイのメモリセルは、典型的には8、16、32個かさらに多くが、ともにストリング状に配置され、各々が、ストリング状のメモリセルがともに直列に結合される状態で、共通のソース線および列ビット線間で、ソースからドレインへ配置されます。これはNANDアレイアーキテクチャが、匹敵するNORアレイよりも高いメモリセル密度を有することを可能にしますが、一般的には、より低いアクセスレートおよびプログラミングの複雑さの代償を伴います。
NANDアーキテクチャフローティングゲートメモリアレイは、フローティングゲートメモリセルの行を、それらのゲートに結合されたワード選択線を選択することで、稼働させる行デコーダーによってアクセスされます。また、各ストリングの選択されないメモリセルのゲートに結合されているワード線も駆動されます。しかしながら、各ストリングの選択されないメモリセルは、それらをパストランジスタとして動作させるように、典型的には、より高いゲート電圧で駆動され、それらの記憶されたデータ値では制限されない方法によって、それらが電流を通過することを可能にします。電流は、それから、ソース線から列ビット線へと、読取られるべく選択される各ストリングのメモリセルだけによって制限されて、直列結合されたストリングの各フローティングゲートメモリセルを介して、流れます。これは、選択されたメモリセルの行の、電流エンコードされて記憶されたデータ値を、列ビット線に載せます。ビット線の列ページが選択され、センスされます、そして、個々のデータワードが、列ページからおよびメモリデバイスより通信されて、センスされたデータワードから選択されます。
図3Aは、本発明の実施の形態のEEPROM又はフラッシュメモリデバイスの単純化されたNORフローティングゲート又はトラッピング層メモリアレイ300を示しています。図3Aにおいて、NORアレイ300は、本発明の実施の形態のフローティングゲート又はトラッピング層メモリセル302を、ビット線312、ソース線314、ワード線306および基板接続222に、結合しています。NORアレイ300を形成するのに、ビット線312とソース線314は典型的には、基板に堆積されるN+ドープされた領域から局所的に形成され、チャンネル領域によって分離されます。各メモリセルFET302は、N+ドープされた領域をそれぞれドレインとソースとして利用しており、チャンネル領域上に、また、ビット線312とソース線314のN+ドープされた領域の間に、形成されたゲート・絶縁体スタックを有しています(ソース線314を、マルチビットセルアレイ内の第2のビット線312接続で、置換することができるので、メモリセルを介した電流の流れを反転できることが注目されます)。上述のように、ゲート・絶縁体スタックは、チャンネル領域の上端に形成された合成の非対称バンドギャップトンネル絶縁層と、トンネル絶縁体の上に形成されたフローティングゲート/トラッピング層と、トラッピング層上に形成された電荷ブロッキング絶縁体層と、電荷ブロッキング絶縁体上に形成された制御ゲート306(ワード線306に不可欠として典型的に形成され、また、制御ゲート線として知られている)でできています。本発明の実施の形態を組み入れている他のNORアーキテクチャメモリアレイ300構成は可能でありかつ、本開示のたすけにより当業者にとって明らかであることが注目されます。
図3Bは、本発明の実施の形態のNANDアーキテクチャEEPROM又はフラッシュメモリデバイスの単純化されたNANDメモリストリング320を詳しく述べています。図3Bにおいて、本発明の実施の形態の一連のフローティングゲート又はトラッピング層メモリセル302が、ソースからドレインがNANDストリング320(典型的には8、16、32個かさらに多くのセルによる)を形成するように、一緒に結合されています。各メモリセルFET302は、基板の上端上の合成の非対称バンドギャップトンネル絶縁層と、トンネル絶縁層上に形成されたフローティングゲート/トラッピング層と、トラッピング層上に形成された電荷ブロッキング絶縁体層と、電荷ブロッキング絶縁体上に形成された制御ゲート306(制御ゲート線に典型的に形成され、また、ワード線として知られている)でできているゲート・絶縁体スタックを、有しています。N+ドープされた領域が、隣接したフローティングゲートメモリセルのソースとドレイン領域を形成し、加えて、NANDストリング320のセルを一緒に結合する接続体として動作する、各ゲート絶縁体スタックの間に形成されます。ゲート選択線に結合されているオプションの選択ゲート304は、NANDフローティングゲートストリング320のいずれかの端に形成されており、NANDフローティングゲートストリング320の反対の端を、ビット線312およびソース線314に選択的に結合しています。NANDメモリアレイにおいて、図3BのNANDアーキテクチャメモリストリング320は、ビット線312、ソース線314、ワード線306および基板接続322に結合されることになります。
本発明の実施の形態に従った他のメモリセル、メモリストリング、アレイおよびメモリデバイスが可能で、本開示のたすけにより当業者にとって明らになることも注目されます。
<結論>
良好な電荷保持のために、高い電荷ブロッキングバリアおよび深いキャリアトラッピングサイトを維持しながら、直接的なトンネルプログラミングと消去を可能にするNOR又はNANDメモリアーキテクチャにおいて、非対称バンドギャップ技術に基づくゲートスタックをフローティングゲートメモリセル内の非対称トンネルバリアとともに利用する、不揮発性メモリデバイスおよびアレイが記載されてきました。低電圧の直接トンネリングプログラムと消去の能力は、ゲートスタックおよび結晶格子に対する高エネルギーキャリアからの損害を減少させて、書込み疲労および漏出問題を減少させ、デバイスの寿命を増進させます。低電圧のプログラムと消去は、また、低電圧のメモリアレイ設計およびメモリセルゲートスタックのより小さい実効酸化物厚(EOT)を可能にして、全体的なメモリアレイのダイ領域の低減を可能にし、プロセス技術が良くなるにつれて、デバイス形状性のさらなるスケーリング(または縮小)を可能にします。本発明の反転および通常モードのメモリセルの実施の形態が詳述されています。本発明のメモリセルの実施の形態は、また、単一のメモリセルでの多数ビット記憶を可能にし、減少させた電圧でのプログラミングと消去を可能にします。これらの特性は、本発明のメモリデバイスの実施の形態が、システム内のDRAMおよびROM双方に取って代り得る、理想的な又はユニバーサルなメモリデバイスの定義内で動作することを可能にします。
具体的な実施の形態がここで、説明され、記載されてきましたが、同じ目的を達成すると予測されるいかなる配置も、示された具体的な実施の形態と置換できるということが、当業者によって、よく理解されるでしょう。この出願は、本発明のいかなる変形又は変更を包含するよう意図されております。従って、この発明が、請求範囲およびその均等物だけによって限定されるべき、ということが明白に意図されております。
図1Aおよび図1Bは、本発明の実施の形態に従って、通常モードのメモリセルとバンドの図を詳しく述べています。 図1Aおよび図1Bは、本発明の実施の形態に従って、通常モードのメモリセルとバンドの図を詳しく述べています。 図1Cおよび図1Dは、本発明の実施の形態に従って、反転モードメモリセルとバンドの図を詳しく述べています。 図1Cおよび図1Dは、本発明の実施の形態に従って、反転モードメモリセルとバンドの図を詳しく述べています。 図2は、本発明の実施の形態に従って、メモリデバイスを有するシステムを詳しく述べています。 図3Aおよび図3Bは、本発明の実施の形態に従って、NORとNANDのアーキテクチャメモリアレイを詳しく述べています。 図3Aおよび図3Bは、本発明の実施の形態に従って、NORとNANDのアーキテクチャメモリアレイを詳しく述べています。

Claims (68)

  1. 基板内に形成され、チャンネル領域によって結合された第1と第2のソース/ドレイン領域と、
    前記チャンネル領域及び/又は第1と第2のソース/ドレイン領域上に形成された2つ以上の補助層を含む非対称バンドギャップトンネル絶縁層であって、前記2つ以上の補助層が、増加する伝導バンドオフセットの層を含むことを特徴とする、非対称バンドギャップトンネル絶縁層と、
    前記トンネル絶縁層上に形成されたトラッピング層と、
    前記トラッピング層上に形成された電荷ブロッキング層と、
    前記電荷ブロッキング層上に形成された制御ゲートとを含む
    ことを特徴とする不揮発性メモリセル。
  2. 不揮発性メモリデバイスの不揮発性メモリアレイに1つ以上の不揮発性メモリセルが形成され、
    複数の行および複数の列に形成された複数の不揮発性メモリセルを含む不揮発性メモリアレイと、
    メモリインタフェースと、
    前記メモリインタフェースおよび前記不揮発性メモリアレイに結合される制御回路とを含む
    ことを特徴とする請求項1と44に記載の不揮発性メモリセル。
  3. 前記不揮発性メモリデバイスの前記インターフェースが同期メモリインタフェースであることを特徴とする請求項2記載の不揮発性メモリセル。
  4. 前記不揮発性メモリデバイスが、ライトキャッシュに、データをキャッシュライトするように適応されている一方で、前記データが前記メモリアレイに書込まれることを特徴とする請求項2記載の不揮発性メモリセル。
  5. 前記不揮発性メモリデバイスの前記メモリアレイの前記複数の不揮発性メモリセルが、NORアーキテクチャメモリアレイおよびNANDアーキテクチャメモリアレイの1つにさらに配置されることを特徴とする請求項2記載の不揮発性メモリセル。
  6. 前記不揮発性メモリデバイスがシステム内に含まれ、
    少なくとも1つの不揮発性メモリデバイスに結合されたプロセッサを含み、前記少なくとも1つの不揮発性メモリデバイスが、
    複数の行および複数の列に形成された複数の不揮発性メモリセルを含む不揮発性メモリアレイと、
    メモリインタフェースと、
    前記メモリインタフェースおよび前記不揮発性メモリアレイに結合された制御回路とを含む
    ことを特徴とする請求項2、3、4と5に記載の不揮発性メモリセル。
  7. 前記システムが、前記不揮発性メモリをユニバーサルメモリとして利用し、揮発性メモリ(RAM)および不揮発性メモリ(ROM)双方の短期および長期記憶機能を遂行するように適応されていることを特徴とする請求項6記載の不揮発性メモリセル。
  8. 前記システムが、リードキャッシング、ライトキャッシング、メモリインターリービングおよびマルチレベルメモリ構造の1つで、前記不揮発性メモリをアクセスするように適応されていることを特徴とする請求項6記載の不揮発性メモリセル。
  9. 前記トンネル絶縁層の前記2つ以上の補助層が、増加する伝導バンドオフセットの2つ以上の誘電体補助層を含み、前記2つ以上の誘電体補助層のそれぞれが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物とケイ酸塩の1つから選択されることを特徴とする請求項1、2、6と44に記載の不揮発性メモリセル。
  10. 前記トンネル絶縁層の前記2つ以上の補助層が、Al、Pr、TiO、SiO、HfO、ZrO、SiN、AlN、HfN、酸素豊富なSiON(約1.5の屈折率)、窒素豊富なSiON(約1.8の屈折率)、HfとAlの混合酸化物、HfとTiの混合酸化物、HfとSiの混合酸化物、HfとSiの混合オキシ窒化物の1つから選択されることを特徴とする請求項1、2、6と44に記載の不揮発性メモリセル。
  11. 前記トンネル絶縁層の前記2つ以上の補助層が、2つの補助層を含み、第1と第2の補助層がSiOとPr、SiOとTiOおよびSiOとHfOの1つであることを特徴とする請求項9記載の不揮発性メモリセル。
  12. 前記トンネル絶縁層の前記2つ以上の補助層が3つの補助層を含み、第1と第2と第3の補助層がSiOとSiNとHfO、SiOとHfOとPr、SiOとHfOとTiO、SiOと酸素豊富なSiON(約1.5の屈折率)とHfOおよびSiOとAlとHfOの1つであることを特徴とする請求項9記載の不揮発性メモリセル。
  13. 前記トンネル絶縁層の前記2つ以上の補助層のそれぞれが、前記チャンネル領域上に、誘電率(K)の増加する値で、前記チャンネルから伸びて配置されることを特徴とする請求項1、2と6に記載の不揮発性メモリセル。
  14. 前記トラッピング層が、フローティングゲート、フローティングノードおよび埋め込みトラッピング層の1つをさらに含んでいることを特徴とする請求項1、2、6と44記載の不揮発性メモリセル。
  15. 前記トラッピング層が、酸素豊富な酸窒化ケイ素(SiON)、窒素豊富な酸窒化ケイ素(SiON)、窒化アルミニウム(AlN)、窒化ケイ素(SiN)、シリコン豊富な窒化物(SRN)、酸化ハフニウム(HfO)、酸化タンタル(Ta)、酸化チタン(TiO)の1つをさらに含んでいることを特徴とする請求項14記載の不揮発性メモリセル。
  16. 前記トラッピング層が、電荷トラッピング深層ポテンシャルウェルをさらに含んでいることを特徴とする請求項1、2、6と44記載の不揮発性メモリセル。
  17. 前記トラッピング層が、金属、半導体、シリコン、窒化物、誘発されたインターフェース状態又は電荷トラッピング不純物のナノ結晶又はナノドットをさらに含んでいることを特徴とする請求項1、2、6と44記載の不揮発性メモリセル。
  18. 前記深層ポテンシャルウェルが、シリコン、ゲルマニウム、金、タングステン、イリジウム、チタニウム、コバルト、プラチナおよびパラジウムのナノドット又はナノ結晶の1つをさらに含んでいることを特徴とする請求項17記載の不揮発性メモリセル。
  19. 前記電荷ブロッキング層が、1つ以上の高K誘電体補助層を含んでいることを特徴とする請求項1、2と6に記載の不揮発性メモリセル。
  20. 前記1つ以上の補助層のそれぞれが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物およびケイ酸塩ファミリーのうちの1つであることを特徴とする請求項19記載の不揮発性メモリセル。
  21. 前記1つ以上の補助層のそれぞれが、酸化ハフニウム(HfO)、アルミナ(Al)、酸化プラセオジム(Pr)および酸化チタン(TiO)の1つであることを特徴とする請求項20記載の不揮発性メモリセル。
  22. 前記電荷ブロッキング層が、前記トラッピング層への、又は前記トラッピング層からの、ホールか電子の電荷キャリアを通過させることによって、前記不揮発性メモリセルの消去を可能にするように適応されていることを特徴とする請求項1、2と6に記載の不揮発性メモリセル。
  23. 前記不揮発性メモリセルが、2つのデータ値を前記トラッピング層に記憶するように適応されていることを特徴とする請求項1、2、6と44に記載の不揮発性メモリセル。
  24. 前記不揮発性メモリセルが、NFETデバイスおよびPFETデバイスの1つであることを特徴とする請求項1、2、6と44に記載の不揮発性メモリセル。
  25. 前記制御ゲートが、ポリシリコン、タングステン、イリジウムおよびアルミニウムの1つであることを特徴とする請求項1、2、6と44に記載の不揮発性メモリセル。
  26. 前記制御ゲートは、前記制御ゲートおよび前記電荷ブロッキング層の間に形成されたHfN、TaN、IrOおよびTiNの1つを含むパッシベーション層をさらに含んでいることを特徴とする請求項1、2と6に記載の不揮発性メモリセル。
  27. 前記チャンネル領域は、Nドープされた領域およびPドープされた領域の1つで形成されていることを特徴とする請求項1、2、6と44に記載の不揮発性メモリセル。
  28. 基板上に第1と第2のソース/ドレイン領域を形成し、前記第1と第2のソース/ドレイン領域が介在チャンネル領域を規定していることと、
    前記チャンネル領域上に形成された2つ以上の補助層のトンネル絶縁層を形成し、前記2つ以上の補助層が、増加する伝導バンドオフセットの層を含むことと、
    前記トンネル絶縁層上にトラッピング層を形成することと、
    前記トラッピング層上に電荷ブロッキング層を形成することと、
    前記電荷ブロッキング層上に制御ゲートを形成すること
    を含む、不揮発性メモリセル構造を形成する方法。
  29. 前記チャンネル領域上に形成された2つ以上の補助層のトンネル絶縁層を形成することが、増加する伝導バンドオフセットの2つ以上の誘電体補助層を形成することをさらに含み、前記2つ以上の誘電体補助層のそれぞれが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物およびケイ酸塩の1つから選択されることを特徴とする請求項28記載の方法。
  30. 前記チャンネル領域上に形成された2つ以上の補助層のトンネル絶縁層を形成することが、2つの補助層を形成することをさらに含み、第1と第2の補助層がSiOとPr、SiOとTiOおよびSiOとHfOの1つであることを特徴とする請求項29記載の方法。
  31. 前記チャンネル領域上に形成された2つ以上の補助層のトンネル絶縁体層を形成することが、3つの補助層を形成することをさらに含み、第1と第2と第3の補助層が、SiOとSiNとHfO、SiOとHfOとPrおよびSiOとHfOとTiOの1つであることを特徴とする請求項29記載の方法。
  32. トラッピング層を形成することが、フローティングゲート、フローティングノードおよび埋め込みトラッピング層の1つを形成することをさらに含んでいることを特徴とする請求項28記載の方法。
  33. トラッピング層を形成することが、電荷トラッピング深層ポテンシャルウェルを形成することをさらに含んでいることを特徴とする請求項32記載の方法。
  34. トラッピング層を形成することが、酸素豊富な酸窒化ケイ素(SiON)、窒素豊富な酸窒化ケイ素(SiON)、窒化アルミニウム(AlN)、窒化ケイ素(SiN)、シリコン豊富な窒化物(SRN)、酸化ハフニウム(HfO)、酸化タンタル(Ta)および酸化チタン(TiO)の1つであるトラッピング層を形成することをさらに含んでいることを特徴とする請求項32記載の方法。
  35. 電荷ブロッキング層を形成することが、高K誘電体補助層が1つ以上の電荷ブロッキング層を形成することをさらに含んでいることを特徴とする請求項28記載の方法。
  36. 高K誘電体補助層が1つ以上の電荷ブロッキング層を形成することが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物およびケイ酸塩ファミリーの1つの絶縁体から、前記1つ以上の補助層を形成することをさらに含んでいることを特徴とする請求項35記載の方法。
  37. 高K誘電体補助層が1つ以上の電荷ブロッキング層を形成することが、酸化ハフニウム(HfO)、アルミナ(Al)、Prおよび酸化チタン(TiO)の1つである前記1つ以上の補助層を形成することをさらに含んでいることを特徴とする請求項36記載の方法。
  38. N又はPドープされたチャンネル領域を形成することをさらに含む請求項28記載の方法。
  39. トンネル絶縁層を介してキャリアの直接的なトンネリングを経由して、不揮発性メモリセルをプログラミングし、前記トンネル絶縁層が、前記不揮発性メモリセルのチャンネル領域上に形成された2つ以上の補助層を有する非対称バンドギャップトンネル絶縁層であり、前記2つ以上の補助層は、増加する伝導バンドオフセットの材料層を含むことと、
    前記トンネル絶縁層上に形成されたトラッピング層に前記キャリアをトラッピングすること
    を含む不揮発性メモリセルを動作させる方法。
  40. 前記トンネル絶縁層上に形成されたトラッピング層に前記キャリアをトラッピングすることが、多数ビット記憶用の前記不揮発性メモリセルのソース/ドレイン上にローカライズされた前記電荷をトラッピングすることをさらに含んでいることを特徴とする請求項39記載の方法。
  41. 前記トラッピング層にトラップされた前記キャリアを、直接的なトンネリング、Fowler−Nordheimトンネリング、チャンネルホット電子(CHE)注入および前記チャンネル領域からのホットホール注入の1つを経由して除去することによって、前記不揮発性メモリセルを消去することをさらに含んでいる請求項39記載の方法。
  42. 前記トラッピング層への、又は前記トラッピング層からのトランスポーティングキャリアを経由して、前記トラッピング層上に形成された電荷ブロッキング層を介して、前記トラッピング層にトラップされた前記キャリアを除去することによって、前記不揮発性メモリセルを消去することをさらに含んでいる請求項39記載の方法。
  43. 不揮発性メモリデバイスを動作させる方法をさらに含み、
    各メモリセルのトンネル絶縁層を介してキャリアの直接的なトンネリングを経由して、1つ以上の不揮発性メモリセルをプログラミングし、前記トンネル絶縁層が、前記不揮発性メモリセルのチャンネル領域上に形成された2つ以上の補助層を有する非対称バンドギャップトンネル絶縁層であり、前記2つ以上の補助層は、増加する伝導バンドオフセットの材料層を含むことと、
    各メモリセルの前記トンネル絶縁層上に形成されたトラッピング層に前記キャリアをトラッピングすることを
    含む請求項39記載の方法。
  44. 基板内に形成され、チャンネル領域によって結合された第1と第2のソース/ドレイン領域と、
    前記チャンネル領域及び/又は第1と第2のソース/ドレイン領域上に形成された電荷ブロッキング層と、
    前記電荷ブロッキング層上に形成されたトラッピング層と、
    前記トラッピング層上に形成された2つ以上の補助層を含む非対称バンドギャップトンネル絶縁層であり、前記2つ以上の補助層が増加する伝導バンドオフセットの層を含んでいるものと、
    前記非対称バンドギャップトンネル絶縁層上に形成された制御ゲートと
    を含む反転モード不揮発性メモリセル。
  45. 前記トンネル絶縁層の前記2つ以上の補助層のそれぞれが、前記トラッピング層上に、誘電率(K)の増加する値で、配置されることを特徴とする請求項44記載の反転モード不揮発性メモリセル。
  46. 前記電荷ブロッキング層が、1つ以上の高K誘電体補助層を含んでいることを特徴とする請求項44記載の反転モード不揮発性メモリセル。
  47. SiOおよび酸素豊富なSiONの1つであるチャンネルパッシベーション層をさらに含んでいる請求項46記載の反転モード不揮発性メモリセル。
  48. 前記1つ以上の補助層のそれぞれが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物およびケイ酸塩ファミリーの1つからの絶縁体であることを特徴とする請求項46記載の反転モード不揮発性メモリセル。
  49. 前記1つ以上の補助層のそれぞれが、酸化ハフニウム(HfO)、アルミナ(Al)、酸化プラセオジム(Pr)および酸化チタン(TiO)の1つであることを特徴とする請求項48記載の反転モード不揮発性メモリセル。
  50. 前記電荷ブロッキング層が、前記チャンネル領域から、前記トラッピング層への、又は前記トラッピング層からのホールか電子の電荷キャリアを通過させることによって、前記反転モード不揮発性メモリセル消去を可能にするように適応されていることを特徴とする請求項44記載の反転モード不揮発性メモリセル。
  51. 前記反転モード不揮発性メモリセルが、前記トラッピング層に2つのデータ値を記憶するように適応されていることを特徴とする請求項44記載の反転モード不揮発性メモリセル。
  52. 前記反転モード不揮発性メモリセルが、前記非対称バンドギャップトンネル絶縁層を介して、前記制御ゲートから前記トラッピング層へのキャリアの直接的なトンネリングによって、プログラムされるよう適応されていることを特徴とする請求項44記載の反転モード不揮発性メモリセル。
  53. 前記反転モード不揮発性メモリセルが、直接的なトンネリング、Fowler−Nordheimトンネリング、チャンネルホット電子(CHE)および前記制御ゲートからのキャリアのホットホール注入の1つによって、前記非対称バンドギャップトンネル絶縁層を介して、消去されるように適応されていることを特徴とする請求項44記載の反転モード不揮発性メモリセル。
  54. 前記制御ゲートは、前記制御ゲートおよび前記非対称バンドギャップトンネル絶縁層の間に形成されたHfN、TaN、IrOおよびTiNの1つを含むパッシベーション層をさらに含んでいることを特徴とする請求項44記載の反転モード不揮発性メモリセル。
  55. 基板上に第1と第2のソース/ドレイン領域を形成し、前記第1と第2のソース/ドレイン領域が介在チャンネル領域を規定していることと、
    前記チャンネル領域上に電荷ブロッキング層を形成することと、
    前記電荷ブロッキング層上にトラッピング層を形成することと、
    前記トラッピング層上に2つ以上の補助層のトンネル絶縁層を形成し、前記2つ以上の補助層が、増加する伝導バンドオフセットの層を含むことと、
    前記トンネル絶縁層上に制御ゲートを形成すること
    を含む反転モード不揮発性メモリセル構造を形成する方法。
  56. 前記トラッピング層上に形成された2つ以上の補助層のトンネル絶縁層を形成することが、増加する伝導バンドオフセットの2つ以上の誘電体補助層を形成することをさらに含み、前記2つ以上の誘電体補助層のそれぞれが、酸化物、混合酸化物、窒化物、オキシ窒化物、混合オキシ窒化物およびケイ酸塩の1つから選択されることを特徴とする請求項55記載の方法。
  57. 前記トラッピング層上に形成された2つ以上の補助層のトンネル絶縁層を形成することが、2つの補助層を形成することをさらに含み、第1と第2の補助層がSiOとPr、SiOとTiOおよびSiOとHfOの1つであることを特徴とする請求項56記載の方法。
  58. 前記トラッピング層上に形成された2つ以上の補助層のトンネル絶縁体層を形成することが、3つの補助層を形成することをさらに含み、第1と第2と第3の補助層が、SiOとSiNとHfO、SiOとHfOとPr、SiOとHfOとTiO、SiOと酸素豊富なSiON(約1.5の屈折率)とHfOおよびSiOとAlとHfOの1つであることを特徴とする請求項56記載の方法。
  59. トラッピング層を形成することが、フローティングゲート、フローティングノードおよび埋め込みトラッピング層の1つを形成することをさらに含んでいることを特徴とする請求項55記載の方法。
  60. トラッピング層を形成することが、電荷トラッピング深層ポテンシャルウェルを形成することをさらに含んでいることを特徴とする請求項59記載の方法。
  61. トラッピング層を形成することが、酸素豊富な酸窒化ケイ素(SiON)、窒素豊富な酸窒化ケイ素(SiON)、窒化アルミニウム(AlN)、窒化ケイ素(SiN)、シリコン豊富な窒化物(SRN)、酸化ハフニウム(HfO)、酸化タンタル(Ta)および酸化チタン(TiO)の1つであるトラッピング層を形成することをさらに含んでいることを特徴とする請求項59記載の方法。
  62. 電荷ブロッキング層を形成することが、高K誘電体補助層が1つ以上の電荷ブロッキング層を形成することをさらに含んでいることを特徴とする請求項55記載の方法。
  63. 高K誘電体補助層が1つ以上の電荷ブロッキング層を形成することが、酸化ハフニウム(HfO)、アルミナ(Al)、Prおよび酸化チタン(TiO)の1つである前記1つ以上の補助層を形成することをさらに含んでいることを特徴とする請求項62記載の方法。
  64. N又はPドープされたチャンネル領域を形成することをさらに含む請求項55記載の方法。
  65. トンネル絶縁層を介してキャリアの直接的なトンネリングを経由して、反転モード不揮発性メモリセルをプログラミングし、前記トンネル絶縁層が、前記不揮発性メモリセルの制御ゲートとトラッピング層の間に形成された2つ以上の補助層を有する非対称バンドギャップトンネル絶縁層であり、前記2つ以上の補助層は、増加する伝導バンドオフセットの材料層を含むことと、
    チャンネル領域上に形成された電荷ブロッキング層上に形成された前記トラッピング層に前記キャリアをトラッピングすること
    を含む反転モード不揮発性メモリセルを動作させる方法。
  66. チャンネル領域上に形成された電荷ブロッキング層上に形成された前記トラッピング層に前記キャリアをトラッピングすることが、多数ビット記憶用の前記反転モード不揮発性メモリセルのソース/ドレイン上にローカライズされた前記電荷をトラッピングすることをさらに含んでいることを特徴とする請求項65記載の方法。
  67. 前記トラッピング層にトラップされた前記キャリアを、直接的なトンネリング、Fowler−Nordheimトンネリング、チャンネルホット電子(CHE)注入および前記制御ゲートからのホットホール注入の1つを経由して除去することによって、前記反転モード不揮発性メモリセルを消去することをさらに含んでいる請求項65記載の方法。
  68. 前記トラッピング層への、又は前記トラッピング層からのトランスポーティングキャリアを経由して、前記チャンネル領域上に形成された前記電荷ブロッキング層を介して、前記トラッピング層にトラップされた前記キャリアを除去することによって、前記不揮発性メモリセルを消去することをさらに含んでいる請求項65記載の方法。
JP2008512494A 2005-05-17 2006-05-17 斬新な低電力不揮発性メモリおよびゲートスタック Pending JP2008541487A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/131,006 US7612403B2 (en) 2005-05-17 2005-05-17 Low power non-volatile memory and gate stack
PCT/US2006/019176 WO2006125051A1 (en) 2005-05-17 2006-05-17 A novel low power non-volatile memory and gate stack

Publications (1)

Publication Number Publication Date
JP2008541487A true JP2008541487A (ja) 2008-11-20

Family

ID=36764169

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008512494A Pending JP2008541487A (ja) 2005-05-17 2006-05-17 斬新な低電力不揮発性メモリおよびゲートスタック

Country Status (7)

Country Link
US (3) US7612403B2 (ja)
EP (1) EP1886356A1 (ja)
JP (1) JP2008541487A (ja)
KR (1) KR100978435B1 (ja)
CN (1) CN101223646B (ja)
TW (1) TWI305947B (ja)
WO (1) WO2006125051A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007184380A (ja) * 2006-01-05 2007-07-19 Micronics Internatl Co Ltd 不揮発性メモリセル、これを有するメモリアレイ、並びに、セル及びアレイの操作方法
JP2013008995A (ja) * 2006-12-04 2013-01-10 Snu R & Db Foundation 固集積フラッシュメモリーセルストリング、セル素子、及びこの製造方法
US8737138B2 (en) 2010-11-18 2014-05-27 Micron Technology, Inc. Memory instruction including parameter to affect operating condition of memory
US9478670B2 (en) 2012-09-05 2016-10-25 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8482052B2 (en) 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7473589B2 (en) 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
US7315474B2 (en) 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7642585B2 (en) * 2005-01-03 2010-01-05 Macronix International Co., Ltd. Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7709334B2 (en) * 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
US8264028B2 (en) * 2005-01-03 2012-09-11 Macronix International Co., Ltd. Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
KR100688521B1 (ko) * 2005-01-18 2007-03-02 삼성전자주식회사 고유전율 절연막을 포함하는 반도체 소자 및 그 제조 방법
KR100644405B1 (ko) * 2005-03-31 2006-11-10 삼성전자주식회사 불휘발성 메모리 장치의 게이트 구조물 및 이의 제조 방법
KR100684899B1 (ko) * 2005-05-18 2007-02-20 삼성전자주식회사 비휘발성 기억 장치
TWI277178B (en) * 2005-06-07 2007-03-21 Promos Technologies Inc Non-volatile memory and fabricating method thereof
US7636257B2 (en) * 2005-06-10 2009-12-22 Macronix International Co., Ltd. Methods of operating p-channel non-volatile memory devices
US7402850B2 (en) * 2005-06-21 2008-07-22 Micron Technology, Inc. Back-side trapped non-volatile memory device
US7763927B2 (en) 2005-12-15 2010-07-27 Macronix International Co., Ltd. Non-volatile memory device having a nitride-oxide dielectric layer
US7576386B2 (en) * 2005-08-04 2009-08-18 Macronix International Co., Ltd. Non-volatile memory semiconductor device having an oxide-nitride-oxide (ONO) top dielectric layer
KR20070053071A (ko) * 2005-11-19 2007-05-23 삼성전자주식회사 다층의 터널링층을 포함한 비휘발성 메모리 소자
US7888707B2 (en) * 2005-12-09 2011-02-15 Macronix International Co., Ltd. Gated diode nonvolatile memory process
JP2007194511A (ja) * 2006-01-23 2007-08-02 Renesas Technology Corp 不揮発性半導体記憶装置およびその製造方法
US7700438B2 (en) * 2006-01-30 2010-04-20 Freescale Semiconductor, Inc. MOS device with nano-crystal gate structure
JP4575320B2 (ja) * 2006-03-15 2010-11-04 株式会社東芝 不揮発性半導体記憶装置
US7391652B2 (en) * 2006-05-05 2008-06-24 Macronix International Co., Ltd. Method of programming and erasing a p-channel BE-SONOS NAND flash memory
US7907450B2 (en) 2006-05-08 2011-03-15 Macronix International Co., Ltd. Methods and apparatus for implementing bit-by-bit erase of a flash memory device
US7948799B2 (en) * 2006-05-23 2011-05-24 Macronix International Co., Ltd. Structure and method of sub-gate NAND memory with bandgap engineered SONOS devices
US7414889B2 (en) * 2006-05-23 2008-08-19 Macronix International Co., Ltd. Structure and method of sub-gate and architectures employing bandgap engineered SONOS devices
US7579646B2 (en) * 2006-05-25 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory with deep quantum well and high-K dielectric
TWI300931B (en) * 2006-06-20 2008-09-11 Macronix Int Co Ltd Method of operating non-volatile memory device
US7646637B2 (en) * 2006-07-10 2010-01-12 Macronix International Co., Ltd. Nonvolatile memory having modified channel region interface
US20080123435A1 (en) * 2006-07-10 2008-05-29 Macronix International Co., Ltd. Operation of Nonvolatile Memory Having Modified Channel Region Interface
US20080006871A1 (en) * 2006-07-10 2008-01-10 Macronix International Co., Ltd. Nonvolatile Memory Having Raised Source and Drain Regions
US7746694B2 (en) * 2006-07-10 2010-06-29 Macronix International Co., Ltd. Nonvolatile memory array having modified channel region interface
US20080028521A1 (en) * 2006-07-17 2008-02-07 Sunil Mehta Formation of high voltage transistor with high breakdown voltage
US8809936B2 (en) * 2006-07-31 2014-08-19 Globalfoundries Inc. Memory cell system with multiple nitride layers
KR100825787B1 (ko) * 2006-08-18 2008-04-29 삼성전자주식회사 전하트랩층을 포함하는 반도체 메모리소자
JP4997872B2 (ja) * 2006-08-22 2012-08-08 ソニー株式会社 不揮発性半導体メモリデバイスおよびその製造方法
US7772068B2 (en) 2006-08-30 2010-08-10 Macronix International Co., Ltd. Method of manufacturing non-volatile memory
US8816422B2 (en) * 2006-09-15 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-trapping layer flash memory cell
US8294197B2 (en) * 2006-09-22 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Program/erase schemes for floating gate memory cells
US20080079061A1 (en) * 2006-09-28 2008-04-03 Advanced Micro Devices, Inc. Flash memory cell structure for increased program speed and erase speed
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7811890B2 (en) 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US7851848B2 (en) 2006-11-01 2010-12-14 Macronix International Co., Ltd. Cylindrical channel charge trapping devices with effectively high coupling ratios
US7994564B2 (en) 2006-11-20 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memory cells formed in back-end-of line processes
US8101989B2 (en) * 2006-11-20 2012-01-24 Macronix International Co., Ltd. Charge trapping devices with field distribution layer over tunneling barrier
KR100873073B1 (ko) * 2006-11-24 2008-12-09 삼성모바일디스플레이주식회사 비휘발성 메모리 소자 및 그 제조방법과 이를 포함한메모리 장치
JP4357526B2 (ja) * 2006-12-08 2009-11-04 株式会社東芝 不揮発性半導体メモリ装置およびその製造方法
KR101033221B1 (ko) * 2006-12-29 2011-05-06 주식회사 하이닉스반도체 전하트랩층을 갖는 불휘발성 메모리소자 및 그 제조방법
KR101177277B1 (ko) * 2006-12-29 2012-08-24 삼성전자주식회사 금속-부도체 전이 물질을 이용한 비휘발성 메모리 소자
US7450423B2 (en) * 2007-01-03 2008-11-11 Macronix International Co., Ltd. Methods of operating non-volatile memory cells having an oxide/nitride multilayer insulating structure
KR100843229B1 (ko) * 2007-01-11 2008-07-02 삼성전자주식회사 하이브리드 구조의 전하 트랩막을 포함하는 플래쉬 메모리소자 및 그 제조 방법
JP4358252B2 (ja) * 2007-03-27 2009-11-04 株式会社東芝 不揮発性半導体メモリのメモリセル
KR100855993B1 (ko) * 2007-04-03 2008-09-02 삼성전자주식회사 전하 트랩 플래시 메모리 소자 및 그 제조방법
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8614124B2 (en) * 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8643124B2 (en) * 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US20090179253A1 (en) * 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US7749838B2 (en) * 2007-07-06 2010-07-06 Macronix International Co., Ltd. Fabricating method of non-volatile memory cell
KR101338166B1 (ko) * 2007-07-12 2013-12-06 삼성전자주식회사 비휘발성 기억 소자 및 그 소자의 형성 방법
US7795673B2 (en) * 2007-07-23 2010-09-14 Macronix International Co., Ltd. Vertical non-volatile memory
US20090032861A1 (en) * 2007-07-30 2009-02-05 Zhong Dong Nonvolatile memories with charge trapping layers containing silicon nitride with germanium or phosphorus
US7737488B2 (en) 2007-08-09 2010-06-15 Macronix International Co., Ltd. Blocking dielectric engineered charge trapping memory cell with high speed erase
US7838923B2 (en) * 2007-08-09 2010-11-23 Macronix International Co., Ltd. Lateral pocket implant charge trapping devices
KR20090017040A (ko) * 2007-08-13 2009-02-18 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
EP2026384A3 (en) 2007-08-13 2009-06-10 Macronix International Co., Ltd. Charge trapping memory cell with high speed erase
US7816727B2 (en) 2007-08-27 2010-10-19 Macronix International Co., Ltd. High-κ capped blocking dielectric bandgap engineered SONOS and MONOS
US7772072B2 (en) * 2007-08-28 2010-08-10 Macronix International Co., Ltd. Method for manufacturing non-volatile memory
US20090067256A1 (en) * 2007-09-06 2009-03-12 Micron Technology, Inc. Thin gate stack structure for non-volatile memory cells and methods for forming the same
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US20090096009A1 (en) * 2007-10-16 2009-04-16 Promos Technologies Pte. Ltd. Nonvolatile memories which combine a dielectric, charge-trapping layer with a floating gate
US7643349B2 (en) * 2007-10-18 2010-01-05 Macronix International Co., Ltd. Efficient erase algorithm for SONOS-type NAND flash
US7848148B2 (en) * 2007-10-18 2010-12-07 Macronix International Co., Ltd. One-transistor cell semiconductor on insulator random access memory
US20090140318A1 (en) * 2007-12-03 2009-06-04 Zhong Dong Nonvolatile memories with higher conduction-band edge adjacent to charge-trapping dielectric
US7675775B2 (en) * 2007-12-05 2010-03-09 Cypress Semiconductor Corporation Combined volatile nonvolatile array
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US7816726B2 (en) * 2007-12-20 2010-10-19 Promos Technologies Pte. Ltd. Nonvolatile memories with laterally recessed charge-trapping dielectric
US7973357B2 (en) * 2007-12-20 2011-07-05 Samsung Electronics Co., Ltd. Non-volatile memory devices
JP2009152498A (ja) * 2007-12-21 2009-07-09 Toshiba Corp 不揮発性半導体メモリ
US20090171650A1 (en) * 2007-12-27 2009-07-02 Unity Semiconductor Corporation Non-Volatile memories in interactive entertainment systems
US8064255B2 (en) * 2007-12-31 2011-11-22 Cypress Semiconductor Corporation Architecture of a nvDRAM array and its sense regime
US8059458B2 (en) 2007-12-31 2011-11-15 Cypress Semiconductor Corporation 3T high density nvDRAM cell
US9153594B2 (en) * 2008-01-09 2015-10-06 Faquir C. Jain Nonvolatile memory and three-state FETs using cladded quantum dot gate structure
US20090251972A1 (en) * 2008-04-03 2009-10-08 Yue-Song He Nonvolatile memory arrays with charge trapping dielectric and with non-dielectric nanodots
US20090257263A1 (en) * 2008-04-15 2009-10-15 Vns Portfolio Llc Method and Apparatus for Computer Memory
US8068370B2 (en) 2008-04-18 2011-11-29 Macronix International Co., Ltd. Floating gate memory device with interpoly charge trapping structure
US7737487B2 (en) * 2008-06-06 2010-06-15 Promos Technologies Pte. Ltd. Nonvolatile memories with tunnel dielectric with chlorine
KR101435588B1 (ko) * 2008-06-23 2014-09-25 삼성전자주식회사 불휘발성 메모리 소자 및 그 제조방법
US8735963B2 (en) * 2008-07-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory cells having leakage-inhibition layers
JP5459999B2 (ja) 2008-08-08 2014-04-02 株式会社東芝 不揮発性半導体記憶素子、不揮発性半導体装置及び不揮発性半導体素子の動作方法
US8679962B2 (en) 2008-08-21 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
KR20100023284A (ko) * 2008-08-21 2010-03-04 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US8081516B2 (en) * 2009-01-02 2011-12-20 Macronix International Co., Ltd. Method and apparatus to suppress fringing field interference of charge trapping NAND memory
KR101512494B1 (ko) 2009-01-09 2015-04-16 삼성전자주식회사 반도체 장치의 제조 방법
KR101068725B1 (ko) * 2009-02-16 2011-09-28 한양대학교 산학협력단 나노 입자를 구비하는 비휘발성 메모리 소자 및 그의 제조방법
CN101859702B (zh) * 2009-04-10 2016-12-07 赛普拉斯半导体公司 含多层氧氮化物层的氧化物-氮化物-氧化物堆栈
US8861273B2 (en) * 2009-04-21 2014-10-14 Macronix International Co., Ltd. Bandgap engineered charge trapping memory in two-transistor nor architecture
US9102522B2 (en) * 2009-04-24 2015-08-11 Cypress Semiconductor Corporation Method of ONO integration into logic CMOS flow
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8487655B1 (en) 2009-05-05 2013-07-16 Cypress Semiconductor Corporation Combined analog architecture and functionality in a mixed-signal array
US8179161B1 (en) 2009-05-05 2012-05-15 Cypress Semiconductor Corporation Programmable input/output circuit
US9612987B2 (en) 2009-05-09 2017-04-04 Cypress Semiconductor Corporation Dynamically reconfigurable analog routing circuits and methods for system on a chip
KR101624980B1 (ko) 2009-06-19 2016-05-27 삼성전자주식회사 비휘발성 메모리 소자
US7936578B2 (en) * 2009-08-28 2011-05-03 Arm Limited Read only memory cell for storing a multiple bit value
US9012333B2 (en) * 2009-09-09 2015-04-21 Spansion Llc Varied silicon richness silicon nitride formation
US10644126B2 (en) 2009-09-09 2020-05-05 Monterey Research, Llc Varied silicon richness silicon nitride formation
JP2011071240A (ja) * 2009-09-24 2011-04-07 Toshiba Corp 半導体記憶装置、及びその製造方法
US8169835B2 (en) * 2009-09-28 2012-05-01 Macronix International Co., Ltd. Charge trapping memory cell having bandgap engineered tunneling structure with oxynitride isolation layer
JP5235930B2 (ja) * 2010-03-26 2013-07-10 株式会社東芝 半導体記憶装置、及びその製造方法
CN102237366B (zh) * 2010-04-29 2016-06-15 旺宏电子股份有限公司 具有连续电荷储存介电堆栈的非挥发存储阵列
US8829592B2 (en) * 2010-12-14 2014-09-09 Intel Corporation Non-volatile storage element having dual work-function electrodes
TWI534897B (zh) * 2011-01-14 2016-05-21 賽普拉斯半導體公司 具有多重氮氧化物層之氧化物-氮化物-氧化物堆疊
US9240405B2 (en) 2011-04-19 2016-01-19 Macronix International Co., Ltd. Memory with off-chip controller
JP5613105B2 (ja) * 2011-05-27 2014-10-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
CN102810541B (zh) * 2011-05-30 2015-10-14 中国科学院微电子研究所 一种存储器及其制造方法
US9559216B2 (en) * 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
CN102969022A (zh) * 2011-09-01 2013-03-13 中国科学院微电子研究所 一种对多位半导体存储器进行编程的方法
CN103094355A (zh) * 2011-10-28 2013-05-08 中国科学院微电子研究所 一种纳米晶存储器及其制作方法
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
JP2013197121A (ja) * 2012-03-15 2013-09-30 Toshiba Corp 半導体装置及びその製造方法
JP2013197269A (ja) * 2012-03-19 2013-09-30 Toshiba Corp 不揮発性半導体記憶装置
EP3709370A1 (en) * 2012-03-31 2020-09-16 Longitude Flash Memory Solutions Ltd. Oxide-nitride-oxide stack having multiple oxynitride layers
US8987098B2 (en) 2012-06-19 2015-03-24 Macronix International Co., Ltd. Damascene word line
US10134916B2 (en) * 2012-08-27 2018-11-20 Micron Technology, Inc. Transistor devices, memory cells, and arrays of memory cells
US10127150B2 (en) 2012-11-09 2018-11-13 Sandisk Technologies Llc Key value addressed storage drive using NAND flash based content addressable memory
TW201419534A (zh) * 2012-11-12 2014-05-16 Nat Applied Res Laboratories 記憶體元件及其製作方法
CN103545316B (zh) * 2012-12-31 2016-06-15 安阳师范学院 基于带隙调控的新型电荷陷阱型存储器、其制备方法及应用
US9075424B2 (en) 2013-03-06 2015-07-07 Sandisk Technologies Inc. Compensation scheme to improve the stability of the operational amplifiers
US8930866B2 (en) * 2013-03-11 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method
US8987800B2 (en) * 2013-03-14 2015-03-24 International Business Machines Corporation Semiconductor structures with deep trench capacitor and methods of manufacture
US9379126B2 (en) 2013-03-14 2016-06-28 Macronix International Co., Ltd. Damascene conductor for a 3D device
US9349742B2 (en) * 2013-06-21 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded memory and methods of forming the same
US9466715B2 (en) * 2013-08-30 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor having a gate dielectric with multiple thicknesses
US9099538B2 (en) 2013-09-17 2015-08-04 Macronix International Co., Ltd. Conductor with a plurality of vertical extensions for a 3D device
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9927470B2 (en) * 2014-05-22 2018-03-27 Electro Industries/Gauge Tech Intelligent electronic device having a memory structure for preventing data loss upon power loss
US9425055B2 (en) * 2014-05-28 2016-08-23 Freescale Semiconductor, Inc. Split gate memory cell with a layer of nanocrystals with improved erase performance
JP6334268B2 (ja) * 2014-05-30 2018-05-30 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9391084B2 (en) 2014-06-19 2016-07-12 Macronix International Co., Ltd. Bandgap-engineered memory with multiple charge trapping layers storing charge
US9666593B2 (en) * 2014-09-29 2017-05-30 Sandisk Technologies Llc Alternating refractive index in charge-trapping film in three-dimensional memory
GB201418888D0 (en) 2014-10-23 2014-12-10 Univ Lancaster Improvements relating to electronic memory devices
KR102247914B1 (ko) * 2014-10-24 2021-05-06 삼성전자주식회사 반도체 장치 및 그 제조방법
US9330763B1 (en) 2014-12-01 2016-05-03 Sandisk Technologies Inc. Operation modes for an inverted NAND architecture
JP6343256B2 (ja) 2015-05-29 2018-06-13 東芝メモリ株式会社 半導体装置及びその製造方法
US9721668B2 (en) 2015-08-06 2017-08-01 Macronix International Co., Ltd. 3D non-volatile memory array with sub-block erase architecture
US9753657B2 (en) * 2015-09-18 2017-09-05 Sandisk Technologies Llc Dynamic reconditioning of charge trapped based memory
US9401371B1 (en) 2015-09-24 2016-07-26 Macronix International Co., Ltd. Sacrificial spin-on glass for air gap formation after bl isolation process in single gate vertical channel 3D NAND flash
US11041764B2 (en) * 2016-02-29 2021-06-22 Washington University Self-powered sensors for long-term monitoring
US9899485B2 (en) * 2016-06-07 2018-02-20 International Business Machines Corporation Spatially decoupled floating gate semiconductor device
WO2018063207A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Resistive random access memory cell
KR20180063755A (ko) * 2016-12-02 2018-06-12 삼성전자주식회사 반도체 소자
US9899410B1 (en) 2016-12-13 2018-02-20 Sandisk Technologies Llc Charge storage region in non-volatile memory
US9978772B1 (en) * 2017-03-14 2018-05-22 Micron Technology, Inc. Memory cells and integrated structures
JP6882054B2 (ja) * 2017-05-01 2021-06-02 ローム株式会社 不揮発性半導体記憶装置
US10043819B1 (en) 2017-05-17 2018-08-07 Macronix International Co., Ltd. Method for manufacturing 3D NAND memory using gate replacement, and resulting structures
US20190013387A1 (en) 2017-07-05 2019-01-10 Micron Technology, Inc. Memory cell structures
US10153039B1 (en) 2017-07-05 2018-12-11 Micron Technology, Inc. Memory cells programmed via multi-mechanism charge transports
US10276576B2 (en) 2017-07-05 2019-04-30 Micron Technology, Inc. Gated diode memory cells
US10153348B1 (en) * 2017-07-05 2018-12-11 Micron Technology, Inc. Memory configurations
US10411026B2 (en) 2017-07-05 2019-09-10 Micron Technology, Inc. Integrated computing structures formed on silicon
US10262736B2 (en) 2017-07-05 2019-04-16 Micron Technology, Inc. Multifunctional memory cells
US10176870B1 (en) 2017-07-05 2019-01-08 Micron Technology, Inc. Multifunctional memory cells
US10153381B1 (en) 2017-07-05 2018-12-11 Micron Technology, Inc. Memory cells having an access gate and a control gate and dielectric stacks above and below the access gate
US10297493B2 (en) 2017-07-05 2019-05-21 Micron Technology, Inc. Trench isolation interfaces
US10374101B2 (en) 2017-07-05 2019-08-06 Micron Technology, Inc. Memory arrays
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US11195089B2 (en) 2018-06-28 2021-12-07 International Business Machines Corporation Multi-terminal cross-point synaptic device using nanocrystal dot structures
KR20200134818A (ko) * 2019-05-23 2020-12-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US11056571B2 (en) * 2019-06-18 2021-07-06 Micron Technology, Inc. Memory cells and integrated structures
KR20210075515A (ko) * 2019-12-13 2021-06-23 엘지디스플레이 주식회사 디지털 엑스레이 검출기용 박막 트랜지스터 어레이 기판과 엑스레이 검출기 및 그 제조 방법
TWI767512B (zh) * 2020-01-22 2022-06-11 美商森恩萊斯記憶體公司 薄膜儲存電晶體中冷電子抹除
CN114283867B (zh) * 2021-12-24 2024-05-24 北京大学 一种提高基于金属隧穿结的存储器耐久性的方法

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55111175A (en) * 1979-02-15 1980-08-27 Ibm Device for collecting charge
JPH0758225A (ja) * 1993-08-10 1995-03-03 Oki Electric Ind Co Ltd 不揮発性半導体記憶装置及びその形成方法
JPH07135263A (ja) * 1993-06-29 1995-05-23 Sony Corp 半導体メモリ装置
JPH08508851A (ja) * 1992-12-11 1996-09-17 インテル・コーポレーション 複合ゲート電極を有するmosトランジスタ及びその製造方法
JPH11126902A (ja) * 1997-08-04 1999-05-11 Motorola Inc 高誘電率金属酸化物を形成するための方法
JP2001223282A (ja) * 1999-12-30 2001-08-17 Hynix Semiconductor Inc 不揮発性メモリ素子及びその製造方法
JP2001308286A (ja) * 2000-04-24 2001-11-02 Nec Corp 半導体装置及びその駆動方法
US20030042534A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Scalable flash/NV structures and devices with extended endurance
JP2003068893A (ja) * 2001-08-28 2003-03-07 Hitachi Ltd 不揮発性記憶素子及び半導体集積回路
JP2003197785A (ja) * 2001-11-27 2003-07-11 Infineon Technologies Ag フローティングゲート電界効果トランジスタ
US20030151948A1 (en) * 2002-02-12 2003-08-14 Micron Technology, Inc. Asymmetric band-gap engineered nonvolatile memory device
JP2004006549A (ja) * 2002-06-03 2004-01-08 Mitsubishi Electric Corp 不揮発性半導体記憶装置における情報の消去方法
JP2004047606A (ja) * 2002-07-10 2004-02-12 Sony Corp 不揮発性半導体記憶装置及び不揮発性半導体記憶装置の製造方法
JP2004259986A (ja) * 2003-02-26 2004-09-16 Sharp Corp メモリ膜およびメモリ素子
JP2004336044A (ja) * 2003-04-30 2004-11-25 Samsung Electronics Co Ltd ゲート積層物にoha膜を備える不揮発性半導体メモリ装置及びその製造方法
US20050023603A1 (en) * 2001-08-30 2005-02-03 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
US20050093054A1 (en) * 2003-11-05 2005-05-05 Jung Jin H. Non-volatile memory devices and methods of fabricating the same
JP2008521249A (ja) * 2004-11-23 2008-06-19 マイクロン テクノロジー, インク. スケーラブル集積論理および不揮発性メモリ

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5642375A (en) * 1979-08-31 1981-04-20 Fujitsu Ltd Semiconductor nonvolatile memory
US6122191A (en) * 1996-05-01 2000-09-19 Cypress Semiconductor Corporation Semiconductor non-volatile device including embedded non-volatile elements
US6054734A (en) * 1996-07-26 2000-04-25 Sony Corporation Non-volatile memory cell having dual gate electrodes
ATE524833T1 (de) * 2001-04-27 2011-09-15 Imec Isolierende sperrschicht
JP4059473B2 (ja) * 2001-08-09 2008-03-12 株式会社ルネサステクノロジ メモリカード及びメモリコントローラ
US6586797B2 (en) * 2001-08-30 2003-07-01 Micron Technology, Inc. Graded composition gate insulators to reduce tunneling barriers in flash memory devices
US7005697B2 (en) * 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
US7127598B2 (en) * 2002-12-19 2006-10-24 Kabushiki Kaisha Toshiba Semiconductor device comprising transition detecting circuit and method of activating the same
KR100763897B1 (ko) * 2002-12-23 2007-10-05 삼성전자주식회사 나노도트를 가지는 메모리 제조방법
JP4489359B2 (ja) * 2003-01-31 2010-06-23 株式会社ルネサステクノロジ 不揮発性半導体記憶装置
EP1487013A3 (en) * 2003-06-10 2006-07-19 Samsung Electronics Co., Ltd. SONOS memory device and method of manufacturing the same
US20050167734A1 (en) * 2004-01-20 2005-08-04 The Regents Of The University Of California Flash memory devices using large electron affinity material for charge trapping
US7209390B2 (en) * 2004-04-26 2007-04-24 Macronix International Co., Ltd. Operation scheme for spectrum shift in charge trapping non-volatile memory
US7158420B2 (en) * 2005-04-29 2007-01-02 Macronix International Co., Ltd. Inversion bit line, charge trapping non-volatile memory and method of operating same
US7279740B2 (en) * 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7636257B2 (en) * 2005-06-10 2009-12-22 Macronix International Co., Ltd. Methods of operating p-channel non-volatile memory devices
US7402850B2 (en) * 2005-06-21 2008-07-22 Micron Technology, Inc. Back-side trapped non-volatile memory device
US7829938B2 (en) * 2005-07-14 2010-11-09 Micron Technology, Inc. High density NAND non-volatile memory device

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55111175A (en) * 1979-02-15 1980-08-27 Ibm Device for collecting charge
JPH08508851A (ja) * 1992-12-11 1996-09-17 インテル・コーポレーション 複合ゲート電極を有するmosトランジスタ及びその製造方法
JPH07135263A (ja) * 1993-06-29 1995-05-23 Sony Corp 半導体メモリ装置
JPH0758225A (ja) * 1993-08-10 1995-03-03 Oki Electric Ind Co Ltd 不揮発性半導体記憶装置及びその形成方法
JPH11126902A (ja) * 1997-08-04 1999-05-11 Motorola Inc 高誘電率金属酸化物を形成するための方法
JP2001223282A (ja) * 1999-12-30 2001-08-17 Hynix Semiconductor Inc 不揮発性メモリ素子及びその製造方法
JP2001308286A (ja) * 2000-04-24 2001-11-02 Nec Corp 半導体装置及びその駆動方法
JP2003068893A (ja) * 2001-08-28 2003-03-07 Hitachi Ltd 不揮発性記憶素子及び半導体集積回路
US20030042534A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Scalable flash/NV structures and devices with extended endurance
US20050023603A1 (en) * 2001-08-30 2005-02-03 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators
JP2003197785A (ja) * 2001-11-27 2003-07-11 Infineon Technologies Ag フローティングゲート電界効果トランジスタ
US20030151948A1 (en) * 2002-02-12 2003-08-14 Micron Technology, Inc. Asymmetric band-gap engineered nonvolatile memory device
JP2004006549A (ja) * 2002-06-03 2004-01-08 Mitsubishi Electric Corp 不揮発性半導体記憶装置における情報の消去方法
JP2004047606A (ja) * 2002-07-10 2004-02-12 Sony Corp 不揮発性半導体記憶装置及び不揮発性半導体記憶装置の製造方法
JP2004259986A (ja) * 2003-02-26 2004-09-16 Sharp Corp メモリ膜およびメモリ素子
JP2004336044A (ja) * 2003-04-30 2004-11-25 Samsung Electronics Co Ltd ゲート積層物にoha膜を備える不揮発性半導体メモリ装置及びその製造方法
US20050093054A1 (en) * 2003-11-05 2005-05-05 Jung Jin H. Non-volatile memory devices and methods of fabricating the same
JP2008521249A (ja) * 2004-11-23 2008-06-19 マイクロン テクノロジー, インク. スケーラブル集積論理および不揮発性メモリ

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007184380A (ja) * 2006-01-05 2007-07-19 Micronics Internatl Co Ltd 不揮発性メモリセル、これを有するメモリアレイ、並びに、セル及びアレイの操作方法
JP2013008995A (ja) * 2006-12-04 2013-01-10 Snu R & Db Foundation 固集積フラッシュメモリーセルストリング、セル素子、及びこの製造方法
US8737138B2 (en) 2010-11-18 2014-05-27 Micron Technology, Inc. Memory instruction including parameter to affect operating condition of memory
US8824213B2 (en) 2010-11-18 2014-09-02 Micron Technology, Inc. Method of using memory instruction including parameter to affect operating condition of memory
US9076524B2 (en) 2010-11-18 2015-07-07 Micron Technology, Inc. Method of accessing a memory device
US9437254B2 (en) 2010-11-18 2016-09-06 Micron Technology, Inc. Method of dynamically selecting memory cell capacity
US9478670B2 (en) 2012-09-05 2016-10-25 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device

Also Published As

Publication number Publication date
KR20080014873A (ko) 2008-02-14
TW200644172A (en) 2006-12-16
US20060261401A1 (en) 2006-11-23
EP1886356A1 (en) 2008-02-13
CN101223646B (zh) 2012-02-15
WO2006125051A1 (en) 2006-11-23
KR100978435B1 (ko) 2010-08-30
US8129243B2 (en) 2012-03-06
US7612403B2 (en) 2009-11-03
US20090035904A1 (en) 2009-02-05
US20120164804A1 (en) 2012-06-28
US8802526B2 (en) 2014-08-12
CN101223646A (zh) 2008-07-16
TWI305947B (en) 2009-02-01

Similar Documents

Publication Publication Date Title
US7612403B2 (en) Low power non-volatile memory and gate stack
US7851827B2 (en) Back-side trapped non-volatile memory device
US7749848B2 (en) Band-engineered multi-gated non-volatile memory device with enhanced attributes
JP5246549B2 (ja) 高密度nand不揮発性メモリデバイス
US7629641B2 (en) Band engineered nano-crystal non-volatile memory device utilizing enhanced gate injection
US8242554B2 (en) Integrated two device non-volatile memory
US7429767B2 (en) High performance multi-level non-volatile memory device
US20060267072A1 (en) Scalable high density non-volatile memory cells in a contactless memory array

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120229

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120229

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120330

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120423

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130301

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130301

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130416