JPH08508851A - 複合ゲート電極を有するmosトランジスタ及びその製造方法 - Google Patents

複合ゲート電極を有するmosトランジスタ及びその製造方法

Info

Publication number
JPH08508851A
JPH08508851A JP6514179A JP51417994A JPH08508851A JP H08508851 A JPH08508851 A JP H08508851A JP 6514179 A JP6514179 A JP 6514179A JP 51417994 A JP51417994 A JP 51417994A JP H08508851 A JPH08508851 A JP H08508851A
Authority
JP
Japan
Prior art keywords
gate electrode
conductive layer
layer
oxide semiconductor
metal oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6514179A
Other languages
English (en)
Other versions
JP3488236B2 (ja
Inventor
チャウ,ロバート・エス
フレーザー,デビッド・ビイ
カディーン,ケネス・シイ
ラガーヴァン,ゴパル
ヤウ,レオポルド・ディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JPH08508851A publication Critical patent/JPH08508851A/ja
Application granted granted Critical
Publication of JP3488236B2 publication Critical patent/JP3488236B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 標準的なCMOS製造プロセスが適用可能な複合ゲート電極を有する新規な高信頼度、高性能MOSトランジスタ(300)。複合ゲート電極は、高導電性の導電層(304)上に形成されたポリシリコン層(302)よりなる。複合ゲート電極は、シリコン・基板(308)上に形成されたゲート絶縁層(301)上に形成される。基板中には、複合ゲート電極の外縁に位置を自己整合させて一対のソース/ドレイン領域(310a,310b)が形成される。

Description

【発明の詳細な説明】 複合ゲート電極を有するMOSトランジスタ及びその製造方法発明の背景 1.発明の分野 本発明は、半導体素子の分野に関し、より詳しくは、標準的なMOS処理技術 が適用可能な複合ゲート電極を有するMOSFETに関する。 2.関連技術の説明 トランジスタは、この技術分野においては良く知られている。トランジスタは 、あらゆる集積回路の構成単位である。最新の集積回路は、文字通り何100万 個ものトランジスタをひとまとめに相互接続して、多種多様な機能を遂行する。 個々の集積回路の性能と信頼性は、それを構成するトランジスタの性能と信頼性 に直接関係する。従って、将来より優れた性能を発揮する集積回路を得るために は、それらのトランジスタの電気特性を改善する必要がある。 図1は、周知の軽ドープドレイン型(LDD;lightly doped drain)金属酸化物半導体(MOS)トランジスタ100の横断面図を示す 。トランジスタ100は、標準的なポリシリコンゲート電極110を有する。図 示のトランジスタ100は、ポリシリコンゲート電極110を有することによっ て、これまで非常に普及し、広範に利用されているトランジスタである。ポリシ リコンゲート電極の長所は、周知の非常に製造が容易な標準的CMOSプロセス によってトランジスタを製造することができることである。例えば、ポリシリコ ン・ゲートは、トランジスタのチャンネル領域をソース/ドレイン・インプラン ト(注入不純物)に対して十分にマスクすることができるので、自己整合ソース /ドレイン・プロセスの利用が可能である。自己整合プロセスは、マスク位置合 わせ許容差にかかわらず、常にトランジスタのソース及びドレインをトランジス タのゲートと完全に整合させることができる。ポリシリコン・ゲート100のも う一つの長所は、自己整合シリサイド・プロセス(self−aligned silicide process)を用いて、トランジスタのソース、ドレイ ン 及びゲート上にシリサイド112を形成することができることである。図示のト ランジスタ100は、金属シリサイド・プロセスで必要な未反応金属を除去する ために用いられるエッチング剤にポリシリコン・ゲート110が侵されにくいの で、サリサイド・プロセスに適合する。 しかしながら、不都合なことには、標準的なポリシリコンゲート・トランジス タ100は、ポリシリコン空乏層効果のために性能が劣る。ポリシリコン空乏層 効果があると、ゲート酸化物の両端間に印加されるゲート電圧が小さくなる。こ れは、トランジスタの駆動電流の低下につながる。動作速度は駆動電流に正比例 するから、標準的なポリシリコンゲート・トランジスタの速度性能は劣ることに なる。つまり、標準的なポリシリコンゲート・トランジスタ100は、ポリシリ コン空乏層効果のために速度性能が劣る。 図2は、もう一つの周知のトランジスタ200の横断面図である。図示トラン ジスタ200は、金属ゲート電極210を有する。金属ゲート電極は、電気特性 がドープされたポリシリコンゲート電極より優れているので、有利である。しか しながら、残念なことには、この種の金属ゲートは、現在用いられているCMO S処理技術とは相容れない。例えば、金属ゲートは、インプラント時にチャンネ ル領域を不純物ドーピングに対して十分にマスクすることができないので、自己 整合ソース/ドレイン・インプラントは、金属ゲート素子で使用することはでき ない。さらに、金属ゲート電極は、シリサイドプロセスで未反応金属を除去する のに必要なエッチング剤に侵されるので、自己整合シリサイド・プロセスを適用 することができない。 従って、ポリシリコン空乏層効果を示さず、しかも標準的なCMOS製造プロ セスが適用可能な高性能半導体トランジスタが要望されている。発明の概要 本願発明は、ポリシリコン空乏層効果に影響されない複合ゲート電極を有する とともに、標準的なCMOS処理技術を適用することができる新規な高性能、高 信頼度のLDD MOSFETトランジスタにある。このLDD MOSFET は、導電層上に形成されたポリシリコン層よりなる複合ゲートを有する。導電層 は、TiN、W、Mo、多結晶質炭素、あるいは適切な仕事関数を有する任意の金属 シリサイドで形成することができる。複合ゲート極のポリシリコン層と導電層は 、正確に同じ長さと幅を有する。複合ゲートは、第1の導電型の基板上に形成さ れ、これらの間にはゲート絶縁層が形成される。基板中には、第2の導電型の一 対の軽ドープドレイン領域が複合ゲート電極の横方向の両側壁と位置を合わせて 形成される。複合ゲートの横方向の両側面の近傍には、一対の窒化ケイ素あるい は酸化ケイ素のスペーサが形成される。これらのスペーサ及びポリシリコン層は 、複合ゲート電極の導電層を完全に取り囲む。基板中には、第2の導電型の第2 の濃度の一対のソース/ドレイン領域が、窒化ケイ素スペーサの外縁と位置を合 わせて形成される。ソース/ドレイン領域上及び複合ゲートのポリシリコン層上 には、シリサイドが形成される。 本発明の目的は、標準的なCMOSプロセスによって製造することができる高 性能、高信頼度のMOSトランジスタを提供することにある。 本発明のもう一つの目的は、ポリシリコン空乏層効果を呈しないMOSトラン ジスタを提供することにある。 本発明のさらにもう一つの目的は、標準的な自己整合ソース/ドレイン・イン プラント及び標準的なサリサイド・プロセスを適用することが可能な高性能トラ ンジスタを提供することにある。 本発明のさらにもう一つの目的は、高温及び高バイアス・ストレス条件下でも 非常に安定なMOSトランジスタを提供することにある。図面の簡単な説明 図1は、標準的なポリシリコンゲート電極を持つLDD MOSトランジスタ の断面図である。 図2は、金属ゲート電極を有するMOSトランジスタの断面図である。 図3は、複合ゲート電極を有するLDD MOSFETの断面図である。 図4aは、酸化物層、導電層、ポリシリコン層、及びフォトレジスト層が形成 されたシリコン・基板の断面図である。 図4bは、図4aの基板上における複合ゲート電極の形成を示す断面図である 。 図4cは、図4bの基板における一対のLDD領域の形成を示す断面図である 。 図4dは、図4cの基板上における共形の窒化ケイ素層または酸化ケイ素層の 形成を示す断面図である。 図4eは、図4dの基板中の一対のスペーサの形成、及び一対のソース/ドレ イン領域の形成を示す断面図である。 図4fは、図4eの基板上における金属層の形成を示す断面図である。 図4gは、図4fの基板のソース/ドレイン領域上、及ポリシリコン層上のシ リサイド領域の形成を示す断面図である。本発明の詳細な説明 本発明は、標準的なCMOS処理技術が適用可能な複合ゲート電極を有する新 規な高性能、高信頼度の金属酸化物半導体(MOS)トランジスタを提供するも ものである。以下の説明においては、本発明の完全な理解を図るため、ドーピン グ量、ドーピングの種類、製造方法等のような特定の詳細事項を多数記載する。 しかしながら、本発明がこれらの特定の詳細事項の記載なしで実施可能なことは 、当業者には明白であろう。それ以外の場合については、本発明を不必要に不明 瞭にすることがないよう、周知の金属酸化物半導体トランジスタの概念及び製造 技術についての詳細な説明は省略した。 本発明の複合ゲート電極を有する新規なMOSトランジスタの実施例を図3を 示す。この複合ゲート電極は、高性能の軽ドープドレイン型(LDD)金属酸化 物半導体(MOS)トランジスタ300を形成するために用いられる。この新規 な複合ゲート電極は、これにより形成されるトランジスタの電気的性能と信頼度 を著しく改善する。さらに、この新規なゲート電極を形成する方法は、現在の周 知のCMOS処理技術が全面的に適用可能である。 複合ゲート電極は、非常に高導電性の薄い導電層304上にポリシリコン沿う 302が形成される。トランジスタ300のゲートの接触抵抗を小さくするため に、ポリシリコン層302の上面にシリサイド306の層を形成することも可能 である。一実施例においては、N形チャンネル・トランジスタ300は、P形に ドーピングされたシリコン基板308に形成される。酸化物層301は、トラン ジスタ300のゲート絶縁体として機能する。N導電型の軽ドープドレイン型( LDD)領域310a及び310bは、複合ゲート電極の外縁と位置を合わせて 形成される。複合ゲート電極の外縁の近傍には、スペーサ312a及び312b が形成される。ソース/ドレイン領域314a及び314bは、スペーサ312 a及び312bの外縁と位置を合わせて形成される。ソース/ドレイン領域31 4a及び314bの上には、シリサイド316a及び316bを形成することも できる。 薄い導電層304は、普通のポリシリコン・ゲートを有するトランジスタに比 べて、トランジスタ300の電気特性を著しく改善する。導電層304は、標準 的なポリシリコンゲート素子に付随するポリシリコン空乏層効果を完全に取り除 く。多空乏層効果を除去することによって、トランジスタ300の駆動能力が標 準的なポリシリコンゲート素子に対して10%〜20%増加する。駆動能力はデ バイスの速度に正比例するから、トランジスタ300の速度性能は標準的なポリ シリコンゲート素子に比べて改善される。 さらに、トランジスタ300は、その複合ゲートによって、その他の性能につ いても標準的なポリシリコンゲート・トランジスタと比べて改善されている。ト ランジスタ300のゲート酸化物トンネル電流は、同じ電界をかけた場合、標準 的なポリシリコンゲート素子より少なくとも1のオーダー小さい。また、トラン ジスタ300のゲート酸化物のブレークダウン電界は、標準的なポリシリコンゲ ート素子に比べて約10%高い。さらに、トランジスタ300は、バイアス/温 度ストレス処理後、最小の電荷トラップを呈する。その上、ゲート酸化物301 と導電層308との間の相互作用がほんの僅かしかないということも注目に値す る。これらの特性があるため、トランジスタ300は、非常に安定で、信頼度が 高く、優れた性能を発揮するトランジスタとなる。 この実施例のプロセスによる本発明のMOS半導体トランジスタの製造には、 図4に示すP形シリコン基板500が用いられる。P基板500には、約1013 cm-2ドーズのホウ素原子をドーピングする。次に、基板500上に20〜20 0Åの酸化物層502を成長させる。酸化物層502は、トランジスタのゲート 絶縁体を形成する。酸化物層502は、拡散炉内において、91%O2、TCA 9%の雰囲気及び温度約920℃で成長させることができる。 次に、酸化物層502上に20〜2000Åの導電層504が形成される。導 電層504には種々の材料を使用することができる。この導電層用として選択さ れる材料は、高温処理(すなわち850℃以上)に耐えられるものでなければな らない。また、高い導電性を有する(すなわち、n+形ポリシリコンより高い導 電性を有する)ものでなければならない。導電層の材料は、シリコンのミッドギ ャップに近い仕事関数を有することが好ましく、また理想的には、CMOS用シ リコンのミッドギャップの仕事関数を有することが望ましい。その上、この材料 は、ウェーハの全面にわたって一様に、かつウェーハ間でばらつきを生じないよ う安定性をもって形成することができなけらばならい。次の材料は、導電層50 4に要求される物理的条件及び電気的条件を満たすことが確認されている:窒化 チタン(TiN)、タングステン(W)、多結晶質炭素(C)及び適切な仕事関数 を有するほとんど全ての金属シリサイド。本発明の図示実施例では、約500Å の窒化チタン導電層504を利用する。本発明を単にNMOSまたはPMOSト ランジスタの性能を最適化するためにのみ利用するのであれば、ミッドギャップ の仕事関数は必ずしも必要ではなく、高温に耐えることができる多くの金属で十 分間に合う。 窒化チタンを使用する場合は、導電層は反応性スパッタ・プロセスで形成され る。窒化チタンは、窒素とアルゴンの雰囲気中でチタン・ターゲットからチタン をスパッタすることによって形成される。雰囲気のN2の割合は100%〜30 %で、残分はアルゴンとする。この実施例においては、70%N2−30%アル ゴンの雰囲気中で窒素成分の窒化チタン層を形成する。窒化チタンは、圧力約4 ミリトール、出力約3キロワットのDCマグネトロン蒸着チェンバでスパッタさ れる。タングステンを使用する場合は、圧力約30〜50ミリトール、出力約1 .5〜2キロワットで、室温のアルゴン雰囲気中においてタングステン・ターゲ ットからスパッタすることができる。多結晶質炭素を用いる場合は、5%CH4 、<0.1%BCL3、残分アルゴンのダウンストリームRF(高周波)プラズ マ反応器内でCVD蒸着法よって形成することができる。多結晶質炭素は、温度 約750℃、圧力で約1.5トールで形成される。 導電層504の形成後は、多結晶シリコン(ポリシリコン)層506が形成さ れる。ポリシリコン層506は、圧力130〜190ミリトール、温度約620 ℃のSiH4中でLPCVD法により形成される。本発明の図示実施例において は、ポリシリコン層506は、約3500Åの厚さに形成される。次に、ポリシ リコン層506の全面にわたってフォトレジスト層508が形成される。 次に、図4bに示すように、ポリシリコン層506及び導電層504からゲー ト電極513が形成される。それには、まず、周知の技術によってフォトレジス ト層508をマスクし、露光し、現像して、ゲート電極513を形成しようとす る位置511を決める。次に、ポリシリコン層を反応性イオンエッチング装置中 でHBr、Cl2、Heの化学作用により異方性エッチングして、複合ゲート電 極513の上部512を形成する。導電層504として窒化チタンを用いる場合 は、装置内でそのままポリシリコン層と同じ化学作用を用いてポリシリコン層と 共にエッチングすることにより、下部ゲート電極510を形成する。エッチング ・ステップが1回だけで複合ゲート電極513を形成することができるので、導 電層504としては、窒化チタンを用いることが好ましく、またほとんどの金属 シリサイドも好適である。ゲート電極は、酸化物層502に達するまで、装置内 でそのままエッチングされる。(露出した酸化物層502の一部がエッチングに より除去されるということは理解できよう。)ゲート電極のエッチングの終了は 、エッチング中に排出される残留気体を分析することによって終点を検出するこ とができる。 導電層504としてタングステンを用いる場合は、2ステップ・プロセスによ りプラズマ・エッチングする(ポリシリコンのエッチング後)。タングステンは 、まずNF3及びアルゴン中でエッチングし、次にSF6/Cl2/He中でプ ラズマ・エッチングを行う。多結晶質炭素を用いる場合は、やはりプラズマ・エ ッチングを行う(ポリシリコンのエッチング後)。複合ゲート電極のパターニン グ後においては、導電層510とポリシリコン層512が全く同じ長さと幅を有 するということは理解できよう。複合ゲート電極が完全に形成されたならば、周 知の技術によってフォトレジスト511を除去する。 図4cに示すように、複合ゲート電極513が形成されたならば、一対のN− 形の軽ドープドレイン(LDD)領域514a及び514bが形成される。これ らのLDD領域514a及び514bは、標準的なCMOS自己整合プロセスに よって形成される。これらの軽ドープドレイン領域514a及び514bは、約 40KEVのエネルギーで約1×1014cm-2のドーズのリン原子を注入するこ とによって形成される。露出した酸化物層502は、基板500の表面がインプ ラントによって損なわれるのを防ぐ。軽ドープドレイン領域514a及び514 bは、ゲート電極513の幅に沿って延びる両側壁と位置を合わせて形成される 。ポリシリコン層512は、LDDインプラントのためのマスクとして機能する 。このように、LDD領域514a及び514bは、マスク位置合わせ許容差に かかわりなく、ゲート電極513と自己整合される。このような自己整合プロセ スは、金属ばかりで形成された全金属ゲートでは、チャンネル領域をインプラン トに対して十分にマスクすることができないので、不可能であるということは理 解できよう。また、ポリシリコン層512は、この段階でリン原子がドーピング されるということも理解できよう。 次に、図4dに示すように、全体の基板全体にわたって約2500Åのほとん ど同じ形状の窒化ケイ素516が被着される。この窒化ケイ素層516は、ホッ ト・ウォール反応室内において約800℃でSiH2Cl2及びNH3を用いた LPCVD法によって形成される。このようなプロセスでは、ほとんど同じ形状 の窒化ケイ素層を形成される。窒化ケイ素層516に良好な出発表面を与えるた めに、窒化ケイ素層に先立って、非常に薄い(約200Å)の酸化物層を成長さ せてもよい。窒化ケイ素層が好ましくはあるが、窒化ケイ素層516に替えてC VD酸化物層を用いることができるということは理解できよう。 次に、図4eに示すように、窒化ケイ素層516を異方性エッチングすること により、ゲート電極513の両側に側壁スペーサ518a及び518bを形成す る。ウェーハ全面にわたって一様で正確にコントロールされた厚さを有するスペ ーサを形成するためには、同じ形状の層516が必要である。窒化ケイ素層は、 CF3/O2によるプラズマイオン・エッチングによって異方性エッチングされ る。ここで、導電層510がスペーサ518a及び518bとポリシリコン層5 12によって完全に保護され、取り囲まれているということは注目に値する。こ のことは、層間誘電体の平坦化のような露出した金属層を激しく侵食する蒸気プ ロセスが後のプロセス・ステップで用いられるので、非常に重要である。 スペーサ518a及び518bが形成された後は、N+形のソース/ドレイン 領域520a及び520bが形成される。N+形のソース/ドレイン領域は、約 100kevのエネルギーで約6×1015cm-2のドーズのヒ素原子をイオン注 入するによって形成される。スペーサ518a及び518bとポリシリコン層5 12は、チャンネル領域がN+形にドーピングされるのを防ぐ。ソース/ドレイ ン領域520a及び520bは、側壁スペーサ518a及び518bの外縁と位 置を合わせて形成される。ポリシリコン層512は、ヒ素原子によってN+形に ドーピングされる。この場合も、酸化物層502は、ヒ素注入時にシリコン・基 板500の表面が損傷されないよう保護する。 次に、基板500は、普通の炉内で約10分間、900℃のN2雰囲気中にお いてアニール処理される。アニール処理は、LDD及びソース/ドレイン・イン プラントからのリン及びヒ素原子を活性化させる。導電層510が高温処理に耐 えることができなければならないのは、この高温アニールのためである。アニー ル処理の後は、ソース/ドレイン領域上方の露出した酸化物502をHF浸漬に よって除去する。 この段階では、ポリシリコン層512及びN+形ソース/ドレイン領域520 a、520b上にシリサイドを形成することができる。シリサイドは、製造され たトランジスタの性能を劇的に改善することができる。本発明においては、シリ サイドは周知の自己整合プロセスによって形成される。まず、図4fに示すよう に、素子構造全体にわたって、チタンまたはタングステンのような金属の薄い層 522を形成する。次に、金属層522を、加熱またはアニールして、金属が反 応性シリコンと接触している全ての部分(すなわち、ソース/ドレイン領域52 0a及び520b、及びポリシリコン層512上)にシリサイド反応を起こさせ 、それ以外の全ての部分(すなわち、スペーサ及びフィールド酸化物の上)では 、金属を未反応のままに保つ。その後、未反応金属をシリサイドを侵さないエッ チング剤を用いて選択的に除去する。このようにして、製造されたトランジスタ のゲート、ソース及びドレイン上には、図4gに示すように、シリサイド524 が 形成される。シリサイド形成時には、導電層510は、スペーサ518a及び5 18bとポリシリコン512層によって十分に封止される。 この段階で本発明の複合ゲート電極を有するLDD MOSFETが完成する ということは理解できよう。ここで、本発明のMOSFET製造を完遂するため に用いられる他の周知プロセス・ステップについて説明する。ゲートには、その 後の金属層と分離するために、層間誘電体が被着される。このプロセスは、一般 に、平坦化のために蒸気流プロセスを用いるが、導電層510が十分に保護され ていないと、これに損傷が生じ得る。次に、この素子を回路の他の素子電気的に 接続するための金属コンタクトが作り込まれる。そして、汚染や短絡から素子を 保護するために、素子上に保護ガラスまたはパッシベーションが形成される。 以上、本発明は、LDD MOSFETに関して説明したが、本発明の特徴は 、LDD領域のない普通のトランジスタにも等しく適用可能であるということは 理解できよう。また、本願ではN形チャンネル・トランジスタについて詳細に説 明したが、本発明はP形チャンネル・トランジスタとしても実施可能であるとい うことも理解できよう。P形チャンネル素子を製造するに際しては、P形チャン ネル素子のドーピングにおける導電型が単にN形チャンネル素子の場合と逆にな るだけである。素子は、P−形LDD領域及びP+形ソース/ドレイン領域がそ れぞれ形成されたN形基板またはウェル中に形成される。ゲート電極は、ポリシ リコン空乏層効果をなくすために、やはり導電層上に形成されたポリシリコン層 よりなる複合ゲートを用いることになろう。また、ソース/ドレイン整合及びシ リサイド・プロセスのためには、やはりスペーサを用いることになろう。さらに 、本発明における複合ゲート電極は、長チャンネル・トランジスタにも、サブミ クロン・チャンネル・トランジスタにも効果的に用いることができるということ も理解できよう。 以上、本願においては、複合ゲート電極を有する新規なLDD MOSトラン ジスタと、標準的なCMOSに適用可能な製造プロセスについて説明した。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FR,GB,GR,IE,IT,LU,M C,NL,PT,SE),OA(BF,BJ,CF,CG ,CI,CM,GA,GN,ML,MR,NE,SN, TD,TG),AT,AU,BB,BG,BR,BY, CA,CH,CZ,DE,DK,ES,FI,GB,H U,JP,KP,KR,KZ,LK,LU,MG,MN ,MW,NL,NO,NZ,PL,PT,RO,RU, SD,SE,SK,UA,UZ,VN (72)発明者 カディーン,ケネス・シイ アメリカ合衆国 97229 オレゴン州・ポ ートランド・ノースウエスト クリークサ イド ドライブ・12900 (72)発明者 ラガーヴァン,ゴパル アメリカ合衆国 94043 カリフォルニア 州・マウンテンビュー・イースト ストリ ート ナンバー7・221 (72)発明者 ヤウ,レオポルド・ディ アメリカ合衆国 97229 オレゴン州・ポ ートランド・ノースウエスト ブロンソン クレスト ループ・3539

Claims (1)

  1. 【特許請求の範囲】 1.第1の導電型の基板に形成された金属酸化物半導体電界効果トランジスタに おいて、 上記基板上に形成されたゲート絶縁層と、 上記ゲート絶縁層上に形成された導電層、 上記導電層上に形成されかつ上記導電層とほぼ同じ長さ及び幅を有するポ リシリコン層、 を有する複合電極と、 上記基板中に上記複合ゲート電極の外縁と位置を合わせて形成された第2の導 電型の一対のソース/ドレイン領域と、 を具備した金属酸化物半導体電界効果トランジスタ。 2.上記導電層が、TiN、W及び多結晶質炭素からなるグループより選択される 請求項1記載の金属酸化物半導体トランジスタ。 3.上記導電層が、金属シリサイドである請求項1記載の金属酸化物半導体トラ ンジスタ。 4.第1の導電型の基板上に形成された軽ドープドレイン型金属酸化物半導体ト ランジスタにおいて、 上記基板上に成されたゲート絶縁層と、 上記複合ゲート電極の幅沿いの横方向の両側壁を有する複合ゲート電極であっ て、 上記ゲート絶縁層上に形成された導電層、 上記導電層上に形成されたポリシリコン層、 を有し、上記ポリシリコン層と上記導電層がほぼ同じ長さと幅を有する複 合ゲート電極と、 上記複合ゲート電極の上記横方向の両側壁と位置を合わせて上記基板中に形成 された第1の濃度の第2の導電型の一対の軽ドープドレイン領域と、 上記複合ゲート電極の横方向の両側壁に隣接して形成された一対のスペーサと 、 上記第1の濃度より大きい第2の濃度の上記第2の導電型の一対のソース/ド レイン領域で、上記スペーサの外縁と位置を合わせて上記基板中に形成された一 対のソース/ドレイン領域と、 を具備した軽ドープドレイン型金属酸化物半導体トランジスタ。 5.上記導電層が、TiN、W及び多結晶質炭素からなるグループから選択される 請求項4記載の軽ドープドレイン型金属酸化物半導体トランジスタ。 6.上記スペーサが、窒化ケイ素である請求項4記載の軽ドープドレイン型金属 酸化物半導体トランジスタ。 7.上記ポリシリコン層が、約3500Åの厚さを有し、上記導電層が約500 Åの厚さを有する請求項4記載の軽ドープドレイン型金属酸化物半導体トランジ スタ。 8.上記第1の導電型がP形であり、上記第2の導電型がN形である請求項4記 載の軽ドープドレイン型金属酸化物半導体トランジスタ。 9.さらに上記ポリシリコン層上及び上記ソース/ドレイン領域上に形成された シリサイドを具備する請求項4記載の軽ドープドレイン型金属酸化物半導体トラ ンジスタ。 10.上記導電層が金属シリサイドである請求項4記載の軽ドープドレイン型金 属酸化物半導体トランジスタ。
JP51417994A 1992-12-11 1993-11-16 複合ゲート電極を有するmosトランジスタ Expired - Lifetime JP3488236B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US98908092A 1992-12-11 1992-12-11
US07/989,080 1992-12-11
PCT/US1993/011116 WO1994014198A1 (en) 1992-12-11 1993-11-16 A mos transistor having a composite gate electrode and method of fabrication

Publications (2)

Publication Number Publication Date
JPH08508851A true JPH08508851A (ja) 1996-09-17
JP3488236B2 JP3488236B2 (ja) 2004-01-19

Family

ID=25534730

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51417994A Expired - Lifetime JP3488236B2 (ja) 1992-12-11 1993-11-16 複合ゲート電極を有するmosトランジスタ

Country Status (8)

Country Link
US (2) US5625217A (ja)
JP (1) JP3488236B2 (ja)
KR (1) KR100327875B1 (ja)
AU (1) AU5669794A (ja)
GB (1) GB2286723B (ja)
HK (1) HK43297A (ja)
SG (1) SG43836A1 (ja)
WO (1) WO1994014198A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004503932A (ja) * 2000-06-12 2004-02-05 モトローラ・インコーポレイテッド Cmosプロセスのためのデュアルメタルゲートトランジスタ
JP2008530769A (ja) * 2005-01-13 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高い誘電率のSiO2ゲート積層体上に熱的に安定したp型金属炭化物としてTiCを製造する方法
JP2008541487A (ja) * 2005-05-17 2008-11-20 マイクロン テクノロジー, インク. 斬新な低電力不揮発性メモリおよびゲートスタック
WO2011104782A1 (ja) * 2010-02-24 2011-09-01 パナソニック株式会社 半導体装置

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1209747A3 (en) 1995-02-17 2002-07-24 Hitachi, Ltd. Semiconductor memory element
US6071825A (en) * 1995-07-19 2000-06-06 Interuniversitaire Microelektronica Centrum (Imec Vzw) Fully overlapped nitride-etch defined device and processing sequence
US5714413A (en) * 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
US5707897A (en) * 1996-05-16 1998-01-13 Taiwan Semiconductor Manufacturing Company Ltd. Non-volatile-memory cell for electrically programmable read only memory having a trench-like coupling capacitors
KR100212455B1 (ko) * 1996-11-04 1999-08-02 정선종 이중 게이트 구조의 반도체 소자 제조 방법
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US6121663A (en) * 1997-05-22 2000-09-19 Advanced Micro Devices, Inc. Local interconnects for improved alignment tolerance and size reduction
JPH1197705A (ja) * 1997-09-23 1999-04-09 Semiconductor Energy Lab Co Ltd 半導体集積回路
US5937315A (en) * 1997-11-07 1999-08-10 Advanced Micro Devices, Inc. Self-aligned silicide gate technology for advanced submicron MOS devices
TW388087B (en) * 1997-11-20 2000-04-21 Winbond Electronics Corp Method of forming buried-channel P-type metal oxide semiconductor
US6008080A (en) * 1997-11-21 1999-12-28 United Microelectronics Corp. Method of making a low power SRAM
US6140691A (en) * 1997-12-19 2000-10-31 Advanced Micro Devices, Inc. Trench isolation structure having a low K dielectric material isolated from a silicon-based substrate
US5882983A (en) * 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
TW387151B (en) * 1998-02-07 2000-04-11 United Microelectronics Corp Field effect transistor structure of integrated circuit and the manufacturing method thereof
US6153477A (en) * 1998-04-14 2000-11-28 Advanced Micro Devices, Inc. Ultra short transistor channel length formed using a gate dielectric having a relatively high dielectric constant
US6352913B1 (en) 1998-04-28 2002-03-05 Compaq Computer Corporation Damascene process for MOSFET fabrication
US6060406A (en) * 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
JPH11354652A (ja) * 1998-06-09 1999-12-24 Mitsubishi Electric Corp 半導体装置
US6114228A (en) * 1998-07-21 2000-09-05 Advanced Micro Devices, Inc. Method of making a semiconductor device with a composite gate dielectric layer and gate barrier layer
US6100185A (en) * 1998-08-14 2000-08-08 Micron Technology, Inc. Semiconductor processing method of forming a high purity <200> grain orientation tin layer and semiconductor processing method of forming a conductive interconnect line
US6136656A (en) * 1998-10-22 2000-10-24 International Business Machines Corporation Method to create a depleted poly MOSFET
US6077337A (en) 1998-12-01 2000-06-20 Intel Corporation Chemical-mechanical polishing slurry
KR100345364B1 (ko) * 1998-12-28 2002-09-18 주식회사 하이닉스반도체 반도체 소자의 게이트전극 형성방법
US6211000B1 (en) * 1999-01-04 2001-04-03 Advanced Micro Devices Method of making high performance mosfets having high conductivity gate conductors
US20040175901A1 (en) * 1999-02-10 2004-09-09 Commissariat A L'energie Atomique Method for forming an optical silicon layer on a support and use of said method in the production of optical components
US6365507B1 (en) 1999-03-01 2002-04-02 Micron Technology, Inc. Method of forming integrated circuitry
US6524951B2 (en) * 1999-03-01 2003-02-25 Micron Technology, Inc. Method of forming a silicide interconnect over a silicon comprising substrate and method of forming a stack of refractory metal nitride over refractory metal silicide over silicon
US6787805B1 (en) 1999-06-23 2004-09-07 Seiko Epson Corporation Semiconductor device and manufacturing method
JP3516616B2 (ja) * 1999-08-03 2004-04-05 シャープ株式会社 半導体装置の製造方法及び半導体装置
US6287923B1 (en) * 1999-10-08 2001-09-11 Tzung-Han Lee Method of forming a MOS transistor
JP2001298193A (ja) 2000-04-13 2001-10-26 Seiko Epson Corp 半導体装置およびその製造方法
JP2001298192A (ja) * 2000-04-13 2001-10-26 Seiko Epson Corp 半導体装置およびその製造方法
US6475841B1 (en) 2000-06-02 2002-11-05 Motorola, Inc. Transistor with shaped gate electrode and method therefor
US6423600B1 (en) * 2000-09-08 2002-07-23 Promos Technologies, Inc. Method for forming uniform oxide thickness
US6376342B1 (en) * 2000-09-27 2002-04-23 Vanguard International Semiconductor Corporation Method of forming a metal silicide layer on a source/drain region of a MOSFET device
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6888198B1 (en) * 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6818493B2 (en) * 2001-07-26 2004-11-16 Motorola, Inc. Selective metal oxide removal performed in a reaction chamber in the absence of RF activation
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6617209B1 (en) 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
US6617210B1 (en) 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6787440B2 (en) 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6709911B1 (en) 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6716707B1 (en) 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6890807B2 (en) * 2003-05-06 2005-05-10 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US6806146B1 (en) 2003-05-20 2004-10-19 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7037845B2 (en) * 2003-08-28 2006-05-02 Intel Corporation Selective etch process for making a semiconductor device having a high-k gate dielectric
US6939815B2 (en) * 2003-08-28 2005-09-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6936508B2 (en) * 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US7129182B2 (en) * 2003-11-06 2006-10-31 Intel Corporation Method for etching a thin metal layer
US6974764B2 (en) * 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7160767B2 (en) * 2003-12-18 2007-01-09 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US20050164460A1 (en) * 2004-01-23 2005-07-28 Agency For Science, Technology And Research Salicide process for metal gate CMOS devices
US7196375B2 (en) * 2004-03-16 2007-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. High-voltage MOS transistor
DE102004049452A1 (de) * 2004-10-11 2006-04-20 Infineon Technologies Ag Mikroelektronisches Halbleiterbauelement und Verfahren zum Herstellen eines mikroelektronischen Halbleiterbauelements
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
CN100355060C (zh) * 2004-10-28 2007-12-12 茂德科技股份有限公司 非挥发性存储器的制造方法
US7208793B2 (en) * 2004-11-23 2007-04-24 Micron Technology, Inc. Scalable integrated logic and non-volatile memory
US7323403B2 (en) * 2004-11-29 2008-01-29 Texas Instruments Incroporated Multi-step process for patterning a metal gate electrode
JP4971593B2 (ja) * 2005-01-11 2012-07-11 ラピスセミコンダクタ株式会社 半導体装置の製造方法
JP2006228910A (ja) * 2005-02-16 2006-08-31 Matsushita Electric Ind Co Ltd 半導体装置
US8338887B2 (en) * 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US7288828B2 (en) * 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
US8053849B2 (en) 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
KR101427142B1 (ko) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US7842977B2 (en) * 2007-02-15 2010-11-30 Qimonda Ag Gate electrode structure, MOS field effect transistors and methods of manufacturing the same
KR100896862B1 (ko) * 2007-05-18 2009-05-12 주식회사 동부하이텍 반도체 소자의 제조방법
US8183628B2 (en) 2007-10-29 2012-05-22 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US8188537B2 (en) 2008-01-29 2012-05-29 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
JP5317343B2 (ja) 2009-04-28 2013-10-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US8598650B2 (en) 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8524588B2 (en) 2008-08-18 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N work function and P work function in a high-k/metal gate process
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8124515B2 (en) * 2009-05-20 2012-02-28 Globalfoundries Inc. Gate etch optimization through silicon dopant profile change
JP5356970B2 (ja) 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
KR20110042614A (ko) 2009-10-19 2011-04-27 삼성전자주식회사 반도체 소자 및 그 형성방법
US8440998B2 (en) 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
WO2011111662A1 (ja) 2010-03-08 2011-09-15 日本ユニサンティスエレクトロニクス株式会社 固体撮像装置
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
JP5066590B2 (ja) 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置とその製造方法
JP5087655B2 (ja) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
KR101919626B1 (ko) * 2013-10-28 2018-11-19 매그나칩 반도체 유한회사 반도체 소자
FR3020897A1 (fr) * 2014-05-09 2015-11-13 Commissariat Energie Atomique Transistor mos a tension de seuil ajustable
US10756208B2 (en) 2014-11-25 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated chip and method of forming the same
US9590053B2 (en) * 2014-11-25 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methodology and structure for field plate design
US11164970B2 (en) 2014-11-25 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact field plate
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576478A (en) * 1969-07-22 1971-04-27 Philco Ford Corp Igfet comprising n-type silicon substrate, silicon oxide gate insulator and p-type polycrystalline silicon gate electrode
WO1981002222A1 (en) * 1980-01-21 1981-08-06 Mostek Corp Composit gate interconnect structure
US4392150A (en) * 1980-10-27 1983-07-05 National Semiconductor Corporation MOS Integrated circuit having refractory metal or metal silicide interconnect layer
US4816425A (en) * 1981-11-19 1989-03-28 Texas Instruments Incorporated Polycide process for integrated circuits
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
JPH0695563B2 (ja) * 1985-02-01 1994-11-24 株式会社日立製作所 半導体装置
JPS6342173A (ja) * 1986-08-07 1988-02-23 Fujitsu Ltd 絶縁ゲ−ト型半導体装置の製造方法
JPH0778373B2 (ja) * 1986-10-13 1995-08-23 トヨタ自動車株式会社 内燃機関の空燃比制御装置
US5171702A (en) * 1989-07-21 1992-12-15 Texas Instruments Incorporated Method for forming a thick base oxide in a BiCMOS process
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US5167760A (en) * 1989-11-14 1992-12-01 Intel Corporation Etchback process for tungsten contact/via filling
US5189504A (en) * 1989-12-11 1993-02-23 Nippon Telegraph And Telephone Corporation Semiconductor device of MOS structure having p-type gate electrode
JPH04125924A (ja) * 1990-09-17 1992-04-27 Mitsubishi Electric Corp プラズマエッチング方法
US5097301A (en) * 1990-12-19 1992-03-17 Intel Corporation Composite inverse T-gate metal oxide semiconductor device and method of fabrication

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004503932A (ja) * 2000-06-12 2004-02-05 モトローラ・インコーポレイテッド Cmosプロセスのためのデュアルメタルゲートトランジスタ
JP2008530769A (ja) * 2005-01-13 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高い誘電率のSiO2ゲート積層体上に熱的に安定したp型金属炭化物としてTiCを製造する方法
JP2008541487A (ja) * 2005-05-17 2008-11-20 マイクロン テクノロジー, インク. 斬新な低電力不揮発性メモリおよびゲートスタック
WO2011104782A1 (ja) * 2010-02-24 2011-09-01 パナソニック株式会社 半導体装置
JP2011176104A (ja) * 2010-02-24 2011-09-08 Panasonic Corp 半導体装置
US8994125B2 (en) 2010-02-24 2015-03-31 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device including a field effect transistor

Also Published As

Publication number Publication date
US5625217A (en) 1997-04-29
JP3488236B2 (ja) 2004-01-19
AU5669794A (en) 1994-07-04
GB2286723A (en) 1995-08-23
KR100327875B1 (ko) 2002-09-05
GB2286723B (en) 1997-01-08
HK43297A (en) 1997-04-18
GB9507917D0 (en) 1995-06-21
US5783478A (en) 1998-07-21
SG43836A1 (en) 1997-11-14
WO1994014198A1 (en) 1994-06-23

Similar Documents

Publication Publication Date Title
JP3488236B2 (ja) 複合ゲート電極を有するmosトランジスタ
US6897534B2 (en) Semiconductor device having gate electrode of stacked structure including polysilicon layer and metal layer and method of manufacturing the same
US6573169B2 (en) Highly conductive composite polysilicon gate for CMOS integrated circuits
US7042033B2 (en) ULSI MOS with high dielectric constant gate insulator
US6171911B1 (en) Method for forming dual gate oxides on integrated circuits with advanced logic devices
US5552340A (en) Nitridation of titanium, for use with tungsten filled contact holes
JP2000101069A (ja) 半導体素子及びその製造方法
JPS63313870A (ja) 自己整列電界効果トランジスタおよびその製造方法
US6200840B1 (en) Method for producing PMOS devices
US6417056B1 (en) Method to form low-overlap-capacitance transistors by forming microtrench at the gate edge
KR20050094474A (ko) 반도체 소자 제조 방법
US6855592B2 (en) Method for manufacturing semiconductor device
US6586333B1 (en) Integrated plasma treatment and nickel deposition and tool for performing same
US6162694A (en) Method of forming a metal gate electrode using replaced polysilicon structure
US6087238A (en) Semiconductor device having reduced-width polysilicon gate and non-oxidizing barrier layer and method of manufacture thereof
US6720225B1 (en) Reactive pre-clean using reducing gas during nickel silicide process
US6780700B2 (en) Method of fabricating deep sub-micron CMOS source/drain with MDD and selective CVD silicide
US6703282B1 (en) Method of reducing NMOS device current degradation via formation of an HTO layer as an underlying component of a nitride-oxide sidewall spacer
JP3061027B2 (ja) 半導体装置の製造方法
JPH08255903A (ja) 半導体装置及びその製造方法
US6674135B1 (en) Semiconductor structure having elevated salicided source/drain regions and metal gate electrode on nitride/oxide dielectric
US6063692A (en) Oxidation barrier composed of a silicide alloy for a thin film and method of construction
JPH07201777A (ja) 半導体装置の製造方法
JP2950244B2 (ja) 半導体装置の製造方法
JP4152265B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081031

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091031

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091031

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101031

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101031

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131031

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term