JP2000506315A - メモリセル装置及びその製造方法 - Google Patents

メモリセル装置及びその製造方法

Info

Publication number
JP2000506315A
JP2000506315A JP9532167A JP53216797A JP2000506315A JP 2000506315 A JP2000506315 A JP 2000506315A JP 9532167 A JP9532167 A JP 9532167A JP 53216797 A JP53216797 A JP 53216797A JP 2000506315 A JP2000506315 A JP 2000506315A
Authority
JP
Japan
Prior art keywords
trench
doped
memory cell
strip
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP9532167A
Other languages
English (en)
Inventor
ホフマン、フランツ
ウィラー、ヨーゼフ
クラウトシュナイダー、ウォルフガング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JP2000506315A publication Critical patent/JP2000506315A/ja
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/27ROM only
    • H10B20/40ROM only having the source region and drain region on different levels, e.g. vertical channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices

Landscapes

  • Semiconductor Memories (AREA)

Abstract

(57)【要約】 メモリセルとして縦型MOSトランジスタを含むメモリセル装置では情報はトランジスタの種々のしきい値電圧に相応して格納される。それにはチャネル領域の上方範囲に角度をつけた注入又は拡散により情報の状態に応じてドーパント領域を形成する。その際チャネル領域の下方範囲を、マスクされたスペーサのエッチングにより形成されるエッチング残分(9’)により覆う。この装置はメモリセル当たり2F2(Fは最小の構造寸法)の所要面積に形成することができる。

Description

【発明の詳細な説明】 メモリセル装置及びその製造方法 例えばDV用に又は音楽又は画像のディジタル記憶のために大量のデータを格 納するために、今日主として例えばハードディスク、フロッピーティスク又はコ ンパクトディスクのような機械的に作動される部分を有するメモリ装置が使用さ れる。作動部分は機械的摩耗を蒙る。更にこのメモリ装置は比較的多くの容積を 必要とし、また緩慢にデータアクセスできるに過ぎない。更にこの装置は振動及 び姿勢に敏感であり、その操作には比較的大きなエネルギーの消費を伴うので、 モバイルシステムでの使用はごく限られている。 比較的少量のデータの格納には半導体ベースの固定値メモリが公知である。固 定値メモリはメモリセルとしてMOSトランジスタが使用されるプレーナ型に集 積されたシリコン回路としてしばしば実現されている。トランジスタはワード線 と接続されているゲート電極を介して選択される。MOSトランジスタの入力部 は参照線と接続され、出力部はビット線と接続されている。読出し過程時に電流 がトランジスタを流れるか否かが評価される。それに応じて論理値ゼロ及び1が 割り当てられる。技術的にはゼロ及び1の格納は、“電流がトランジスタを流れ ない”状態に割り当てられた論理値が格納されているメモリセル内にMOSトラ ンジスタを形成しないか又はビット線への導電接続を形成しないようにして行わ れる。或いはこの両論理値用に、チャネル領域内の種々のドーパント濃度に応じ て種々のしきい値電圧を有するMOSトランジスタを形成してもよい。 これらの半導体ベースのメモリは格納された情報にランダムアクセスすること を可能にする。情報の読出しに必要な電力は機械的作動部分を有する上記のメモ リ装置に比べて明らかに少ない。この場合可動部を必要としないことから機械的 摩耗及び振動に対する感度も問題とならない。従って半導体ベースのメモリはモ バイルシステムにも使用することができる。 上記のシリコンメモリは多くの場合プレーナ型の構造を有する。従ってメモリ セル当たり最も有利な場合でも4F2(Fとはその時の技術で最小に形成可能の 構造寸法)の最小所要面積を必要とする。 ドイツ連邦共和国特許出願公開第4214923号明細書からメモリセルがM OSトランジスタを含む固定値メモリセル装置が公知である。これらのMOSト ランジスタはトレンチに沿って配設されているので、ソース領域はトレンチの底 面に接し、ドレイン領域は基板の表面に接し、またチャネル領域は基板の表面に 対して垂直に並びに基板の表面に対して並列にトレンチの側面及び底面に接して いる。チャネル領域の表面にはゲート誘電体が設けられている。ゲート電極は側 面被覆(スペーサ)として形成されている。論理値ゼロ及び1はチャネル注入に より生じる種々のしきい値電圧により区別される。チャネル注入の際に注入され るイオンは、対向する側面のシェーディング効果により確実に1つの側面に沿っ てのみ注入されるような角度で各トレンチの表面に衝突する。ワード線はこのメ モリセル装置ではスペーサとしてトレンチの側面に沿って延びている。 日本国特開平4−226071号明細書から、メモリセルとしてトレンチの側 面に配設された縦型MOSトランジスタを含む別のメモリセル装置が公知である 。この装置ではトレンチの底面及び隣接するトレンチ間にそれぞれ縦型MOSト ランジスタのソース/ドレイン領域を形成する拡散領域が延びている。 。縦型MOSトランジスタのゲート電極を含んでいるワード線はトレンチに対し 垂直方向に延びている。縦型MOSトランジスタのしきい値電圧は角度をつけた 注入により調整される。 米国特許第4663644号明細書からメモリセルとして縦型MOSトランジ スタを含むメモリセル装置が公知である。これらの縦型MOSトランジスタはそ れぞれトレンチの側面に配設されている。縦型MOSトランジスタのゲート電極 をそれぞれ含んでいるワード線はトレンチ内に配置されている。各トレンチ内に は2つのワード線が配設されている。ビット線は基板の表面上に導体路として形 成されている。ビット線と基板の表面に接している各ソース/ドレイン領域との 接触は接触孔を介して行われる。トレンチの底面に接するソース/ドレイン領域 は連続するドープ層として実現されており、基準電位に設定される。このメモリ セル装置内に情報はMOSトランジスタの種々の大きさのしきい値電圧の形で格 納される。これらの種々のしきい値電圧はMOSトランジスタのチャネル領域内 の種々のドーパント濃度により実現される。チャネル領域内のドーパント濃度を 高めるためにドープ層を析出及び構造化して、ドーパント濃度を高めるべき側面 を構造化されたドーパント層により覆われたままとする。構造化されたドーパン ト層からの拡散によりドーパント濃度を高めたチャネル領域が形成される。 本発明の課題は、メモリ密度を高め、僅かな製造工程及び高い収量で製造する ことのできる半導体ベースのメモリセル装置を提供することにある。更にこのよ うなメモリセル装置の製造方法を提供することにある。 この課題は本発明の請求項1に記載のメモリセル装置並びに請求項3に記載の その製造方法により解決される。本発明の実施態様は従属請求項から明らかであ る。 本発明によるメモリセル装置では基板内に主面に対し垂直なそれぞれ1つのM OSトランジスタを含むメモリセルが設けられている。基板としては単結晶シリ コンからなる基板又はSOI基板のシリコン層を使用すると有利である。縦型M OSトランジスタは格納された情報に応じて種々のしきい値電圧を有する。 情報の読出しのためにMOSトランジスタは低いしきい値電圧では導通し、高 いしきい値電圧では導通しない電圧レベルで駆動される。 基板にはほぼ並列に延びる条片状のトレンチが設けられている。トレンチの底 面及び隣接するトレンチ間の主面には第1の導電形とは反対の第2の導電形にド ープされている条片状のドープ領域が配設されている。トレンチの側面にはそれ ぞれゲート誘電体が配設されている。トレンチに直交して延び、トレンチの側面 の範囲に縦型MOSトランジスタ用のゲート電極を含むワード線が設けられてい る。縦型MOSトランジスタはそれぞれ、1つのトレンチの同じ側面に隣接しソ ース/ドレイン領域の役目をする2つの条片状のドープ領域、その間に配設され たトレンチの側面、ゲート誘電体及びその上に配設されたワード線の一部から構 成される。条片状のドープ領域はメモリセル装置の作動中にビット線もしくは参 照線として使用される。 種々のしきい値電圧を形成するために所定の情報が格納されているメモリセル はトレンチの側面の上方範囲において、主面に対し垂直方向の延びがトレンチの 深さよりも短いドーパント領域を有する。ドーパント領域はチャネル領域と同じ 導電形でドーパント濃度を高めてドープされていると有利ある。この場合しきい 値電圧は上昇する。ドーパント領域はまた反対の導電形によりドープされていて もよく、その場合はしきい値電圧は低下する。 その際本発明は、MOSトランジスタのしきい値電圧がチャネル領域内の局部 的に不均質なドーパント濃度によっても調整可能であるという認識を利用する。 従ってドーパント領域の部分及びその付属ワード線に関する厳密な調整は問題と ならない。 このメモリセル装置を多値論理に従って使用すべき場合には、縦型MOSトラ ンジスタが3つ以上の異なるしきい値電圧を有することは本発明の枠内にある。 この場合異なるドーパント濃度を有するドーパント領域が側面に形成される。 隣接するトレンチの間隔はトレンチの幅とほぼ等しくなるように選択されると 有利である。隣接するワード線の間隔も同様にワード線の幅に等しく選択される 。トレンチの幅及びワード線の幅がその時の技術で最小の構造幅Fに相応して選 択されるならば、メモリセルに対し2F2の所要面積が生じる。F=0.4μmの 最小構造幅を基本とする場合、メモリセル装置は約3.1ビット/μm2のメモリ 密度が達成される。 本発明によるメモリセル装置の製造には基板の主面内にほぼ並列に延びる条片 状のトレンチを形成すると有利である。トレンチの底面及び隣接するトレンチ間 の主面に第1の導電形とは反対の第2の導電形によりドープされた条片状のドー プ領域を形成する。引続きほぼ同形のエッジ被覆を有するマスク層を施す。この マスク層上に開口を有する例えばフォトレジストから成るマスクを形成する。マ スク層をマスクの使用下に構造化し、開口の範囲で主面及びトレンチの底面の表 面を露出するようにする。それに対して開口の範囲のトレンチの側面はこれらの トレンチの下方範囲の側面にマスク層の残りが残るように部分的にのみ露出され る。 引続き露出された側面部分にドーパント領域を形成する。構造化されたマスク 層を除去した後トレンチの側面にゲート誘電体を形成する。最後にトレンチに直 交して延びるワード線を形成する。 トレンチは異方性エッチングによりトレンチマスクを使用して形成すると有利 である。 トレンチの底面及び隣接するトレンチ間の主面の条片状のドープ領域をトレン チの形成及びトレンチマスクの除去後に注入により形成すると有利である。その 際注入を行う前にトレンチの側面に注入時にマスクの作用をするスペーサを設け ると有利である。これらのスペーサは引続き除去される。トレンチ及び条片状の ドープ領域の形成は1つのマスクを必要とするだけである。 或いは条片状のドープ領域を、トレンチを形成する前にメモリセルフィールド 全体を覆うドープ領域を主面に形成するようにして形成してもよい。トレンチを 形成する際にこのドープ領域は主面で条片状のドープ領域に分割される。トレン チの底面の条片状のドープ領域はトレンチの形成後イオン注入により形成される 。その際トレンチマスクを使用する場合これを注入時にマスクとして主面上に残 留させると有利である。 マスク層の構造化は異方性エッチングにより行うと有利である。しかしマスク 層の構造化は等方性エッチングと異方性エッチングを併用して行うこともできる 。このエッチングは基板に対し選択的に行われる。 本発明方法では主面及びトレンチの底面は開口の範囲で露出している。しかし トレンチの側面にはマスク層の一部が残っているので、露出している主面及びト レンチの露出している底面に対するエッチングの有限的選択性のために避けられ ないエッチング作用は低減される。 しきい値電圧がチャネル領域内のドーパント濃度のみに左右されるため、ドー パント領域の厳密な深さも、ゲート電極の配置に対するその側方の調整も問題と ならない。 ドーパント領域は露出している側面部分に角度をつけた注入により形成すると 有利である。この注入は主面の垂線に対し20°〜30°の範囲の傾斜角で行わ れると有利である。このような傾斜角はチャネリング効果を回避するために多く の注入設備において通常用いられている。 或いはドーパント領域はドープ層からの拡散により形成される。ドープ層は構 造化されたマスク層上に全面的に施される。ドープ層はドープされたガラス、ド ープされたポリシリコン又はドープされた非晶質シリコンから形成されると有利 である。ドープされたガラスの使用はこの場合ドープ層を基板に対し選択的に除 去できるという利点を有する。 本発明のプロセスにマスク層を採用することは以下に記載する利点をもたらす 。 メモリセル装置のプログラミングに1つのマスクだけを必要とする。それに対 してドイツ連邦共和国特許出願公開第4214923号明細書及び日本国特開平 4−226071号明細書から公知のメモリセル装置ではそれぞれ2つのマスク をプログラミングに必要とする。 マスク層の構造化後、構造化に使用されたマスクをその後の注入時にマスクに よるシェーディングを回避するために除去することができる。従って本発明方法 はドイツ連邦共和国特許出願公開第4214923号明細書から公知のメモリセ ル装置におけるよりも明らかに小さいトレンチ幅でも適用することができる。 マスク層の構造化のためのマスクをフォトレジストから形成する場合には、こ のフォトレジストはプログラミングのための露光の際にトレンチの底面まで露光 する必要がなくなる。従って本発明方法では最近の<0.5μmの焦点深度を有 する露光手段も使用することができる。トレンチ底面のマスク層は必ずしも除去 する必要はないので、本発明方法ではトレンチ底面に露光されないフォトレジス トを残すことができる。それによりトレンチの完全なトポロジに関する露光上の 問題は回避される。 ドーパント領域をドープ層からの拡散により形成する場合には米国特許第46 63644号明細書から公知の方法とは異なりこのドープ層は構造化されない。 それによりトレンチのトポロジの構造化の際に生じる問題は回避される。 本発明を1実施例及び図面に基づき以下に詳述する。 図1は第1の導電形によりドープされているウェルを有する基板を示すもので ある。 図2は条片状のトレンチをエッチングした後の基板を示すものである。 図3はトレンチの底面及び隣接するトレンチ間の主面に条片状のドープ領域を 形成した後の基板を示すものである。 図4はマスク層を施し、マスクを形成した後の基板を示すものである。 図5はマスク層を構造化した後の基板を示すものである。 図6はドープ層を施した後の基板を示すものである。 図7はトレンチの側面にドープ領域を形成し、トレンチに直交して延びるワー ド線を形成した後の基板を示すものである。 図8はワード線を形成した後の基板の平面を示すものである。 例えば5×1015cm-3のドーパント濃度でpドープされた単結晶シリコンか ら成る基板1の主面2に注入及び引続いての熱処理により2×1017cm-3のド ーパント濃度でpドープされたウェル3を形成する(図1参照)。pドープされ たウェル3の注入時に例えば50nmの厚さの分散酸化物(図示せず)を使用し 、これをpドープされたウェル3に180keV、7×1012cm-2で打込んだ 後再び除去する。pドープされたウェル3は少なくともセルフィールド用の範囲 上に広がる。 主面2上にSiO2層を例えば300nmの層厚で例えばTEOS法で析出す る。フォトリソグラフィ処理工程のもとにSiO2層を構造化し、その際トレン チマスク4が形成される。トレンチマスク4はほぼ並列して延びる条片状の複数 の開口を有する。トレンチマスク4内の条片状の開口はそれぞれ例えば0.4μ mの幅、例えば125μmの長さ及び0.4μmの間隔を有する。 トレンチマスク4をエッチングマスクとして使用して例えばHBr、He、O2 、NF3での異方性エッチングプロセスで基板1の主面2内にトレンチ5をエッ チングする。トレンチ5は主面2に並列するトレンチマスク4の開口に相応して 条片状の断面を有する。トレンチ5は例えば0.4μmの幅、例えば125μmの 長さ及び例えば0.4μmの間隔を有する。トレンチの深さは例えば0.6μmで ある(図2参照)。例えば32個の並列するトレンチ5が形成される。 引続きトレンチマスク4を例えばHF浸漬で剥離する。結晶表面の品質を改善 するために熱酸化によりSiO2層6(いわゆる犠牲酸化物)を例えば20nmの 厚さに形成する(図3参照)。例えばTEOS法でSiO2層を例えば60nm の層厚で同形析出し、引続いてCHF3、O2で異方性乾式エッチングすることに より、トレンチ5の垂直な側面にSiO2スペーサ7を形成する(図3参照)。 引続き薄い分散酸化物をTEOS法で析出する(図示せず)。主面2に垂直に砒 素を5×1015cm-2の線量及び80keVのエネルギーでの注入及び引 続いてのドーパントの活性化のための熱処理により、トレンチ5の底面及び隣接 するトレンチ5間の主面2にn+ドープされた条片状の領域8を形成する。条片 状のドープ領域8内のドーパント濃度を例えば1021cm-3に調整する。注入時 にSiO2層6が分散酸化物の役目をする(図3参照)。 引続きSiO2スペーサ7及びSiO2層6を例えばHF浸漬での湿式化学エッ チングにより除去する。ほぼ同形のエッジ被覆を有するマスク層9を例えばTE OS法でSiO2から析出する。マスク層9は60〜80nmの層厚で析出され る(図4参照)。 引続き例えばフォトレジストから成るマスク10をフォトリソグラフィによる 処理工程のもとに形成する。マスク10はセルフィールド内に開口11を有する 。例えばメモリセル装置用の周辺が形成されるセルフィールドの外側の範囲をマ スク10により覆う。開口11はそれぞれトレンチ5の少なくとも1つの側面と 重なるように整合される。主面2に並列する開口11の寸法はそれぞれトレンチ 5の幅に相応する。 開口11のより大きい寸法は隣接する開口を統合することにより得られる。マ スク10は開口11がそれぞれトレンチ5の側面に重なって配置されるように整 合される。その時の技術で最小に形成可能の例えば0.4μmの構造寸法Fに相 応する幅を有するトレンチ5を形成した場合、開口11は同様にFXFの最小の寸 法を有する。この場合マスク10の調整には調整精度がその時の技術で最小に形 成可能の構造寸法Fよりも大きいことが利用される。0.4μm技術では調整精 度は例えばF/2〜F/3となる。 例えばHBr、Cl2、Heでの異方性エッチング法でマスク層9を構造化す る。その際マスク10はエッチングマスクの役目をする。その際開口11の範囲 のトレンチ5の側面にエッチング残分9’が残る。開口11の範囲のトレンチ5 の底面及び隣接するトレンチ5の間の主面2のシリコン表面は露出される。それ に対してマスク10の下のマスク層9は腐食されない。 マスク層9の構造化はシリコンに対する選択的エッチング法で行われる。しか し選択性が制限されているためシリコンから成る露出表面はエッチング作用を受 けることがある。しかしトレンチ5の側面のエッチング残分9’が残っているた め、有限的選択性により避け難い露出シリコン表面へのエッチング作用は低減さ れる。 エッチング残分9’の高さは、主面2に配設された条片状のドープ領域8の深 さよりも低い。エッチング残分9’の高さは例えば300nmである。その際ト レンチ壁面に接する条片状のドープ領域8の下方のトレンチ壁面の一部が露出さ れている限り、エッチング残分9’の厳密な高さは問題とならない。 トレンチ5の底面のマスク層9のマスク10から露出している部分をマスク層 9の構造化の際に除去する。フォトレジストからマスク層10を形成する際にこ のフォトレジストがトレンチ5の底面まで露光されない場合、トレンチ5の底面 のマスク層9は露光されないフォトレジストにより覆われている。この場合マス ク層9はトレンチ5の底面の異方性エッチングの際にその作用を受けず、トレン チ5の底面はマスク層9により覆われたままである。これは本発明方法のその後 の経過のとって問題になることはない。マスク層9、9’の構造化後マスク10 を除去する(図5参照)。 引続きTEOS法で薄い分散酸化物(約1Onm)を析出する(図示せず)。 引続き1013cm-2〜5×1013cm-2の線量及び60keVのエネルギーで ホウ素の角度をつけた2回の注入を行う。その際主面2の垂線に対する傾斜角は 20°〜30°及び−20°〜−30°とする。その際エッチング残分9’の上 方のトレンチ5の露出側面にドーパント領域12が形成される(図7参照)。ド ーパント領域12のドーパント濃度を数1017cm-3、有利には8×1017cm-3 に調整する。条片状のドープ領域8のドーピングが1021cm-3であるため、 この範囲のホウ素の注入は許容されるものである。マスク10を形成する際にト レンチ5の底面に露光されないフォトレジストが残留し、トレンチ5の底面がマ スク層9で覆われている場合、トレンチ5の底面に配設された条片状のドープ領 域8へのホウ素の注入は行われない。ドーパント領域12をトレンチ5の露出側 面に形成することはそれにより悪影響を蒙ることはない。 或いはドーパント領域12はトレンチ5の側面にドープ層13からの拡散によ り形成される。それにはマスク10の除去後全面的に例えばホウ素ケイ酸ガラス から成るドープ層13を50nmの層厚に析出させる(図6参照)。例えば90 0℃での熱処理でドーパント領域12を拡散により形成する。引続きドープ層1 3を例えばHF浸漬により除去する。 HFでの湿式化学エッチングにより引続き構造化されたマスク層9及びエッチ ング残分9’を除去する(図7参照)。ゲート誘電体14を例えば熱酸化により 例えば10nmの層厚で形成する。引続きn+ドープされたポリシリコン層を4 00nmの層厚で全面的に施す。これはポリシリコンをイン・サイチューでドー プ析出することにより行うと有利である。或いはポリシリコン層をドープせずに 析出し、引続きPOCL層(POCLとはPCl3、即ちリン−塩化物−ガスを 意味する)での被覆によりドープする。フォトリソグラフィ処理工程のもとにド ープされたポリシリコン層を異方性エッチングにより構造化する。その際トレン チ5に直交して延びるワード線15が形成される(図7及び図8参照)。ワード 線15は例えばF=0.4μmの幅を有する。隣接するワード線15の間隔は同 様にFである。 縦型MOSトランジスタはそれぞれ1つのトレンチ5の同一側面に接する2つ の条片状のドープ領域8、その間に配設されるチャネル領域としてのウェル3の 部分、ゲート誘電体14及びそれに接するワード線15の部分から構成される。 条片状のトレンチ5の延びに対し並列する縦型MOSトランジスタの寸法は、ワ ード線15の幅により形成される。1つのトレンチの1側面に沿って隣接するM OSトランジスタは隣接するワード線15の間隔により互いに分離されている。 条片状のドープ領域8はそれぞれ全セルフィールド上に延びている。それらは配 線後ビット線又は参照線として使用され、1つのトレンチに沿って隣接するMO Sトランジスタのソース/ドレイン領域を相互に接続する導線を形成する。 各トレンチ5の側面にドーパント領域12が配置されているか否かによって縦 型MOSトランジスタは高められたしきい値電圧を有したり、有さなかったりす る。メモリセル装置に格納される情報はドーパント領域12の存在又は非存在の 形で格納される。従ってメモリセル装置のプログラミングはマスク層9の構造化 の際に行われる。マスク層10内の開口11の配置により情報はメモリセル装置 に伝達される。 メモリセルの読出しのため条片状のドープ領域8をビット線もしくは参照線と して使用する。評価すべきメモリセルはワード線を介して選択される。その際ワ ード線に制御信号が印加され、その電圧レベルはチャネル領域内におけるドーパ ント領域12を有するMOSトランジスタのしきい値電圧とチャネル領域内にお けるドーパント領域12を有さないMOSトランジスタのしきい値電圧との間に ある。この制御信号ではチャネル領域内にドーパント領域12を持たないMOS トランジスタは導通し、一方チャネル領域内にドーパント領域12を有し高めら れたしきい値電圧を有するMOSトランジスタは更に阻止状態をとる。読出しの 際にその条片状のドープ領域8間に電流が流れるか否かが評価される。 図8にはメモリセル装置の平面が示されている。トレンチ5に直交するワード 線15の延び方が示されている。更にトレンチ5の底面並びに隣接するトレンチ 5の間に延びている条片状のドープ領域8が記載されている。ドーパント領域1 2は破線の輪郭線としてトレンチの側面に記載されている。 各メモリセルは条片状のトレンチ5の延びに対して並列して2Fの寸法を、ま た条片状のトレンチ5の延びに対し垂直にFの寸法を有する1つの縦型MOSト ランジスタを含んでいる。従ってメモリセル当たりの所要面積は2F2となる。 メモリセル装置の製造は中間誘電体の析出、接触孔の開口及び金属化部(図示 せず)の形成により完了する。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 クラウトシュナイダー、ウォルフガング ドイツ連邦共和国 デー―83104 ホーエ ンタン アム オーバーフェルト 50

Claims (1)

  1. 【特許請求の範囲】 1. 少なくともその主面(2)の範囲に第1の導電形によりドープされている 半導体材料を含む基板(1)内に、それぞれ主面(2)に対し垂直なMOSトラ ンジスタを含むメモリセルが設けられており、 この縦型MOSトランジスタが格納された情報に応じて異なるしきい値電圧を 有し、 基板(1)内にほぼ並列に延びる条片状のトレンチ(5)が設けられており、 トレンチ(5)の底面及び隣接するトレンチ(5)間の主面(2)に第1の導 電形と反対の第2の導電形によりドープされている条片状のドープ領域(8)が 配設されており、 トレンチ(5)の側面にゲート誘電体(14)が設けられており、 トレンチ(5)に直交して延びるワード線(15)が設けられており、 縦型MOSトランジスタが1つのトレンチ(5)の同じ側面に隣接する2つの 条片状のドープ領域(8)、その間に配設されるトレンチの側面、ゲート誘電体 (14)及び1つのワード線(15)から構成され、 所定の情報が格納されているメモリセルのトレンチ(5)の側面の上方範囲に において主面(2)に対し垂直方向の延びがトレンチ(5)の深さよりも短いド ーパント領域(12)を有する メモリセル装置。 2. 隣接するトレンチ(5)の間隔がトレンチ(5)の幅と等しく、 隣接するワード線(15)の間隔がワード線(15)の幅と等しい 請求項1記載のメモリセル装置。 3. 少なくとも主面(2)の範囲に第1の導電形によりドープされた半導体材 料を含む基板(1)の主面(2)にほぼ並列して延びる条片状のトレンチ(5) を形成し、 トレンチ(5)の底面及び隣接するトレンチ(5)間の主面(2)に第1の導 電形と反対の第2の導電形によりドープされている条片状のドープ領域(8)を 形成し、 ほぼ同形のエッジ被覆を有するマスク層(9)を施し、 このマスク層(9)上に開口(11)を有するマスク(10)を形成し、 マスク層(9)をマスク(10)の使用下に異方性エッチングにより、開口( 11)の範囲内でトレンチ(5)の側面にマスク層の残り(9’)を残留させて この側面を部分的に露出するように構造化し、 露出された側面部分にドーパント領域(12)を形成し、 構造化されたマスク層(9、9’)を除去した後トレンチ(5)の側面にゲー ト誘電体(14)を形成し、 トレンチに直交して延びるワード線(15)を形成する メモリセル装置の製造方法。 4. 露出された側面部分にドーパント領域(12)を角度をつけた注入により 形成する請求項3記載の方法。 5. 主面(2)の垂線に対し20°〜30°及び/又は−20°〜−30°の 範囲の傾斜角で注入を行う請求項4記載の方法。 6.露出された側面部分にドーパント領域(12)を形成するためにドープ層( 13)を施し、この層から熱処理によりドーパントを拡散させる請求項3記載の 方法。 7. ドープ層(13)をドープされたガラス、ドープされたポリシリコン又は ドープされた非晶質シリコンから形成する請求項6記載の方法。 8. トレンチ(5)の形成後トレンチ(5)の側面にスペーサ(7)を設け、 条片状のドープ領域(8)を注入により形成し、その際トレンチ(5)の側面 のスペーサ(7)がマスクの作用をし、 スペーサ(7)を条片状のドープ領域(8)を形成した後除去する請求項3乃 至7のいずれか1つに記載の方法。
JP9532167A 1996-03-12 1997-03-03 メモリセル装置及びその製造方法 Ceased JP2000506315A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE19609678A DE19609678C2 (de) 1996-03-12 1996-03-12 Speicherzellenanordnung mit streifenförmigen, parallel verlaufenden Gräben und vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
DE19609678.2 1996-03-12
PCT/DE1997/000372 WO1997034323A1 (de) 1996-03-12 1997-03-03 Speicherzellenanordnung mit vertikalen mos-transistoren und deren herstellungsverfahren

Publications (1)

Publication Number Publication Date
JP2000506315A true JP2000506315A (ja) 2000-05-23

Family

ID=7788042

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9532167A Ceased JP2000506315A (ja) 1996-03-12 1997-03-03 メモリセル装置及びその製造方法

Country Status (6)

Country Link
US (1) US6180979B1 (ja)
EP (1) EP0886884A1 (ja)
JP (1) JP2000506315A (ja)
KR (1) KR19990087642A (ja)
DE (1) DE19609678C2 (ja)
WO (1) WO1997034323A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005303108A (ja) * 2004-04-14 2005-10-27 Takehide Shirato 読み出し専用記憶装置

Families Citing this family (360)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19617646C2 (de) * 1996-05-02 1998-07-09 Siemens Ag Speicherzellenanordnung und ein Verfahren zu deren Herstellung
DE19742403A1 (de) * 1997-09-25 1999-04-08 Siemens Ag Verfahren zur Herstellung einer Halbleiterstruktur
DE19742397C2 (de) * 1997-09-25 2000-07-06 Siemens Ag Verfahren zur Herstellung einer Halbleiterstruktur mit einer Mehrzahl von Gräben
DE19807920A1 (de) * 1998-02-25 1999-09-02 Siemens Ag Speicherzellenanordnung und entsprechendes Herstellungsverfahren
US6362506B1 (en) * 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
US6498061B2 (en) * 2000-12-06 2002-12-24 International Business Machines Corporation Negative ion implant mask formation for self-aligned, sublithographic resolution patterning for single-sided vertical device formation
TW583755B (en) * 2002-11-18 2004-04-11 Nanya Technology Corp Method for fabricating a vertical nitride read-only memory (NROM) cell
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
TW588438B (en) * 2003-08-08 2004-05-21 Nanya Technology Corp Multi-bit vertical memory cell and method of fabricating the same
TW200849404A (en) * 2007-06-12 2008-12-16 Promos Technologies Inc Method for forming semiconductor device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60136378A (ja) * 1983-12-26 1985-07-19 Hitachi Ltd 半導体装置およびその製造方法
US4954854A (en) * 1989-05-22 1990-09-04 International Business Machines Corporation Cross-point lightly-doped drain-source trench transistor and fabrication process therefor
JPH03190165A (ja) * 1989-12-20 1991-08-20 Sony Corp 読み出し専用メモリ装置及びその製造方法
JPH04226071A (ja) * 1990-05-16 1992-08-14 Ricoh Co Ltd 半導体メモリ装置
US5117389A (en) * 1990-09-05 1992-05-26 Macronix International Co., Ltd. Flat-cell read-only-memory integrated circuit
US5110036A (en) * 1990-12-17 1992-05-05 At&T Bell Laboratories Method and apparatus for solder leveling of printed circuit boards
JPH04354159A (ja) * 1991-05-31 1992-12-08 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH05102436A (ja) * 1991-10-09 1993-04-23 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JPH05110036A (ja) 1991-10-18 1993-04-30 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JP3400044B2 (ja) * 1993-11-12 2003-04-28 株式会社東芝 半導体メモリおよびその製造方法
US5429973A (en) * 1994-02-02 1995-07-04 United Microelectronic, Corp. Trench buried-bit line mask ROM process
US5453637A (en) * 1994-05-18 1995-09-26 United Microelectronics Corp. Read-only memory cell configuration with steep trenches
US5448090A (en) * 1994-08-03 1995-09-05 International Business Machines Corporation Structure for reducing parasitic leakage in a memory array with merged isolation and node trench construction

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005303108A (ja) * 2004-04-14 2005-10-27 Takehide Shirato 読み出し専用記憶装置
JP4565380B2 (ja) * 2004-04-14 2010-10-20 白土 猛英 読み出し専用記憶装置

Also Published As

Publication number Publication date
DE19609678A1 (de) 1997-09-18
WO1997034323A1 (de) 1997-09-18
EP0886884A1 (de) 1998-12-30
DE19609678C2 (de) 2003-04-17
KR19990087642A (ko) 1999-12-27
US6180979B1 (en) 2001-01-30

Similar Documents

Publication Publication Date Title
JP2000506315A (ja) メモリセル装置及びその製造方法
JP4659527B2 (ja) 半導体装置の製造方法
US5188976A (en) Manufacturing method of non-volatile semiconductor memory device
US7517749B2 (en) Method for forming an array with polysilicon local interconnects
US6180458B1 (en) Method of producing a memory cell configuration
US5200355A (en) Method for manufacturing a mask read only memory device
RU2153210C2 (ru) Полупроводниковое запоминающее устройство с высокой степенью интеграции и способ изготовления полупроводникового запоминающего устройства
KR100374074B1 (ko) 판독전용메모리셀장치와그의제조방법
JPH10507592A (ja) 縦型mosトランジスタを有する固定記憶装置の製造方法
KR100568445B1 (ko) 부분 소노스 형 게이트 구조체를 제조하는 방법 및 그것을갖는 비휘발성 메모리 셀 제조 방법
EP0609829B1 (en) A non-volatile semiconductor memory device and a method for fabricating the same
US6265748B1 (en) Storage cell arrangement in which vertical MOS transistors have at least three different threshold voltages depending on stored data, and method of producing said arrangement
JP2003078022A (ja) 半導体装置および半導体装置の製造方法
US7081389B2 (en) Semiconductor devices having dual capping layer patterns and methods of manufacturing the same
TWI447812B (zh) 藉由光阻回蝕之選擇性的矽化物形成
US6284599B1 (en) Method to fabricate a semiconductor resistor in embedded flash memory application
JP2002141425A (ja) フラッシュ・メモリセル性能を改良するための側壁プロセス
JP2000501886A (ja) 固定値メモリセル装置及びその製造方法
JP3242320B2 (ja) 半導体記憶装置の製造方法
KR20000043890A (ko) 플래쉬 메모리 소자의 제조 방법
JP2876974B2 (ja) 不揮発性半導体記憶装置及びその製造方法
KR100798268B1 (ko) 플래시 메모리 소자 및 그 제조 방법
KR100213981B1 (ko) 마스크 롬의 제조방법
JP3171735B2 (ja) 半導体装置の製造方法
KR100848248B1 (ko) 플래시 메모리 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060317

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060620

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061225

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070322

A313 Final decision of rejection without a dissenting response from the applicant

Free format text: JAPANESE INTERMEDIATE CODE: A313

Effective date: 20070829

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20071004