KR19990087642A - 메모리 셀 장치 및 그것의 제조 방법 - Google Patents

메모리 셀 장치 및 그것의 제조 방법 Download PDF

Info

Publication number
KR19990087642A
KR19990087642A KR1019980707095A KR19980707095A KR19990087642A KR 19990087642 A KR19990087642 A KR 19990087642A KR 1019980707095 A KR1019980707095 A KR 1019980707095A KR 19980707095 A KR19980707095 A KR 19980707095A KR 19990087642 A KR19990087642 A KR 19990087642A
Authority
KR
South Korea
Prior art keywords
trench
region
doped
memory cell
dopant
Prior art date
Application number
KR1019980707095A
Other languages
English (en)
Inventor
프란츠 호프만
요제프 빌러
볼프강 크라우트슈나이더
Original Assignee
칼 하인쯔 호르닝어
지멘스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 칼 하인쯔 호르닝어, 지멘스 악티엔게젤샤프트 filed Critical 칼 하인쯔 호르닝어
Publication of KR19990087642A publication Critical patent/KR19990087642A/ko

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/27ROM only
    • H10B20/40ROM only having the source region and drain region on different levels, e.g. vertical channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices

Landscapes

  • Semiconductor Memories (AREA)

Abstract

메모리 셀로서 수직 MOS 트랜지스터를 포함하는 메모리 셀 장치에서, 정보는 트랜지스터의 다른 임계 전압에 의해 저장되고, 이것을 위하여, 도판트 지역은 채널 지역의 상부 지역에서 경사각 주입 또는 외부확산에 의해 정보 상태가 형성된다. 채널 지역의 하부 지역은 마스킹 스페이서 에칭에 의해 형성된 에칭 나머지(9')에 의해 커버된다. 상기 장치는 2F2(F: 최소 구조 크기)의 각각의 메모리 셀에 대해 요구된 영역으로 형성될 수 있다.

Description

메모리 셀 장치 및 그것의 제조 방법
예를들어 DP 응용을 위한 또는 음악 또는 이미지의 디지털 저장을 위한 대량의 데이터를 저장하기 위하여, 예를들어 하드 디스크 메모리, 플로피 디스크 또는 콤팩트 디스크같은 기계적으로 이동되는 부분을 가지는 메모리 시스템이 현재 주로 사용된다. 이동 부분은 기계적인 마손에 영향을 받는다. 게다가, 상기 이동 부분은 비교적 큰 체적을 요구하고 느린 데이터 액세스만을 허용한다. 게다가, 상기 이동 부분이 진동 및 위치에 민감하고 동작을 위하여 비교적 높은 전력을 소비하기 때문에, 이들 메모리 시스템은 제한된 범위에 대해서만 차량 시스템에 사용될 수 있다.
비교적 작은 양의 데이터를 저장하기 위한 반도체 바탕 판독 전용 메모리가 공지되었다. 이들 메모리는 MOS 트랜지스터가 메모리 셀로서 사용되는 평면 집적 실리콘 회로로서 이루어진다. 트랜지스터는 워드 라인에 접속된 게이트 전극을 통하여 선택된다. MOS 트랜지스터의 입력은 기준 라인에 접속되고 출력은 비트 라인에 접속된다. 판독 동작 동안, 전류가 트랜지스터를 통하여 흐르는지 흐르지 않는지가 평가된다. 논리 값 0 및 1은 대응하여 할당된다. 0 및 1의 저장은 MOS 트랜지스터가 형성되지 않거나 "트랜지스터를 통한 전류 흐름이 없는" 상태에 할당된 논리 값이 저장되는 메모리 셀에서 비트 라인에 대한 전도 접속이 이루어지 않는 기술적 측면에서 달성된다. 선택적으로 채널 지역에서 다른 도판트 농도로 인해 다른 임계 전압을 가지는 MOS 트랜지스터는 두 개의 논리값으로 실현될 수 있다.
이들 반도체 바탕 메모리는 저장된 정보에 임의의 액세스를 허용한다. 정보를 판독하기 위하여 요구된 전력은 기계적으로 이동되는 부분을 가지는 상기된 메모리 시스템의 경우보다 명백히 작다. 이동되는 부분이 요구되지 않기 때문에, 기계적 마손 및 진동에 대한 민감성은 여기서 더 이상 문제가 되지 않는다. 그러므로 반도체 바탕 메모리는 차량 시스템에 대하여 사용될 수 있다.
일반적으로 기술된 반도체 메모리는 평면 구조를 가진다. 그래서 최소 영역이 각각의 메모리 셀에 대하여 요구되고 가장 바람직한 경우는 4F2이고, 상기 F는 각각의 기술로 생산될 수 있는 가장 작은 크기의 구조이다.
메모리 셀이 MOS 트랜지스터를 포함하는 판독 전용 메모리 셀은 DE 42 14 923 A1에 개시된다. 이들 MOS 트랜지스터는 소스 지역이 트렌치의 하부와 인접하고, 드레인 지역이 기판의 표면과 인접하고 채널 지역이 기판의 표면에 대해 수직이고 기판의 표면에 대해 평행한 트렌치의 측면 및 하부에 인접하는 방식으로 트렌치를 따라 배열된다. 채널 지역의 표면은 게이트 유전체가 제공된다. 게이트 전극은 측면 커버링(스페이서)로서 설계된다. 논리 값 0 및 1은 채널 주입에 의해 달성되는 다른 임계 전압에 의해 구별되어진다. 채널 주입동안, 주입 이온은 주입이 반대 측면의 쉐이딩(shading) 효과로 인해 한측면을 따라서만 이루어지도록 하는 각으로 각각의 트렌치 표면상에 충돌한다. 이런 메모리 셀 장치에서, 워드 라인은 트렌치의 측면을 따라 스페이서로서 연장한다.
JP-A 4-226071는 다른 메모리 셀 장치를 개시하고, 상기 장치는 메모리 셀로서 트렌치의 측면상에 배열된 수직 MOS 트랜지스터를 포함한다. 이런 경우, 수직 MOS 트랜지스터의 소스/드레인 지역을 형성하는 확산 지역은 트렌치 하부상 및 인접 트렌치들 사이에서 연장한다. 수직 MOS 트랜지스터의 게이트 전극을 포함하는 워드 라인은 트렌치에 대해 수직으로 연장한다. 수직 MOS 트랜지스터의 임계 전압은 각을 가진 주입에 의해 세팅된다.
US-A 4 663 644는 메모리 셀이 수직 MOS 트랜지스터를 포함하는 메모리 셀 장치를 개시한다. 이들 수직 MOS 트랜지스터는 각각 트렌치 측면상에 배열된다. 각각 수직 MOS 트랜지스터의 게이트 전극을 포함하는 워드 라인은 트렌치에 배열된다. 2개의 워드 라인은 각각의 트렌치에 배열된다. 비트 라인은 기판의 표면상 전도체 트랙으로서 실현된다. 비트 라인 및 기판의 표면에 인접한 각각의 소스/드레인 지역 사이의 접촉은 접촉 홀을 통하여 이루어진다. 트렌치의 하부에 인접한 소스/드레인 지역은 연속적인 도핑 층으로서 실현되고 기준 전위에 놓인다. 이런 메모리 셀 장치에서, 정보는 다른 레벨을 가지는 MOS 트랜지스터의 임계 전압 형태로 저장된다. 다른 임계 전압은 MOS 트랜지스터의 채널 지역에서 다른 도판트 농도에 의해 실현된다. 채널 지역에 증가된 도판트 농도를 형성하기 위하여, 도핑된 층은 증착되고 증가된 도판트 농도가 형성될 측면이 구조화된 도판트 층에 의해 커버되도록 구조화된다. 증가된 도판트 농도를 가지는 채널 지역은 구조화된 도판트 층의 외부확산에 의해 형성된다.
본 발명은 메모리 셀 장치 및 그것의 제조 방법에 관한 것이다.
도 1은 제 1 전도 형태에 의해 도핑된 트러프(trough)를 가지는 기판을 도시한 도.
도 2는 스트립형 트렌치의 에칭후 기판을 도시한 도.
도 3은 트렌치의 하부상 및 메인 영역상 인접 트렌치 사이에 스트립형 도핑 지역의 형성후 기판을 도시한 도.
도 4는 마스크층의 적용 및 마스크의 형성후 기판을 도시한 도.
도 5는 마스크층의 구조화후 기판을 도시한 도.
도 6은 도핑된 층의 적용후 기판을 도시한 도.
도 7은 트렌치의 측면에 도판트 지역의 형성후 및 트렌치에 대해 횡으로 연장하는 워드 라인의 형성후 기판을 도시한 도.
도 8은 워드 라인의 형성후 기판의 평면도를 도시한 도.
따라서, 본 발명의 목적은 증가된 저장 밀도가 달성되고 보다 적은 생산 단계 및 높은 양산율로 제조될 수 있는 반도체 바탕 메모리 셀 장치를 제공하는 것이다. 본 발명의 다른 목적은 상기 메모리 셀 장치를 제조하기 위한 방법을 제공하는 것이다.
본 발명에 따라, 상기와 같은 목적은 청구범위 제 1 항에 따른 메모리 셀 장치 및 청구범위 제 3 항에 따른 제조 방법에 따라 달성된다. 게다가 본 발명의 개선 사항은 종속항에 기재된다.
본 발명에 따른 메모리 셀 장치에서, 메인 영역에 대해 수직인 MOS 트랜지스터를 포함하는 메모리 셀은 기판에 제공된다. 단결정 실리콘 또는 SOI 기판의 실리콘 층으로 만들어진 기판은 바람직하게 기판으로서 사용된다. 수직 MOS 트랜지스터는 저장된 정보에 따라 다른 임계 전압을 가진다.
정보를 판독하기 위하여, MOS 트랜지스터는 보다 작은 임계 전압에서 도전되고 보다 높은 임계 전압에서 도전되지 않는 전압 레벨에서 구동된다.
반드시 평행하게 연장하는 스트립형 트렌치는 기판에 제공된다. 제 1 전도 형태와 반대의 제 2 전도 형태로 도핑된 스트립형 도핑 지역은 트렌치 하부상 및 인접 트렌치들 사이의 메인 영역상에 배열된다. 게이트 유전체는 각각 트렌치의 측면에 배열된다. 워드 라인은 트렌치에 대해 횡으로 연장하고 트렌치의 측면 지역의 수직 MOS 트랜지스터에 대한 게이트 전극을 포함하도록 제공된다. 수직 MOS 트랜지스터는 하나의 트렌치중 동일 측면에 인접하고 소스/드레인 지역으로 작용하는 두 개의 스트립형 도핑 지역, 상기 지역 사이에 배치된 트렌치 측면, 게이트 유전체 및 위에 배치된 워드 라인중 한 부분에 의해 형성된다. 스트립형 도핑 지역은 메모리 셀 장치의 동작동안 비트 또는 기준 라인으로서 사용된다.
다른 임계 전압을 만들기 위하여, 소정 정보 아이템이 저장된 메모리 셀은 트렌치 측면의 상부 지역에 도판트 지역을 가지며, 메인 영역에 수직인 상기 도판트 지역은 트렌치의 깊이보다 작다. 도판트 지역은 채널 지역과 같은 전도성으로 바람직하게 도핑되지만, 증가된 도판트 농도를 가진다. 임계 전압은 이런 경우 상승한다.
상기 도판트 지역을 반대 전도 형태로 도핑되고, 이 경우 임계 전압은 감소한다.
본 발명은 MOS 트랜지스터의 임계 전압이 채널 지역에서 국부적으로 비균일한 도판트 농도에 의해 세팅될수있다는 것을 이용한다. 그러므로 도판트 지역 부분 및 연관된 워드 라인에 관련한 정확한 정렬은 중요하지 않다.
만약 메모리 셀 장치가 다중 값 논리 장치 측면에서 사용되면, 수직 MOS 트랜지서터는 본 발명의 범위내에서 2개 이상의 다른 임계 전압을 가진다. 이런 경우, 도판트지역은 측면에 다른 도판트 농도로 실현된다.
인접 트렌치 사이의 간격은 트렌치 폭과 반드시 같도록 선택된다. 인접 워드 라인 사이의 간격은 워드 라인의 폭과 같도록 선택된다. 만약 트렌치 폭 및 워드 라인의 폭이 각각의 기술에서 최소 구조 폭(F)와 대응하도록 선택되면, 이것은 메모리 셀에 대하여 2F2의 공간을 만든다. 만약 F=0.4㎛의 최소 구조 폭이 기본으로 사용되면, 약 3.1 비트/㎛2의 저장 밀도는 메모리 셀 장치에서 달성된다.
본 발명에 따른 메모리 셀 장치를 제조하기 위하여, 반드시 평행하게 연장하는 스트립형 트렌치는 바람직하게 기판의 메인 영역에 형성된다. 제 1 전도 형태와 반대의 제 2 전도 형태로 도핑된 스트립형 도핑 지역은 트렌치의 하부 및 인접 트렌치들 사이의 메인 영역상에 형성된다. 반드시 등각의 에지 커버링을 가지는 마스크층은 추후에 제공된다. 포토레지스트로 만들어지고 개구부를 가지는 마스크는 마스크층상에 형성된다. 마스크층은 메인 영역 및 트렌치의 하부 표면이 개구부의 지역에서 노출되도록 마스크를 사용하여 구조화된다. 다른 한편, 개구부 지역의 트렌치 측면은 단지 부분적으로 노출되고, 그 결과 마스크 층의 나머지는 트렌치의 하부 지역에서 이들 측면상에 남는다.
도판트 지역은 노출된 측면 부분에 추후에 형성된다. 구조화된 마스크 층의 제거후, 게이트 유전체는 트렌치의 측면상에 형성된다. 마지막으로 트렌치에 관련하여 횡으로 연장하는 워드 라인이 형성된다.
트렌치는 트렌치 마스크를 사용하는 이방성 에칭에 의해 바람직하게 형성된다.
트렌치 하부상 및 인접 트렌치 사이의 메인 영역상 스트립형 도핑 지역은 트렌치 형성후 및 트렌치 마스크의 제거후 주입에 의해 바람직하게 생산된다. 이런 경우 주입전에 스페이서를 가지는 트렌치의 측면을 제공하는 것이 바람직하고, 상기 스페이서는 주입동안 마스킹 작용을 한다. 이들 스페이서는 추후에 제거된다. 트렌치 및 스트립형 도핑 지역의 형성은 하나의 마스크만 요구한다.
선택적으로 스트립형 도핑 지역이 메인 영역상에 도핑 지역을 형성함으로써 제조되고, 상기 도핑 지역은 트렌치의 형성전에 전체 메모리 셀 필드를 커버한다. 트렌치가 개방될 때, 이런 도핑 지역은 메인 영역상에서 스트립형 도핑 지역으로 분할된다. 트렌치 하부상 스트립형 도핑 지역은 트렌치가 개방된후 이온 주입에 의해 제조된다. 트렌치 마스크를 사용할 때, 주입동안 메인 영역상 마스크로서 트렌치 마스크를 남기는 것이 바람직하다.
마스크층은 바람직하게 이방성 에칭에 의해 바람직하게 구조화된다. 그러나, 마스크 층은 결합된 등방성 및 이방성 에칭에 의해 구조화된다. 에칭은 기판에 관련하여 선택적으로 발생한다.
본 발명에 따른 방법에서, 메인 영역 및 트렌치의 하부는 실제적으로 개구부의 지역에서 노출된다. 그러나, 마스크층의 나머지가 트렌치의 측면상에 남기 때문에, 노출된 메인 영역 및 노출된 트렌치 하부상에 대해 에칭의 제한된 선택성으로 인해 피할 수 없는 에칭 공격이 감소된다.
임계 전압이 채널 지역의 도판트 농도에만 의존하기 때문에, 도판트 지역의 정확한 깊이 및 게이트 전극의 배열에 관련한 추후의 측면 정렬은 중요하지 않다.
도판트 지역은 바람직하게 노출된 측면 부분에 경사각 주입에 의해 바람직하게 형성된다. 주입은 바람직하게 메인 영역의 수직에 대해 20° 및 30°사이 범위의 각으로 수행된다. 이런 형태의 입사 각도는 채널링 효과를 피하기 위하여 많은 주입 장치에서 표준으로서 제공된다.
선택적으로 도판트 지역은 도핑 층의 외부확산에 의해 생산된다. 도핑된 층은 구조화된 마스크층상 전체 영역에 걸쳐 적용된다. 도핑된 층은 도핑된 유리, 도핑된 폴리실리콘 또는 도핑된 비결정질 실리콘으로 형성된다. 도핑된 유리의 사용은 도핑된 층이 기판에 관련하여 선택적으로 제거되는 장점을 가진다.
본 발명에 따른 방법에 마스크 층의 도입은 다음과 같은 장점을 유도한다 :
- 단지 하나의 마스크가 메모리 셀 장치를 프로그래밍하기 위하여 요구된다. 이것과 비교하여, DE 42 14 923 A1 및 JP-A 4-22 60 71에 개시된 메모리 셀 장치는 프로그래밍하기 위하여 각각 두 개의 마스크를 요구한다.
- 마스크층의 구조화후, 구조화하는데 사용된 마스크는 다음 주입동안 마스크에 의한 쉐이딩을 방지하기 위하여 제거될 수 있다. 결과적으로, 본 발명에 따른 방법은 DE 42 14 923 A1에 개시된 메모리 셀 장치보다 명백하게 작은 트렌치 폭에 사용될 수 있다.
-만약 마스크 층을 구조화하기 위한 마스크는 포토레지스트로 형성된다면, 포토레지스트는 프로그래밍하기 위하여 노출동안 트렌치 바로 밑의 하부까지 완전히 노출되지 않는다. < 0.5 ㎛의 포커스 깊이를 가지는 현대의 노출 스테퍼(stepper)는 본 발명에 따른 방법에 사용될 수 있다. 트렌치 하부에서 마스크 층을 제거하는 것이 절대적으로 필요하지 않기 때문에, 노출된 포토레지스트는 본 발명에 따른 방법에서 트렌치 하부상에 남는다. 이것은 트렌치의 전체 지질 구조상에 임의의 노출 문제를 방지한다.
- 도판트 지역이 도핑된 층의 외부확산에 의해 형성될 때, US-A 4 663 644에 개시된 방법과 비교하여 추후에 구조화 되지 않는다. 이것은 트렌치 지질 구조상에 구조화동안 발생하는 어떤 문제를 방지한다.
본 발명은 실시예와 도면을 참조하여 하기에 상세히 설명된다.
도면은 비례적으로 도시되지 않았다.
예를들어 5×1015cm-3의 도판트 농도를 가지는 p 도핑된 단결정 실리콘으로 만들어진 기판(1)에서, 2×1017cm-3의 도판트 농도를 가지는 p 도핑 트러프(3)는 주입 및 추후 열처리(도 1에 도시함)에 의해 메인 영역(2)에 형성된다. p 도핑 트러프(3)의 주입동안, 예를들어 50 ㎚(도시되지 않음)의 두께를 가지는 스크린 산화물(주입동안 기판 표면을 보호하기 위한 얇은 산화물)이 이용되고, 상기 산화물은 추후 180 kev, 7×1012cm-2의 p 도핑 트러프(3)의 운용후 다시 제거된다. p 도핑 트러프(3)는 최소한 셀 필드에 대한 지역상으로 연장한다.
SiO2층은 예를들어 TEOS 방법을 사용하여 예를들어 300 ㎚의 층 두께로 메인 영역(2)상에 증착된다. SiO2층은 포토리소그래픽 처리 단계의 도움으로 구조화되고, 트렌치 마스크(4)가 형성된다. 트렌치 마스크(4)는 필수적으로 평행하게 연장하는 스트립형 개구부를 가진다. 트렌치 마스크(4)의 스트립형 개구부는 예를들어 0.4㎛의 폭, 125㎛의 길이 및 0.4㎛의 간격을 가진다.
트렌치(5)는 에칭 마스크로서 트렌치 마스크(4)를 사용하는 동안, 예를들어 HBr, He, O2, NF3를 사용하는 이방성 에칭 처리로 기판(1)의 메인 영역(2)쪽으로 에칭된다. 트렌치(5)는 메인 영역(2)에 평행한 트렌치 마스크(4)의 개구부에 대응하는 스트립형 단면을 가진다. 상기 트렌치는 예를들어 0.4㎛의 폭, 125㎛의 길이 및 0.4㎛의 간격을 가진다. 트렌치의 깊이는 예를들어 0.6㎛이다(도 2에 도시함). 32개의 평행한 트렌치(5)가 형성된다.
트렌치 마스크(4)는 예를들어 HF 딥(dip) 공정을 사용하여 추후에 제거된다. 결정 표면의 질을 개선하기 위하여, SiO2층(6)(소위 희생 산화물)은 예를들어 20 ㎚의 두께까지 열적 산화에 의해 형성된다(도 3에 도시함). SiO2스페이서(7)는 TEOS 방법을 사용하여 예를들어 60㎚의 층 두께로 SiO2층을 등각 증착하고 추후에 CHF3, O2를 사용하여 이방성 건식 에칭함으로써 트렌치(5)의 수직 측면상에 형성된다(도 3에 도시함). 얇은 스크린 산화물은 TEOS 방법(도시되지 않음)을 사용하여 추후에 증착된다. n+도핑된, 스트립형 지역(8)은 5×1015cm-2의 양의 As와 80 keV의 에너지를 사용하여 메인 영역(2)에 수직 주입후 도판트 활성화를 위한 추후 열 처리 단계에 의해 트렌치(5)의 하부상 및 인접 트렌치들(5) 사이의 메인 영역(2)상에 형성된다. 예를들어 1021cm-3의 도판트 농도는 스트립형 도핑 지역(8)에 설정된다. SiO2층(6)은 주입 동안 스크린 산화물로서 작용한다.
SiO2스페이서(7) 및 SiO2층(6)은 HF 딥 공정을 사용하는 습식 화학 에칭에 의해 추후에 제거된다. 필수적으로 등각 에지 커버링을 가지는 SiO2의 마스크층(9)은 TEOS 방법을 사용하여 증착된다. 마스크층(9)은 60 내지 80㎚의 층 두께로 증착된다(도 4에 도시함).
예를들어 포토레지스트로 만들어진 마스크(10)는 포토리소그래픽 처리 단계를 사용하여 추후에 형성된다. 마스크(10)는 셀 필드에 개구부(11)를 가진다. 메모리 셀 장치 주변에 형성된 셀 필드 외부 지역은 마스크(10)에 의해 커버된다. 개구부(11)는 그것들이 트렌치(5)의 적어도 한측면상에서 각각 겹치도록 정렬된다. 각각의 경우 메인 영역(2)에 평행한 개구부(11)의 크기는 트렌치(5)의 폭과 같다. 보다 큰 개구부(11)는 인접 개구부와 부합함으로써 달성된다. 마스크(10)는 개구부(11)가 트렌치(5)의 측면상에 겹치도록 배열되는 방식으로 정렬된다. 만약 트렌치(5)가 각각의 기술로 형성될 수 있는 최소의 구조 크기(F)에 대응하는 폭, 예를들어 0.4㎛로 형성되면, 개구부(11)는 최소 크기(F×F)의 최소 크기를 가진다. 마스크(10)를 정렬할 때, 정렬 정밀도는 각각의 기술로 형성될 수 있는 가장 작은 구조의 크기(F)보다 크다는 사링이 이용된다. 0.4㎛ 기술에서, 정렬 정밀도는 예를들어 F/2 내지 F/3이다.
마스크 층(9)은 예를들어 HBr, Cl2, He를 사용하는 이방성 에칭 방법을 사용하여 구조화된다. 마스크(10)는 이런 경우 에칭 마스크로서 작용한다. 이런 처리에서, 에칭 나머지(9')는 개구부(11) 지역의 트렌치 측면상에 남는다. 개구부(11) 지역에서, 실리콘 표면은 트렌치(5)의 하부 및 인접 트렌치(5) 사이 메인 영역(2)상이 노출된다. 다른 한편, 마스크(10) 아래의 마스크층(9)은 공격받지 않는다.
비록 마스크층(9)이 실리콘에 관련하여 선택적인 에칭 방법을 사용하여 구조화될지라도, 제한된 선택성으로 인해 실리콘으로 만들어진 노출 표면상에 에칭 공격이 있다. 에칭 나머지 부분(9')이 트렌치(5)의 측면상에 남기 때문에 제한된 선택성으로 인해 피할 수 없는 노출된 실리콘 표면상에 대한 에칭 공격은 감소된다.
에칭 나머지(9')의 높이는 메인 영역(2)상에 배열된 스트립형 도핑 지역(8)의 깊이보다 작다. 에칭 나머지(9')의 높이는 예를들어 300 ㎚이다. 에칭 나머지(9')의 정확한 높이는 트렌치 벽에 인접한 스트립형 도핑 지역(8) 아래 트렌치 벽 부분이 노출되는한 여기서 중요하지 않다.
마스크(10)에 의해 노출되고 트렌치(5)의 하부상에 있는 마스크층(9) 부분은 마스크층(9)의 구조화동안 제거된다. 마스크(10)가 포토레지스터로 형성될 때 포토레지스트가 트렌치(5)의 하부 바로 아래를 완전히 노출하지 않는 경우, 마스크 층(9)은 트렌치(5)의 하부에서 노출되지 않은 포토레지스트에 의해 커버된다. 이런 경우, 마스크층(9)은 트렌치(5)의 하부에서 이방성 에칭 동안 공격받지 않고 트렌치(5)의 하부는 마스크층(9)에 의해 커버된다. 이것은 본 발명에 따른 방법의 추가 과정에서 중요하지 않다. 마스크(10)는 마스크층(9, 9')의 구조화후에 제거된다(도 5에 도시함).
얇은 스크린 산화물(대략 10㎚)은 TEOS 방법을 사용하여 추후에 증착된다(도시되지 않음).
두 개의 경사각 주입은 1013cm-2내지 5×1013cm-2양의 붕소 및 60 keV의 에너지를 사용하여 추후에 수행된다. 이런 경우, 메인 영역(2)의 수직에 대한 입사각은 20° 내지 30° 및 -20°내지 -30°이다. 도판트 지역(12)은 에칭 나머지(9')의 트렌치(5)의 노출된 측면에 형성된다(도 7에 도시함). 1017cm-3, 바람직하게 8×1017cm-3의 도판트 농도는 도판트 지역(12)에 설정된다. 스트립형 도핑 지역(8)의 도핑이 1021cm-3이기 때문에, 붕소의 주입은 이 지역에서 허용된다. 마스크(10)가 형성될 때 노출되지 않은 포토레지스트가 트렌치(5)의 하부상에 남겨지고, 트렌치(5)의 하부가 마스크 층(9)으로 커버되는 경우, 트렌치(5)의 하부상에 배열된 스트립형 도핑 지역(8)에 붕소를 주입하지 않는다. 트렌치(5)의 노출된 측면에 도판트 지역(12)의 형성은 이것에 의해 손상되지 않는다.
선택적으로, 트렌치(5)의 측면에서 도판트 지역(12)은 도핑된 층(13)의 외부확산에 의해 형성된다. 이것을 위하여, 마스크(10)는 제거되고 예를들어 붕소 실리케이트 유리로 만들어진 도핑된층(13)은 전체 영역에 걸쳐 50㎚의 층 두께로 증착된다(도 6에 도시함). 도판트 지역(12)은 예를들어, 900°의 열 처리 단계에서 외부확산에 의해 형성된다. 도핑된 층(13)은 추후에 HF 딥 공정을 사용하여 제거된다.
구조화된 마스크층(9) 및 에칭 나머지(9')는 추후에 HF를 사용하는 습식 에칭에 의해 제거된다(도 7에 도시함). 게이트 유전체(14)는 예를들어 10㎚의 층 두께로 열적 산화에 의해 형성된다. n+도핑 폴리실리콘층은 추후에 400㎚의 층 두께로 전체 영역에 걸쳐 제공된다. 이것은 바람직하게 폴리실리콘의 인 시튜(in situ) 도핑 증착에 의해 행해진다. 선택적으로, 폴리실리콘층은 도핑되지 않은 형태로 증착되고 추후에 POCL 층으로 코팅함으로써 도핑된다(PCl3에 대한 POCL 스탠드: 인 염화물 가스). 도핑된 폴리실리콘 층은 포토리소그래픽 처리 단계의 도움으로 이방성 에칭에 의해 구조화된다. 이것은 트렌치(5)에 관련하여 횡으로 연장하는 워드 라인(15)을 형성한다(도 7 및 도 8에 도시함). 워드 라인(15)은 예를들어 F=0.4㎛의 폭을 가진다. 인접 워드 라인(15) 사이의 간격은 F와 같다.
수직 MOS 트랜지스터는 하나의 트렌치(5)중 동일 측면상에 인접한 두 개의 스트립형 도핑 지역(8), 및 채널 지역으로서 상기 스트립형 도핑 지역 사이에 배열된 트러프(3) 부분, 게이트 유전체(14) 및 워드 라인(15)중 하나의 인접 부분에 의해 형성된다. 스트립형 트렌치(5)의 경로에 평행한 수직 MOS 트랜지스터의 범위는 워드 라인(15)의 폭만큼 제공된다. 트렌치중 하나의 한측면을 따라 인접한 MOS 트랜지스터는 인접한 워드 라인(15) 사이의 간격만큼 서로 분리된다. 스트립형 도핑 지역(8)은 각각 전체 셀 필드에 결쳐 연장한다. 상기 지역은 상호접속에 따라 비트 라인 또는 기준 라인으로서 사용되고 트렌치를 따라 인접한 MOS 트랜지스터의 소스/드레인 지역을 상호접속하는 라인을 형성한다.
도판트 지역(12)이 각각의 트렌치(5) 측면에 배열되는지 아닌지에 따라, 수직 MOS 트랜지스터는 증가된 임계 전압을 가지거나 가지지 않는다. 메모리 셀 장치에 저장된 정보는 도판트 지역(12)의 존재 또는 부재 상태로 저장된다. 그러므로 메모리 셀 장치는 마스크 층(9)의 구조화동안 프로그램된다. 정보는 마스크(10)의 개구부(11) 장치를 통하여 메모리 셀 장치로 전달된다.
메모리 셀을 판독하기 위하여, 스트립형 도핑 지역(8)은 비트 또는 기준 라인으로서 사용된다. 평가될 메모리 셀은 워드 라인을 통하여 선택된다. 워드 라인은 전압 레벨이 채널 지역에서 도판트 지역(12)을 가지는 MOS 트랜지스터의 임계 전압 및 채널 지역에서 도판트 지역(12)을 가지지 않는 MOS 트랜지스터의 전압 사이에 놓이는 제어 신호를 메모리 셀에 제공한다. 이런 제어 신호의 존재시, 채널 지역에 도판트 지역(12)을 가지지 않는 MOS 트랜지스터는 스위치 온되고, 반면 채널 지역에서 도판트 지역(12)을 가지며, 증가된 임계 전압을 가지는 MOS 트랜지스터는 스위치 오프된채로 남는다. 판독 동안, 전류가 연관된 스트립형 도핑 지역(8) 사이에서 흐르는지 아닌지가 평가된다.
도 8은 메모리 셀 장치의 평면도이다. 트렌치(5)에 관련하여 횡으로 연장하는 워드 라인(15)의 경로가 도시된다. 또한 트렌치(5)의 하부 및 인접 트렌치(5) 사이로 연장하는 스트립형 도핑 지역(8)이 도시된다. 트렌치 측면의 도판트 지역(12)은 점선으로 도시된다.
각각의 메모리 셀은 스트립형 트렌치(5)의 경로에 평행한 2F의 범위 및 스트립형 트렌치(5)의 경로에 수직인 F의 범위를 가지는 수직 MOS 트랜지스터를 포함한다. 각각의 메모리 셀에 대한 공간 요구는 2F2이다.
메모리 셀 장치의 생산은 중간 유전체의 증착, 접촉 홀의 개구부 및 금속화층의 제조에 의해 마무리된다(도시되지 않음)

Claims (8)

  1. 메모리 셀 장치에 있어서,
    상기 메모리 셀은 메인 영역(2)의 지역에 제 1 전도 형태로 도핑된 반도체 재료를 포함하는 기판(1)에 제공되고, 상기 메인 영역에 대해 수직인 MOS 트랜지스터를 각각 포함하고,
    상기 수직 MOS 트랜지스터는 저장된 정보에 따라 다른 임계 전압을 가지며,
    필수적으로 평행하게 연장하는 스트립형 트렌치들(5)은 기판(1)에 제공되고,
    제 1 전도 형태와 반대의 제 2 전도 형태로 도핑된 스트립형 도핑 지역(8)은 트렌치(5)의 하부 및 인접 트렌치(5) 사이의 메인 영역(2)상에 배열되고,
    게이트 유전체(14)는 트렌치(5)의 측면상에 배열되고,
    워드 라인(15)은 트렌치(5)에 대해 횡으로 연장하도록 제공되고,
    상기 수직 MOS 트랜지스터는 하나의 트렌치(5)중 동일 측면에 인접한 2개의 스트립형 도핑 지역(8), 상기 스트립형 도핑 지역 사이에 배열된 트렌치 측면, 게이트 유전체(14) 및 워드 라인(15)중 하나에 의해 형성되고,
    소정 정보 아이템이 저장된 메모리 셀은 트렌치(5)의 측면 상부 지역에 도판트 지역(12)을 가지며, 메인 영역(2)에 수직인 상기 도판트 지역(12)의 범위는 트렌치(5)의 깊이보다 작은 것을 특징으로 하는 메모리 셀 장치.
  2. 제 1 항에 있어서, 상기 인접 트렌치(5) 사이의 간격은 트렌치(5)의 폭과 같고,
    상기 인접 워드 라인(15) 사이의 간격은 워드 라인(15)의 폭과 같은 것을 특징으로 하는 메모리 셀 장치.
  3. 메모리 셀 장치를 제조하는 방법에 있어서,
    필수적으로 평행하게 연장하는 스트립형 트렌치(5)는 메인 영역(2)의 지역에, 제 1 전도 형태로 도핑된 반도체 재료를 포함하는 기판(1)의 메인 영역(2)에 형성되고,
    제 1 전도 형태와 반대의 제 2 전도 형태로 도핑된 스트립형 도핑 지역(8)은 트렌치(5)의 하부 및 인접 트렌치(5) 사이의 메인 영역(2)상에 형성되고,
    필수적으로 등각 에지 커버링을 가지는 마스크층(9)은 제공되고,
    개구부(11)를 가지는 마스크(10)는 마스크 층(9)상에 형성되고,
    상기 마스크층(9)은 트렌치(5)의 측면이 개구부(11)의 지역에서 부분적으로 노출되어, 마스크층(9')의 나머지가 이들 측면상에 남는 방식으로 마스크(10)를 사용하여 이방성 에칭에 의해 구조화되고,
    도판트 지역(12)은 노출된 측면 부분에 형성되고,
    게이트 유전체(14)는 구조화된 마스크 층(9, 9')의 제거후 트렌치(5)의 측면상에 형성되고,
    워드 라인(15)은 트렌치에 대해 횡으로 연장하도록 형성되는 것을 특징으로 하는 메모리 셀 장치 제조 방법.
  4. 제 3 항에 있어서, 노출된 측면 부분의 상기 도판트 지역(12)은 경사각 주입에 의해 형성되는 것을 특징으로 하는 메모리 셀 장치 제조 방법.
  5. 제 4 항에 있어서, 상기 주입은 메인 영역(2)의 수직에 대해 20° 및 30°및/또는 -20° 및 -30°사이 범위의 입사각으로 수행되는 것을 특징으로 하는 메모리 셀 장치 제조 방법.
  6. 제 3 항에 있어서, 상기 도핑된 층(13)은 노출된 측면 부분에 도판트 지역(12)을 형성하기 위하여 제공되고, 도판트는 열 처리 단계에서 상기 도핑된 층에서 밖으로 확산되는 것을 특징으로 하는 메모리 셀 장치 제조 방법.
  7. 제 6 항에 있어서, 상기 도핑된 층(13)은 도핑된 유리, 도핑된 폴리실리콘 또는 도핑된 비결정질 실리콘으로 형성되는 것을 특징으로 하는 메모리 셀 장치 제조 방법.
  8. 제 3 항 내지 제 7 항중 어느 한 항에 있어서, 상기 트렌치(5)는 형성되고 트렌치(5)의 측면은 스페이서(7)가 제공되고,
    상기 스트립형 도핑 지역(8)은 주입에 의해 형성되고 트렌치(5)의 측면상 스페이서(7)는 마스킹 작용을 하고,
    상기 스페이서(7)는 스트립형 도핑 지역(8)의 형성후 제거되는 것을 특징으로 하는 메모리 셀 장치 제조 방법.
KR1019980707095A 1996-03-12 1997-03-03 메모리 셀 장치 및 그것의 제조 방법 KR19990087642A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE19609678A DE19609678C2 (de) 1996-03-12 1996-03-12 Speicherzellenanordnung mit streifenförmigen, parallel verlaufenden Gräben und vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
DE19609678.2 1996-03-12

Publications (1)

Publication Number Publication Date
KR19990087642A true KR19990087642A (ko) 1999-12-27

Family

ID=7788042

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980707095A KR19990087642A (ko) 1996-03-12 1997-03-03 메모리 셀 장치 및 그것의 제조 방법

Country Status (6)

Country Link
US (1) US6180979B1 (ko)
EP (1) EP0886884A1 (ko)
JP (1) JP2000506315A (ko)
KR (1) KR19990087642A (ko)
DE (1) DE19609678C2 (ko)
WO (1) WO1997034323A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100604180B1 (ko) * 1998-02-25 2006-07-25 인피니언 테크놀로지스 아게 메모리 셀 장치 및 그의 제조 방법

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19617646C2 (de) * 1996-05-02 1998-07-09 Siemens Ag Speicherzellenanordnung und ein Verfahren zu deren Herstellung
DE19742403A1 (de) * 1997-09-25 1999-04-08 Siemens Ag Verfahren zur Herstellung einer Halbleiterstruktur
DE19742397C2 (de) * 1997-09-25 2000-07-06 Siemens Ag Verfahren zur Herstellung einer Halbleiterstruktur mit einer Mehrzahl von Gräben
US6362506B1 (en) * 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
US6498061B2 (en) * 2000-12-06 2002-12-24 International Business Machines Corporation Negative ion implant mask formation for self-aligned, sublithographic resolution patterning for single-sided vertical device formation
TW583755B (en) * 2002-11-18 2004-04-11 Nanya Technology Corp Method for fabricating a vertical nitride read-only memory (NROM) cell
US6861701B2 (en) * 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
TW588438B (en) * 2003-08-08 2004-05-21 Nanya Technology Corp Multi-bit vertical memory cell and method of fabricating the same
JP4565380B2 (ja) * 2004-04-14 2010-10-20 白土 猛英 読み出し専用記憶装置
TW200849404A (en) * 2007-06-12 2008-12-16 Promos Technologies Inc Method for forming semiconductor device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60136378A (ja) * 1983-12-26 1985-07-19 Hitachi Ltd 半導体装置およびその製造方法
US4954854A (en) * 1989-05-22 1990-09-04 International Business Machines Corporation Cross-point lightly-doped drain-source trench transistor and fabrication process therefor
JPH03190165A (ja) * 1989-12-20 1991-08-20 Sony Corp 読み出し専用メモリ装置及びその製造方法
JPH04226071A (ja) * 1990-05-16 1992-08-14 Ricoh Co Ltd 半導体メモリ装置
US5117389A (en) * 1990-09-05 1992-05-26 Macronix International Co., Ltd. Flat-cell read-only-memory integrated circuit
US5110036A (en) * 1990-12-17 1992-05-05 At&T Bell Laboratories Method and apparatus for solder leveling of printed circuit boards
JPH04354159A (ja) * 1991-05-31 1992-12-08 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH05102436A (ja) * 1991-10-09 1993-04-23 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JPH05110036A (ja) 1991-10-18 1993-04-30 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JP3400044B2 (ja) * 1993-11-12 2003-04-28 株式会社東芝 半導体メモリおよびその製造方法
US5429973A (en) * 1994-02-02 1995-07-04 United Microelectronic, Corp. Trench buried-bit line mask ROM process
US5453637A (en) 1994-05-18 1995-09-26 United Microelectronics Corp. Read-only memory cell configuration with steep trenches
US5448090A (en) 1994-08-03 1995-09-05 International Business Machines Corporation Structure for reducing parasitic leakage in a memory array with merged isolation and node trench construction

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100604180B1 (ko) * 1998-02-25 2006-07-25 인피니언 테크놀로지스 아게 메모리 셀 장치 및 그의 제조 방법

Also Published As

Publication number Publication date
DE19609678A1 (de) 1997-09-18
DE19609678C2 (de) 2003-04-17
US6180979B1 (en) 2001-01-30
JP2000506315A (ja) 2000-05-23
WO1997034323A1 (de) 1997-09-18
EP0886884A1 (de) 1998-12-30

Similar Documents

Publication Publication Date Title
KR19990087642A (ko) 메모리 셀 장치 및 그것의 제조 방법
KR100400528B1 (ko) 메모리셀장치및그제조방법
US5973373A (en) Read-only-memory cell arrangement using vertical MOS transistors and gate dielectrics of different thicknesses and method for its production
US5200355A (en) Method for manufacturing a mask read only memory device
US6927133B2 (en) Semiconductor memory capable of being driven at low voltage and its manufacture method
US7517749B2 (en) Method for forming an array with polysilicon local interconnects
US5920778A (en) Read-only memory cell arrangement and method for its production
US5300804A (en) Mask ROM device having highly integrated memory cell structure
KR19990007360A (ko) Dram 셀 장치 및 그의 제조 방법
KR19990028565A (ko) 판독전용 메모리 셀장치를 제조하기 위한 방법
EP0609829B1 (en) A non-volatile semiconductor memory device and a method for fabricating the same
KR100389278B1 (ko) 반도체 기억장치의 제조방법 및 반도체 기억장치
JPH0817948A (ja) 半導体装置及びその製造方法
US6265748B1 (en) Storage cell arrangement in which vertical MOS transistors have at least three different threshold voltages depending on stored data, and method of producing said arrangement
US6004829A (en) Method of increasing end point detection capability of reactive ion etching by adding pad area
US7491998B2 (en) One time programmable memory and the manufacturing method thereof
KR20070047572A (ko) 반도체 장치 및 그 형성 방법
US6153475A (en) Method for the manufacturing a memory cell configuration
KR20080013001A (ko) 반도체 장치 및 그의 제조 방법
US4263663A (en) VMOS ROM Array
KR20000043890A (ko) 플래쉬 메모리 소자의 제조 방법
JP2876974B2 (ja) 不揮発性半導体記憶装置及びその製造方法
KR100798268B1 (ko) 플래시 메모리 소자 및 그 제조 방법
KR100213981B1 (ko) 마스크 롬의 제조방법
KR100848248B1 (ko) 플래시 메모리 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee