CN1846313A - 用于高性能器件的金属替换栅极的结构和方法 - Google Patents

用于高性能器件的金属替换栅极的结构和方法 Download PDF

Info

Publication number
CN1846313A
CN1846313A CNA2004800256506A CN200480025650A CN1846313A CN 1846313 A CN1846313 A CN 1846313A CN A2004800256506 A CNA2004800256506 A CN A2004800256506A CN 200480025650 A CN200480025650 A CN 200480025650A CN 1846313 A CN1846313 A CN 1846313A
Authority
CN
China
Prior art keywords
layer
metal
gate structure
ground floor
metal gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800256506A
Other languages
English (en)
Other versions
CN1846313B (zh
Inventor
A·L·斯特根
V·库
K·H·翁
李瑛�
V·纳拉亚南
C·小卡布拉尔
P·贾米森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1846313A publication Critical patent/CN1846313A/zh
Application granted granted Critical
Publication of CN1846313B publication Critical patent/CN1846313B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Contacts (AREA)
  • Vehicle Body Suspensions (AREA)
  • Cold Cathode And The Manufacture (AREA)

Abstract

提供了一种用于高性能器件的金属替换栅极的结构和方法。首先在半导体衬底(240)上提供的蚀刻停止层(250)上形成牺牲栅极结构(260)。在所述牺牲栅极结构(300)的侧壁上提供一对隔离物(400)。然后去除所述牺牲栅极结构(300),形成开口(600)。然后,在所述隔离物(400)之间的所述开口(600)中形成金属栅极(1000),所述金属栅极(1000)包括例如钨的金属的第一层(700),例如氮化钛的扩散阻挡层(800),以及例如钨的金属的第二层(900)。

Description

用于高性能器件的金属替换栅极的结构和方法
技术领域
本发明涉及一种半导体结构和制造方法,更具体地说,涉及一种用于高性能器件的金属栅极结构的改进结构和方法。
背景技术
在半导体制造工业中,通常利用多晶硅栅极电极形成晶体管。由于其耐热性和其它特定特性,优选多晶硅。多晶硅栅极的一个重要特征在于其可承受在驱入掺杂剂或其它高温处理例如退火处理期间对晶体管的其它部分,例如源极和漏极区的处理。
然而,当用于晶体管时,多晶硅栅极不如金属栅极有利。多晶硅栅极工作时容易形成耗尽区,在该耗尽区中载流子从栅极介质上的多晶硅材料耗尽。这与在整个电极中保持大量载流子的金属电极不同。耗尽区具有使栅极介质工作时看起来比实际上厚的效果,以致为打开具有多晶硅栅极的晶体管,需要比具有金属栅极的晶体管更多的电荷。多晶硅栅极的另一个缺点是其与高k介质材料的不兼容性。此外,即使当高度掺杂到掺杂剂浓度高达1020cm-3时,多晶硅也远不及金属那样良好的导体。这导致多晶硅栅极以低于金属栅极的速度工作。由于这些原因,当设计要求需要较高的性能时,优选金属栅极。
利用多晶栅极的替换方案是制造和利用金属栅极。金属是更良好的电导体,使得栅极接触电阻降低,这提供了更快的器件性能。然而,金属栅极的制造提出了严峻的挑战。例如,金属栅极不如多晶硅热稳定,因此在处理晶体管或集成电路(IC)的其它元件期间,不能暴露于高温下。此外,金属栅极不能承受为形成多晶硅晶体管栅极所需的氧化环境。另外,当在金属表面上实施光刻或其它类似的技术时,降低了形成栅极时所需的构图精度。其原因在于在平面表面上可更好地实现光刻,而在金属中不容易得到平面表面。
近年来,通过形成最初具有多晶硅栅极的晶体管结构的方法,其中该多晶硅栅极能够更好地承受最初更严格的处理条件,已做出了努力以克服金属栅极处理的限制和多晶硅栅极的操作缺陷。随后,在处理条件较不严格的后面的处理阶段中,从该结构中去除多晶硅栅极,并用金属栅极进行替换。通过该替换栅极的方法,不需要修改最初的严格处理条件,并保留了与多晶硅处理相关联的光刻优点。对多晶硅栅极的最初采用也利用了在对晶体管进行源极和漏极注入时,多晶硅阻挡向晶体管的沟道区的离子注入的能力。
在替换栅极制造方法中,在与衬底的单晶半导体区接触的蚀刻停止层上形成多晶硅栅极,在栅极的侧壁上设置一对隔离物。蚀刻停止层典型地是在氧气气氛中在衬底的表面上热生长的薄二氧化硅层。在这种情况下,蚀刻停止层可称为牺牲栅极氧化物层。随后,通过在蚀刻停止层上停止的各向异性垂直蚀刻方法例如反应离子蚀刻(RIE),从隔离物对之间去除多晶硅材料。然后通过干法蚀刻或对侧壁隔离物的材料选择的各向同性湿法蚀刻,从衬底表面去除蚀刻停止层。这在隔离物之间产生开口,然后在该开口位置形成栅极介质,通常为热生长的氧化物。随后,在接触下面的栅极介质的隔离物之间的开口中形成金属栅极。
用于形成该金属栅极的优选金属为钨(W)。通常利用化学气相沉积步骤(CVD)沉积钨,在该沉积步骤中利用沉积前体,包括(源)气体,例如WF6。然而,在沉积钨时,特别当利用WF6作为沉积前体时,产生具体挑战。WF6气体中的氟基可损伤氧化物和硅物质。因此,氟气体可侵蚀栅极氧化物和在栅极氧化物下的硅。可选地可利用不同的气体,例如W(CO)6,但W(CO)6的非保形特性可导致形成不良钨栅极。图1示出了利用W(CO)6气体沉积的非保形钨的特性,导致开口的夹断和不良钨栅极形成。
图1中,已沉积W(CO)6110,以覆盖栅极开口100。在105的位置示出了隔离物。如115所示,尤其当以10nm或更厚的厚层沉积时,W(CO)6的非保形特性在栅极开口100顶部产生夹断。另外,该沉积的非保形特性使钨不均匀地累积,导致在钨栅极中部或中心产生空隙,使栅极结构上出现缺陷。在开口宽度很窄的高性能环境中,夹断问题极具挑战性。
当利用WF6或W(CO)6气体时的另一个挑战在于,钨的有效沉积要求良好的成核位置,以在其将要沉积的表面(即侧壁)上存在。氧化物,尤其在表面上的氧化物的存在影响钨的沉积能力和与钨在其上沉积的表面适当粘附的能力。钨的无效沉积可导致结构上有缺陷的栅极,其中栅极导体材料可从栅极邻近表面剥离或爆裂。
因此需要一种改进方法,该方法能够形成金属栅极,具体地说包括钨作为主要金属,处理在上述方法中面临的处理挑战。
发明内容
提供了一种用于高性能器件的金属替换栅极的结构和方法。根据本发明的一方面,提供了一种在原来由牺牲栅极占据的介质区内的开口中制造集成电路的金属栅极结构的方法,其中所述金属栅极包括:第一层,主要由选自金属和金属化合物的材料构成,所述第一层接触栅极介质,所述栅极介质接触在衬底的半导体区中形成的晶体管沟道区;扩散阻挡层,覆盖所述第一层;以及第二层,主要由选自金属和金属化合物的至少一种材料构成,所述第二层覆盖所述扩散阻挡层。
根据本发明的另一方面,提供了一种在衬底上制造金属栅极结构的方法,包括以下步骤:在衬底的半导体区上形成蚀刻停止层;形成接触所述蚀刻停止层的牺牲栅极;在所述牺牲栅极的侧壁上提供一对介质隔离物;在所述衬底上形成其顶面通常与所述牺牲栅极的顶部在同一平面内的介质层;去除所述牺牲栅极以在所述隔离物之间形成开口;从所述开口下去除所述蚀刻停止层;在所述开口下的所述半导体区上形成栅极介质;在所述开口中沉积第一金属层,接触所述栅极介质和所述隔离物的侧壁;在所述开口中的所述第一金属层上形成扩散阻挡层;以及在所述开口中的所述扩散阻挡层上沉积第二金属层。
根据本发明的优选方面,利用化学机械抛光,以去除覆盖所述开口外侧的所述介质层的沉积金属。
根据本发明的又一方面,提供了一种集成电路,包括具有栅极的晶体管,其中所述栅极包括:第一层,主要由选自金属和金属化合物的至少一种材料构成,所述第一层接触在衬底的半导体区上形成的栅极介质;覆盖所述第一金属层的扩散阻挡层;以及覆盖所述扩散阻挡层的第二金属层,主要由选自金属和金属化合物的至少一种材料构成,所述第一和第二金属层和所述扩散阻挡层设置在一对介质隔离物之间的开口内。
附图说明
图1是由在开口中沉积厚钨层引起的潜在夹断问题的示例;
图2A至5示出了根据本发明的一个实施例在形成牺牲栅极结构时的前期处理步骤;
图6A是在去除牺牲栅极结构之后具有在其表面上形成的隔离物的衬底的截面图;
图6B示出了本发明的替换实施例,其中在去除牺牲栅极结构之后沉积新的栅极氧化物层;以及
图7至10示出了根据本发明的一个实施例在形成金属栅极结构时的后期步骤。
具体实施方式
具体地说,本发明处理并解决与在晶体管中形成金属栅极相关的问题。在本发明的一个实施例中,可选择金属,以使功函数与采用该金属的晶体管的导电类型兼容。例如,在NFET中,功函数等于n型导电半导体材料的金属栅极性能优于功函数中等或功函数等于p型半导体材料的金属。在本发明的一个实施例中,提供了一种结构和方法,通过该结构和方法,可在集成结构和使在该步骤中采用的金属的具体选择与后期处理分离的方法中,形成与栅极介质接触的具有希望的功函数的金属。
在这里所述的实施例中,可选择与栅极接触形成的具体金属,以与栅极介质材料兼容。例如,栅极介质可包括具体选择的高介电常数K的材料,例如氧化铪(HfO2)或氧化锆(ZrO2)。则栅极可包括与栅极介质接触的兼容性高的金属,例如铪(Hf)或锆(Zr)。
在本发明的另一个实施例中,形成与栅极介质接触的第一金属层。根据其功函数、与栅极介质的兼容性、或在栅极介质上沉积金属时的方法特性,优选选择该层的特性。然后在第一金属层上形成扩散阻挡层。随后,在扩散阻挡层上形成第二金属层。由于存在扩散阻挡层,用于第二金属层的金属可有多种选择。从而,例如,可以为用于形成第二层的沉积方法特性选择所述金属。
提供了一种结构和方法,用于形成将钨(W)作为与栅极介质接触的第一层的优选材料的金属栅极。由于功函数约在n型和p型半导体材料功函数差的中部,钨(W)是用于金属栅极的尤其通用的材料。因此,钨可用于电子为多数载流子的n型场效应晶体管(NFET)的金属栅极中,也可用于空穴为多数载流子的p型场效应晶体管(PFET)的金属栅极中。此外,与仅仅可通过物理气相沉积(PVD)例如溅射而沉积的某些其它金属不同,钨可利用化学气相沉积(CVD)方法沉积。
在特定实施例中,处理了在具有大高宽比的开口,即具有“高纵横比”的开口中形成金属栅极的问题。本发明的实施例允许选择具有特定优点的特定沉积方法,尽管还存在与这些方法相关联的其它问题。例如,可通过与栅极介质兼容的沉积方法形成较薄的金属层作为第一金属层。然而,如果试图利用其形成整个栅极,该沉积方法可能存在问题。如上所述,通过钨的羰基(W(CO)6)沉积钨是这样的沉积方法,其与例如二氧化硅的氧化物栅极介质兼容,但利用该方法时,在高宽比高的开口中已观察到包括夹断和空隙的填充问题。
图2A示出了半导体衬底200。在此利用术语“衬底”,以便于参考,并包括多种衬底,包括体半导体衬底、例如“绝缘体上硅”(SOI)衬底的绝缘体上半导体衬底、锗(Ge)衬底和硅锗(SiGe)衬底。该衬底包括在其主表面的单晶半导体区。当在此所述的方法用于形成薄膜晶体管(TFT)时,术语衬底也可应用于具有薄沉积半导体层的衬底。
然后在衬底200上形成如以220所示的隔离结构。在图2A所示的实施例中,隔离结构220被抬高,然而,没有必要抬高该结构,可实现该结构与衬底在同一平面内的其它实施例。此外,隔离结构220可包括各种结构,例如浅沟槽隔离,并在衬底200上选择性地形成。
由隔离结构220之间限定并在其中的衬底区域称为有源区域,并在图2中以240示出。有源区域240将容纳有源电器件。该隔离结构的目的是提供各种器件,尤其邻近的有源区域240之间的电隔离。
图2B示出了下一个处理阶段,其中实施最初的方法步骤,以在衬底200上形成牺牲多晶硅栅极。如图2B所示,通过在衬底200上沉积或生长,形成蚀刻停止层250。如图2B所示,在本发明的优选实施例中,当衬底200主要由硅构成时,蚀刻停止层250包括氧化物层,例如二氧化硅。在这种情况下,蚀刻停止层250可称为牺牲栅极氧化物层或牺牲氧化物层。可选地,氮化物,例如氮化硅、氮氧化硅或其它材料可用于蚀刻停止层250。蚀刻停止层250优选为氧化物层。如图2B所示,然后在蚀刻停止层250上沉积多晶硅层260作为牺牲栅极材料。如图3所示,然后一起构图牺牲栅极材料260和蚀刻停止层250。由于牺牲栅极由在NFET和PFET晶体管中广泛使用的多晶硅形成,构图方法很容易实现。
在图3提供的实施例中,将光刻用于构图包括作为氧化物层提供的蚀刻停止层250和其上的多晶硅层260的栅极叠层结构300。光刻步骤之后进行各向异性蚀刻处理,例如反应离子蚀刻(RIE),但也可替代采用其它方法。
在下一个处理步骤中,如图4所示,在栅极叠层结构300的侧壁上形成隔离物。在实施例中,通过沉积保形隔离物材料,然后当粘附于牺牲栅极300的侧壁时,通过各向异性垂直蚀刻例如RIE,以使其后仅仅保留垂直方向的隔离物,形成隔离物400。形成隔离物400的材料可包括适于在采用的特定方法步骤中集成的多种可利用材料中的一种或多种材料。例如,当蚀刻停止层250包括氧化物时,隔离物400优选由非氧化物材料,例如氮化硅或其它氮化物形成,以在后期通过各向同性湿法蚀刻去除蚀刻停止层的处理步骤中,在非氧化物隔离物与氧化物蚀刻停止层250之间提供蚀刻选择性。可选地,当蚀刻停止层250由例如氮化硅的氮化物形成时,隔离物400优选由非氮化物材料形成,以在非氮化物隔离物与氮化物蚀刻停止层250之间提供蚀刻选择性。当蚀刻停止层250由氮氧化物形成时,隔离物400可由氧化物或氮化物形成,而各向同性湿法蚀刻化学剂对氧化物或氮化物具有蚀刻选择性。
另外,图4示出了形成如在410和420所示的源极和漏极区的步骤。由于采用多晶硅牺牲栅极,也可掺杂衬底200以在有源区240中形成源极和漏极区410和420。该掺杂包括在衬底中注入离子,以改变源极和漏极区410和420的导电性。如上所述,多晶硅具有阻挡该注入进入如图4在450所示的沟道区的能力。
也可对图4中在410和420所示的区注入以形成轻掺杂源极/漏极延伸区和/或晕圈注入。另外,需要时可注入n型和p型杂质中一者或两者,以形成具体希望的组分。例如,如果将在互补金属氧化物半导体(CMOS)技术中实现电路,必须在衬底的对应部分中注入n型和p型掺杂剂,以在该技术中形成p型或n型晶体管的源极和漏极区。多晶硅具有阻挡掺杂原子离子注入的能力,因此,牺牲多晶硅栅极300和隔离物400一起用作注入掩膜。
在处理金属栅极的这些早期阶段中,牺牲多晶硅栅极的采用允许进行高温处理。例如,在构图栅极后向衬底的源极和漏极区中注入掺杂剂之后,通常需要高温掺杂剂驱入处理。
下一步,如图5所示,在衬底200上覆盖沉积层间介质500。在一个实施例中,随后使层间介质500平面化,在牺牲栅极叠层300停止。可利用多种平面化方法,例如化学机械抛光。
在图6A中,例如通过RIE,去除牺牲栅极260,在蚀刻停止层250停止,以避免损伤下面的衬底200的表面。随后,优选去除蚀刻停止层250,使衬底200在隔离物400之间的开口600的表面暴露,如图6A所示。开口600以衬底200的顶部和隔离物400的侧壁610为界。
在一个替换实施例中,当蚀刻停止层250由适于用作栅极介质的材料和厚度形成时,可仅仅去除多晶硅栅极260,并将蚀刻停止层250留在原处作为最终的栅极介质。这样做简化了处理,因为省略了去除蚀刻停止层250和形成新栅极介质650的步骤。
然而,由于在去除牺牲多晶硅栅极260期间,特别当通过RIE去除牺牲栅极时,对蚀刻停止层250的损伤可能性,优选去除整个蚀刻停止层250,并且随后在衬底200的表面上形成最终的栅极介质。然后在去除蚀刻停止层250之后,优选进行后蚀刻清洗。
去除蚀刻停止层250并形成用作栅极介质的新层650的优点在于,为了提供最终的栅极介质,可优化在形成新层650期间的条件。因此,在该实施例中,可更精细地控制最终栅极介质的材料和厚度。
在去除蚀刻停止层250的实施例中,在衬底200的表面上形成新栅极介质650,如图6B所示。在优选实施例中,在开口600内的衬底200上热生长具有氧化物层或者可选地具有氮化物层的栅极介质650。在另一个实施例中,通过沉积,例如低压化学气相沉积(LPCVD),形成栅极介质650。对于栅极介质,存在对材料的其它选择。例如,氧化铪(HfO2)或氧化锆(ZrO2)栅极介质可形成为这样的栅极介质,其具有希望的高介电常数K,高于二氧化硅、氮化硅或氮氧化硅。该高k栅极介质有利于特定应用,例如需要较厚栅极介质以防止介质被击穿但不牺牲晶体管开关性能。
形成最终的栅极介质650后,可形成最终栅极。图7示出了在形成包括金属或导电金属化合物的最终栅极时的阶段。在图7至10所示的示例性实施例中,钨用作与栅极介质650接触的第一层700的优选材料。对于可作为栅极的第一金属层700在栅极介质650上沉积的金属和金属化合物,存在大量选择。这些选择包括但不限于:铱(Ir)、铌(Nb)、铂(Pt)、铼(Re)、铑(Rh)、钌(Ru)、钽(Ta)、氮化钽(TaN)、氮化钽硅(TaSiN)、钨(W)和钒(V)。
另外,当栅极介质由特定材料,例如高K介质材料,例如氧化铪(HfO)或氧化锆(ZrO)形成时,由于其与栅极介质650的特定兼容性,可选择第一层700的金属。例如,可形成与氧化铪(HfO2)栅极介质650接触的铪(Hf)第一金属层700。类似地,可形成与氧化锆(ZrO2)栅极介质650接触的锆(Zr)第一金属层700。
对于许多的该金属和金属化合物,目前仅仅存在物理气相沉积方法,例如溅射。然而,对于沉积钨,存在化学气相沉积。因此,钨是用于形成金属栅极的优选材料。此外,钨的功函数位于n型与p型半导体材料的功函数之间的中间范围。结果,钨可有利地用于PFET和NFET的金属栅极。
现在将说明形成金属栅极的优选实施例,其中第一金属层700是钨层。如图7所示,在开口600中沉积与栅极介质650接触的在700所示的薄钨层。为了便于参考,无论其是新形成的栅极介质650还是在其初期用作蚀刻停止层250后再次用作适当的介质层,将用标号750表示栅极介质。
优选通过利用钨的羰基,优选W(CO)6作为前体气体的化学气相沉积(CVD)技术,沉积钨的第一金属层700。为取得最佳结果,在700所示的钨层保持较薄。在本发明的优选实施例中,沉积的钨层700的厚度在2至20nm的范围内,并优选2至10nm厚。钨层700覆盖衬底和至少部分隔离物侧壁610。在如图7所示的本发明的实施例中,沉积钨层以覆盖开口600中的隔离物610的整个侧壁,并覆盖氧化物层750。
图8示出了在形成金属栅极时随后的处理阶段。在图8中,在开口600中沉积扩散阻挡层,以覆盖薄钨层700。扩散阻挡层可包括一种或多种材料,例如氮化钛(TiN)、氮化铪(HfN)和氮化锆(ZrN)。这些化合物中的每一种可用作扩散阻挡层,其中多种不同金属形成为与栅极介质650接触的第一金属层700。当第一金属层分别包括铪或锆时,最优选利用包括氮化铪或氮化锆的扩散阻挡层。在以下所述的优选实施例中,扩散阻挡层800包括氮化钛,将称为氮化钛层800或TiN层800。
在第一金属层700由钨形成的优选实施例中,如在800所示,扩散阻挡层优选包括与钨接触沉积的薄钛层,之后是氮化钛(TiN)层。该薄钛层也称为粘附材料或粘附层。可利用多种方法形成扩散阻挡层800。在优选实施例中,利用化学气相沉积(CVD)。可选地,可利用原子层沉积(ALD)和物理气相沉积(PVD)技术沉积扩散阻挡层800。
在通过沉积氮化钛形成扩散阻挡层800后,优选通过退火改善扩散阻挡层的性能。进行退火,以致密化沉积的氮化钛层800。优选在较低的温度下进行退火处理,以不损伤金属栅极层。在进行退火处理的实施例中,温度保持在400至600摄氏度的范围内。在优选实施例中,在400至600℃下利用H2/N2混合物进行退火处理三十分钟的时间。
在优选实施例中,在TiN层800的沉积和可选退火后的下一个处理步骤是在TiN层上沉积第二金属层900。扩散阻挡层800在其适当的位置,第二金属或金属化合物层可选自多种金属和金属化合物,因为扩散阻挡层800防止第一层700的材料与第二层900的材料之间的反应。优选地,第二层900包括为便于沉积处理和保形性能而选择的金属或金属化合物。优选地,第二层900包括钨。
图9中示出了沉积第二层900的方法,其中沉积钨层作为第二层900。在实施例中,可利用将WF6用作沉积前体或源气体的CVD方法沉积第二层900。如图9的实例所示,以在900所示的向下方向沉积WF6。钨生长的方向用910表示,且是向上和沿着开口的侧壁920在开口600中横向生长。
在第一金属层700与第二金属层900之间扩散阻挡层800的沉积提供了多个优点。例如,例如TiN的多数扩散阻挡层提供沿着侧壁920的良好表面成核,如图9所示。改善的表面成核改善了钨的粘附,尤其在利用WF6作为前体或源气体进行CVD处理的情况下。改善的表面成核和改善的钨粘附可有助于减轻钨的剥落这个经常伴随目前实际中的现有技术方法的问题。
另外,TiN和其它扩散阻挡层具有更保形的特性,这避免了由从W(CO)6前体沉积的钨层的非保形性质引起的问题。这尤其解决了在从W(CO)6前体沉积钨期间产生的在栅极中间的夹断和形成空隙的问题。这是因为这样的实际情况,即从WF6前体的钨沉积从开口的侧壁910而不从其它方向更保形地填充开口。
另外,TiN和其它扩散阻挡层是对氟穿透的阻挡层。由于例如WF6的气体用于沉积钨栅极,并且氟可损伤下面的硅,TiN层和其它扩散阻挡层可降低穿透栅极氧化物层的机会。这允许可最初利用非常薄的钨层(优选在2-20nm之间),这极大地降低了处理成本。
图10是在本发明的优选实施例中形成的最终的金属栅极1000的示例。如图10所示,最终的金属栅极1000包括与栅极介质650接触的第一金属或金属化合物层700、例如在此的优选钛-TiN结构的扩散阻挡层800,以及例如钨的金属上覆层900。当金属栅极包括钨作为第一和第三层700、900时,其工作起来非常像完全由钨形成的栅极,但不存在困扰完全由钨形成的栅极的制造及其性能的一些问题。
可利用化学机械抛光方法并在介质层500上停止,使金属栅极1000平面化。然后可从上面对金属栅极结构1000提供栅极接触(未示出),以与芯片的其它部件互连。根据图10所示的实施例形成的金属栅极解决了与现有技术金属栅极方法相关联的问题,例如结构上有缺陷的栅极、有空隙的栅极和容易剥落的栅极。
虽然根据其特定优选实施例说明了本发明,本领域的技术人员将理解,只要不脱离仅仅通过以下所附的权利要求书限定的本发明的真实范围和精神,可对本发明进行多种修改和改进。
工业适用性
本发明的金属栅极结构可用于高性能器件,例如包括晶体管的半导体集成电路。

Claims (32)

1.一种在集成电路的晶体管中的金属栅极结构(1000),所述金属栅极结构(1000)包括:
第一层(700),主要由金属和金属化合物中的至少一种构成,所述第一层(700)接触栅极介质(750),所述栅极介质(750)接触在衬底(240)的半导体区中形成的晶体管沟道区;
扩散阻挡层(800),覆盖所述第一层(700);以及
第二层(900),主要由金属和金属化合物中的至少一种构成,所述第二层(900)覆盖所述扩散阻挡层(800),所述第一和第二层(700,900)以及所述扩散阻挡层(800)设置在一对介质隔离物(400)之间的开口(600)内。
2.根据权利要求1的金属栅极结构,其中所述第一层(700)主要由选自铱(Ir)、铌(Nb)、铂(Pt)、铼(Re)、铑(Rh)、钌(Ru)、钽(Ta)、氮化钽(TaN)、氮化钽硅(TaSiN)、钨(W)和钒(V)的至少一种材料构成。
3.根据权利要求2的金属栅极结构,其中所述第二层(900)主要由选自铱(Ir)、铌(Nb)、铂(Pt)、铼(Re)、铑(Rh)、钌(Ru)、钽(Ta)、氮化钽(TaN)、氮化钽硅(TaSiN)、钨(W)、钒(V)、硅化镍、硅化钴和硅化钛的至少一种材料构成。
4.根据权利要求1的金属栅极结构,其中所述第一和第二层(700、900)主要由钨构成。
5.根据权利要求1的金属栅极结构,其中所述第一层(700)和所述第二层(900)中的至少一个包括一杂质浓度,以调整所述金属栅极至希望的功函数。
6.根据权利要求1的金属栅极结构,其中所述第一层(700)比所述第二层(900)薄。
7.根据权利要求6的金属栅极结构,其中所述第一层(700)的厚度在约2nm与20nm之间。
8.根据权利要求1的金属栅极结构,其中所述扩散阻挡层(800)包括钛的氮化物、铪的氮化物和锆的氮化物中的至少一种。
9.根据权利要求8的金属栅极结构,其中所述扩散阻挡层(800)主要由氮化钛构成。
10.根据权利要求1的金属栅极结构,其中所述栅极介质(750)主要由氧化物构成。
11.根据权利要求10的金属栅极结构,其中所述氧化物栅极介质(750)是所述半导体区的半导体材料的氧化物。
12.根据权利要求11的金属栅极结构,其中所述半导体区是包括硅的单晶区,并且所述氧化物栅极介质主要由二氧化硅构成。
13.根据权利要求1的金属栅极结构,其中所述衬底(240)选自体半导体衬底、绝缘体上半导体衬底、绝缘体上硅衬底、硅锗衬底和锗衬底。
14.根据权利要求1的金属栅极结构,其中所述半导体区包括选自多晶硅和非晶硅中的至少一种。
15.根据权利要求1的金属栅极结构,其中所述介质隔离物(400)包括选自氧化硅、氮氧化硅、氮化硅、金属氧化物、硅酸盐以及所述材料的混合物中的至少一种材料。
16.一种制造权利要求1的金属栅极结构的方法,包括以下步骤:
在衬底(240)的半导体区上形成蚀刻停止层(250);
在所述蚀刻停止层(250)上形成牺牲栅极(260),从而形成牺牲栅极结构(300);
在所述牺牲栅极结构(300)的侧壁上提供一对介质隔离物(400);
在所述衬底(240)上形成其顶面通常与所述牺牲栅极(260)的顶部在同一平面内的介质层(500);
去除所述牺牲栅极(260),以在所述隔离物(400)之间形成开口(600);
从所述开口(600)底部去除所述蚀刻停止层(250);
在所述开口(600)中的所述半导体区上形成栅极介质(750);
在所述开口(600)中沉积由金属和金属化合物中的至少一种构成的第一层(700),接触所述栅极介质(750)和所述隔离物(400)的侧壁;
在所述开口(600)中的所述第一层(700)上形成扩散阻挡层(800);以及
在所述开口(600)中的所述扩散阻挡层(800)上沉积由金属和金属化合物中的至少一种构成的第二层(900)。
17.根据权利要求16的方法,其中通过热生长形成所述栅极介质(750)。
18.根据权利要求16的方法,其中通过含羰基前体沉积所述第一层(700),并且通过含氟前体沉积所述第二层(900)。
19.根据权利要求18的方法,其中通过W(CO)6前体沉积所述第一层(700),并且通过WF6前体沉积所述第二层(900)。
20.根据权利要求16的方法,其中所述牺牲栅极(260)包括多晶硅。
21.根据权利要求16的方法,还包括在形成所述扩散阻挡层(800)之前沉积粘附材料层。
22.根据权利要求21的方法,其中所述扩散阻挡层(800)主要由氮化钛(TiN)构成,并且所述粘附材料主要由钛(Ti)构成。
23.根据权利要求16的方法,其中通过蚀刻去除所述牺牲栅极(260)和所述蚀刻停止层(250)。
24.根据权利要求16的方法,其中所述蚀刻停止层(250)主要由氧化物层构成。
25.根据权利要求16的方法,其中利用化学气相沉积(CVD)方法沉积所述第一层(700)。
26.根据权利要求16的方法,其中利用原子层沉积(ALD)方法沉积所述第一层(700)。
27.根据权利要求16的方法,其中利用物理气相沉积(PVD)方法沉积所述第一层(700)。
28.根据权利要求16的方法,还包括在形成所述第二层(900)之前退火所述扩散阻挡层(800)的步骤。
29.根据权利要求28的方法,其中在400至600摄氏度的温度范围内退火所述扩散阻挡层(800)。
30.根据权利要求16的方法,其中利用化学气相沉积(CVD)方法沉积所述第二层(900)。
31.根据权利要求16的方法,还包括平面化所述金属栅极(1000)至所述介质层(500)的平面。
32.根据权利要求31的方法,其中通过化学机械抛光进行所述平面化。
CN2004800256506A 2003-09-09 2004-08-20 集成电路的晶体管中的金属栅极结构及其制造方法 Expired - Fee Related CN1846313B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/605,106 2003-09-09
US10/605,106 US6921711B2 (en) 2003-09-09 2003-09-09 Method for forming metal replacement gate of high performance
PCT/US2004/027327 WO2005024906A2 (en) 2003-09-09 2004-08-20 Structure and method for metal replacement gate of high performance device

Publications (2)

Publication Number Publication Date
CN1846313A true CN1846313A (zh) 2006-10-11
CN1846313B CN1846313B (zh) 2011-04-06

Family

ID=34225869

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800256506A Expired - Fee Related CN1846313B (zh) 2003-09-09 2004-08-20 集成电路的晶体管中的金属栅极结构及其制造方法

Country Status (8)

Country Link
US (1) US6921711B2 (zh)
EP (1) EP1668706B1 (zh)
JP (1) JP4629674B2 (zh)
KR (1) KR100791433B1 (zh)
CN (1) CN1846313B (zh)
AT (1) ATE438928T1 (zh)
DE (1) DE602004022435D1 (zh)
WO (1) WO2005024906A2 (zh)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101924106A (zh) * 2009-06-15 2010-12-22 台湾积体电路制造股份有限公司 集成电路结构
CN101950756A (zh) * 2009-07-08 2011-01-19 台湾积体电路制造股份有限公司 n型场效应晶体管、其金属栅极及其制造方法
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
CN102299061A (zh) * 2010-06-22 2011-12-28 中国科学院微电子研究所 一种半导体器件的制造方法
WO2011160463A1 (zh) * 2010-06-22 2011-12-29 中国科学院微电子研究所 半导体结构及其制作方法
CN102386083A (zh) * 2010-09-02 2012-03-21 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其栅介电层的制作方法
CN102420136A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN102427030A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
CN102427032A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN102487012A (zh) * 2010-12-02 2012-06-06 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
WO2012088779A1 (zh) * 2010-12-31 2012-07-05 中国科学院微电子研究所 Mos晶体管及其形成方法
CN102903741A (zh) * 2011-07-28 2013-01-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN103094114A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN103177945A (zh) * 2011-12-20 2013-06-26 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
CN103187255A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 高k金属栅电极的制作方法及其高k金属栅结构
CN102097382B (zh) * 2009-12-15 2013-07-24 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN101656205B (zh) * 2008-08-20 2013-07-24 台湾积体电路制造股份有限公司 集成电路金属栅极结构及其制造方法
CN102282655B (zh) * 2009-01-05 2013-08-21 国际商业机器公司 形成栅极叠层及其结构的方法
CN103311247A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN103456640A (zh) * 2012-04-18 2013-12-18 国际商业机器公司 包括场效应晶体管(fet)的半导体结构及其方法
CN103515235A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
CN103579111A (zh) * 2012-07-26 2014-02-12 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
CN103843143A (zh) * 2011-09-30 2014-06-04 英特尔公司 用于非平坦晶体管的钨栅极
CN103855094A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件及其制造方法
CN103855014A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 P型mosfet及其制造方法
CN103943473A (zh) * 2013-01-18 2014-07-23 台湾积体电路制造股份有限公司 具有修正轮廓的金属栅极的半导体器件
CN104347418A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN105489556A (zh) * 2014-10-13 2016-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105551957A (zh) * 2014-10-30 2016-05-04 中芯国际集成电路制造(上海)有限公司 Nmos晶体管及其形成方法
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
CN107301950A (zh) * 2016-04-14 2017-10-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN107452711A (zh) * 2012-12-28 2017-12-08 英特尔公司 基于钴的互连及其制造方法
US9853156B2 (en) 2011-10-01 2017-12-26 Intel Corporation Source/drain contacts for non-planar transistors
CN108004523A (zh) * 2016-11-01 2018-05-08 Asm Ip控股有限公司 通过原子层沉积在基材上形成过渡金属铌氮化物膜的方法和相关半导体装置结构
CN110854075A (zh) * 2019-11-13 2020-02-28 上海华力集成电路制造有限公司 Cmos器件制造方法

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296491A (ja) * 2003-03-25 2004-10-21 Sanyo Electric Co Ltd 半導体装置
US7276408B2 (en) * 2003-10-08 2007-10-02 Texas Instruments Incorporated Reduction of dopant loss in a gate structure
US20050151166A1 (en) * 2004-01-09 2005-07-14 Chun-Chieh Lin Metal contact structure and method of manufacture
US7390709B2 (en) * 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7189431B2 (en) * 2004-09-30 2007-03-13 Tokyo Electron Limited Method for forming a passivated metal layer
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7224630B2 (en) * 2005-06-24 2007-05-29 Freescale Semiconductor, Inc. Antifuse circuit
US7195999B2 (en) 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US20070045752A1 (en) * 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
US7663237B2 (en) * 2005-12-27 2010-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Butted contact structure
US7425497B2 (en) 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP4492589B2 (ja) * 2006-06-20 2010-06-30 ソニー株式会社 半導体装置の製造方法
KR100843879B1 (ko) 2007-03-15 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US20080230906A1 (en) * 2007-03-22 2008-09-25 Keith Kwong Hon Wong Contact structure having dielectric spacer and method
JP4552973B2 (ja) * 2007-06-08 2010-09-29 セイコーエプソン株式会社 半導体装置の製造方法
KR100863534B1 (ko) * 2007-06-27 2008-10-15 주식회사 하이닉스반도체 금속게이트를 구비한 반도체소자 및 그 제조 방법
US7718514B2 (en) * 2007-06-28 2010-05-18 International Business Machines Corporation Method of forming a guard ring or contact to an SOI substrate
US7906390B2 (en) * 2007-07-20 2011-03-15 International Business Machines Corporation Thin gate electrode CMOS devices and methods of fabricating same
US7795097B2 (en) * 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8030718B2 (en) * 2008-09-12 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Local charge and work function engineering on MOSFET
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US7923321B2 (en) * 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US7915105B2 (en) * 2008-11-06 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a metal gate
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US8513107B2 (en) * 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
US8564072B2 (en) * 2010-04-02 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a blocking structure and method of manufacturing the same
US8551874B2 (en) 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US9006052B2 (en) 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
CN102487010B (zh) * 2010-12-02 2013-11-06 中芯国际集成电路制造(北京)有限公司 一种金属栅极及mos晶体管的形成方法
US8420473B2 (en) 2010-12-06 2013-04-16 International Business Machines Corporation Replacement gate devices with barrier metal for simultaneous processing
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) * 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8519454B2 (en) 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US20120261770A1 (en) * 2011-04-14 2012-10-18 Kun-Hsien Lin Metal gate structure
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
CN102810561B (zh) 2011-06-02 2015-12-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8569135B2 (en) 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US8853700B2 (en) 2011-08-10 2014-10-07 International Business Machines Corporation Cross-coupling of gate conductor line and active region in semiconductor devices
US8962477B2 (en) * 2011-08-12 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature anneal for stress modulation
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8847333B2 (en) * 2011-09-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing metal gate devices with multiple barrier layers
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
CN107039527A (zh) 2011-09-30 2017-08-11 英特尔公司 用于晶体管栅极的帽盖介电结构
CN103050403B (zh) * 2011-10-11 2015-09-30 中国科学院微电子研究所 一种半导体结构及其制造方法
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
DE112011105925B4 (de) 2011-12-06 2023-02-09 Tahoe Research, Ltd. Mikroelektronischer Transistor und Verfahren zum Herstellen desselben
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8383473B1 (en) 2012-04-12 2013-02-26 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9666690B2 (en) * 2012-05-02 2017-05-30 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
KR101386115B1 (ko) 2012-06-18 2014-05-07 한국전기연구원 낮은 게이트 저항을 갖는 SiC UMOSFET 제조방법
KR20140003154A (ko) * 2012-06-29 2014-01-09 에스케이하이닉스 주식회사 반도체 장치 제조 방법
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
KR101921465B1 (ko) 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
KR20140028992A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
CN103681604B (zh) * 2012-09-07 2017-11-14 中芯国际集成电路制造(上海)有限公司 带有自对准接触孔的半导体器件及其制备方法
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9299802B2 (en) 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US8999831B2 (en) 2012-11-19 2015-04-07 International Business Machines Corporation Method to improve reliability of replacement gate device
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9190319B2 (en) * 2013-03-08 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structure
US9018054B2 (en) * 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
KR102078187B1 (ko) * 2013-05-31 2020-02-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9099393B2 (en) 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US20150076624A1 (en) * 2013-09-19 2015-03-19 GlobalFoundries, Inc. Integrated circuits having smooth metal gates and methods for fabricating same
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
CN104716172B (zh) * 2013-12-12 2018-10-23 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
US9202809B2 (en) * 2014-02-06 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US20150332926A1 (en) * 2014-05-18 2015-11-19 United Microelectronics Corp. Method of Forming High-K Gates Dielectrics
CN105405764B (zh) * 2014-07-25 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
US9373641B2 (en) 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9466530B2 (en) 2014-10-29 2016-10-11 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a metal silicide capping layer
US9559059B2 (en) * 2014-10-29 2017-01-31 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a conductive capping layer
US10062762B2 (en) * 2014-12-23 2018-08-28 Stmicroelectronics, Inc. Semiconductor devices having low contact resistance and low current leakage
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016166628A1 (en) * 2015-04-13 2016-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9659786B2 (en) 2015-07-14 2017-05-23 International Business Machines Corporation Gate cut with high selectivity to preserve interlevel dielectric layer
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US9847347B1 (en) 2016-11-07 2017-12-19 Globalfoundries Inc. Semiconductor structure including a first transistor at a semiconductor-on-insulator region and a second transistor at a bulk region and method for the formation thereof
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10043713B1 (en) 2017-05-10 2018-08-07 Globalfoundries Inc. Method to reduce FinFET short channel gate height
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
EP3502681B1 (en) * 2017-12-21 2024-02-14 IMEC vzw Protecting a substrate region during fabrication of a fet sensor
KR102439279B1 (ko) 2018-01-11 2022-08-31 삼성전자주식회사 반도체 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI782109B (zh) 2018-10-03 2022-11-01 聯華電子股份有限公司 製作半導體元件的方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200101717A (ko) * 2019-02-20 2020-08-28 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210062510A (ko) 2019-11-21 2021-05-31 대한민국(전북기계공업고등학교장) 시각장애인용 스마트 지팡이
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970010685B1 (ko) * 1993-10-30 1997-06-30 삼성전자 주식회사 누설전류가 감소된 박막 트랜지스터 및 그 제조방법
US5789312A (en) * 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
US6020248A (en) * 1997-06-26 2000-02-01 Nec Corporation Method for fabricating semiconductor device having capacitor increased in capacitance by using hemispherical grains without reduction of dopant concentration
JP3175700B2 (ja) * 1998-08-24 2001-06-11 日本電気株式会社 メタルゲート電界効果トランジスタの製造方法
KR100275752B1 (ko) * 1998-11-18 2000-12-15 윤종용 접합 스페이서를 구비한 컨케이브 커패시터의 제조방법
JP2001044423A (ja) * 1999-07-29 2001-02-16 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6271106B1 (en) * 1999-10-29 2001-08-07 Motorola, Inc. Method of manufacturing a semiconductor component
US6303447B1 (en) * 2000-02-11 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6218301B1 (en) * 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6528362B1 (en) * 2000-10-19 2003-03-04 Advanced Micro Devices, Inc. Metal gate with CVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US20020190379A1 (en) * 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020142531A1 (en) * 2001-03-29 2002-10-03 Hsu Sheng Teng Dual damascene copper gate and interconnect therefore
JP3974507B2 (ja) 2001-12-27 2007-09-12 株式会社東芝 半導体装置の製造方法
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode

Cited By (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8932951B2 (en) 2008-05-14 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8552522B2 (en) 2008-05-14 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8461654B2 (en) 2008-07-24 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
CN101635277B (zh) * 2008-07-24 2012-07-11 台湾积体电路制造股份有限公司 为了无空隙的间隙填充制程的间隙壁外型塑造工程
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
CN101656205B (zh) * 2008-08-20 2013-07-24 台湾积体电路制造股份有限公司 集成电路金属栅极结构及其制造方法
CN102282655B (zh) * 2009-01-05 2013-08-21 国际商业机器公司 形成栅极叠层及其结构的方法
CN101924106A (zh) * 2009-06-15 2010-12-22 台湾积体电路制造股份有限公司 集成电路结构
CN101950756A (zh) * 2009-07-08 2011-01-19 台湾积体电路制造股份有限公司 n型场效应晶体管、其金属栅极及其制造方法
CN102097382B (zh) * 2009-12-15 2013-07-24 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
WO2011160463A1 (zh) * 2010-06-22 2011-12-29 中国科学院微电子研究所 半导体结构及其制作方法
CN102299061A (zh) * 2010-06-22 2011-12-28 中国科学院微电子研究所 一种半导体器件的制造方法
US8766371B2 (en) 2010-06-22 2014-07-01 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for manufacturing the same
CN102386083A (zh) * 2010-09-02 2012-03-21 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其栅介电层的制作方法
CN102386083B (zh) * 2010-09-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其栅介电层的制作方法
CN102420136B (zh) * 2010-09-25 2013-08-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN102420136A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN102487012B (zh) * 2010-12-02 2014-03-12 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
CN102487012A (zh) * 2010-12-02 2012-06-06 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
US8420492B2 (en) 2010-12-31 2013-04-16 Institute of Microelectronics, Chinese Academy of Sciences MOS transistor and method for forming the same
WO2012088779A1 (zh) * 2010-12-31 2012-07-05 中国科学院微电子研究所 Mos晶体管及其形成方法
US10818767B2 (en) 2011-07-28 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
CN102903741A (zh) * 2011-07-28 2013-01-30 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US9755039B2 (en) 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
CN107993933A (zh) * 2011-07-28 2018-05-04 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US10020375B2 (en) 2011-09-30 2018-07-10 Intel Corporation Tungsten gates for non-planar transistors
US9812546B2 (en) 2011-09-30 2017-11-07 Intel Corporation Tungsten gates for non-planar transistors
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
US9637810B2 (en) 2011-09-30 2017-05-02 Intel Corporation Tungsten gates for non-planar transistors
CN103843143A (zh) * 2011-09-30 2014-06-04 英特尔公司 用于非平坦晶体管的钨栅极
CN103843143B (zh) * 2011-09-30 2017-07-11 英特尔公司 用于非平坦晶体管的钨栅极
US10283640B2 (en) 2011-10-01 2019-05-07 Intel Corporation Source/drain contacts for non-planar transistors
US9853156B2 (en) 2011-10-01 2017-12-26 Intel Corporation Source/drain contacts for non-planar transistors
US10770591B2 (en) 2011-10-01 2020-09-08 Intel Corporation Source/drain contacts for non-planar transistors
CN103094114A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
CN102427030A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
CN102427032A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
CN103177945B (zh) * 2011-12-20 2016-03-30 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
CN103177945A (zh) * 2011-12-20 2013-06-26 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
CN103187255B (zh) * 2011-12-29 2015-12-16 中芯国际集成电路制造(上海)有限公司 高k金属栅电极的制作方法及其高k金属栅结构
CN103187255A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 高k金属栅电极的制作方法及其高k金属栅结构
CN103311247A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN103456640A (zh) * 2012-04-18 2013-12-18 国际商业机器公司 包括场效应晶体管(fet)的半导体结构及其方法
US9385207B2 (en) 2012-04-18 2016-07-05 International Business Machines Corporation Stratified gate dielectric stack for gate dielectric leakage reduction
US9514948B2 (en) 2012-04-18 2016-12-06 International Business Machines Corporation Stratified gate dielectric stack for gate dielectric leakage reduction
CN103456640B (zh) * 2012-04-18 2017-03-01 国际商业机器公司 包括场效应晶体管(fet)的半导体结构及其方法
US9627214B2 (en) 2012-04-18 2017-04-18 International Business Machines Corporation Stratified gate dielectric stack for gate dielectric leakage reduction
CN103515235A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
CN103579111A (zh) * 2012-07-26 2014-02-12 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
CN103579111B (zh) * 2012-07-26 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
CN103855094A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件及其制造方法
US10056261B2 (en) 2012-11-30 2018-08-21 Institute of Microelectronics, Chinese Academy of Sciences P type MOSFET
CN103855014A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 P型mosfet及其制造方法
CN107452711A (zh) * 2012-12-28 2017-12-08 英特尔公司 基于钴的互连及其制造方法
CN103943473B (zh) * 2013-01-18 2016-11-02 台湾积体电路制造股份有限公司 具有修正轮廓的金属栅极的半导体器件
CN103943473A (zh) * 2013-01-18 2014-07-23 台湾积体电路制造股份有限公司 具有修正轮廓的金属栅极的半导体器件
CN104347418A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN104347418B (zh) * 2013-08-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN105489556B (zh) * 2014-10-13 2020-03-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105489556A (zh) * 2014-10-13 2016-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105551957A (zh) * 2014-10-30 2016-05-04 中芯国际集成电路制造(上海)有限公司 Nmos晶体管及其形成方法
CN107301950A (zh) * 2016-04-14 2017-10-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US11295955B2 (en) 2016-04-14 2022-04-05 Semiconductor Manufacturing International (Shanghai) Corporation Transistor
CN108004523A (zh) * 2016-11-01 2018-05-08 Asm Ip控股有限公司 通过原子层沉积在基材上形成过渡金属铌氮化物膜的方法和相关半导体装置结构
CN108004523B (zh) * 2016-11-01 2022-04-12 Asm Ip控股有限公司 通过原子层沉积在基材上形成过渡金属铌氮化物膜的方法和相关半导体装置结构
CN110854075A (zh) * 2019-11-13 2020-02-28 上海华力集成电路制造有限公司 Cmos器件制造方法
US11398410B2 (en) 2019-11-13 2022-07-26 Shanghai Huali Integrated Circuit Corporation Method for manufacturing a CMOS device

Also Published As

Publication number Publication date
WO2005024906A3 (en) 2005-11-03
EP1668706A4 (en) 2008-11-05
WO2005024906A2 (en) 2005-03-17
JP2007505482A (ja) 2007-03-08
KR100791433B1 (ko) 2008-01-07
ATE438928T1 (de) 2009-08-15
EP1668706A2 (en) 2006-06-14
US20050051854A1 (en) 2005-03-10
EP1668706B1 (en) 2009-08-05
JP4629674B2 (ja) 2011-02-09
DE602004022435D1 (de) 2009-09-17
CN1846313B (zh) 2011-04-06
US6921711B2 (en) 2005-07-26
KR20060098361A (ko) 2006-09-18

Similar Documents

Publication Publication Date Title
CN1846313B (zh) 集成电路的晶体管中的金属栅极结构及其制造方法
US9590100B2 (en) Semiconductor devices containing an epitaxial perovskite/doped strontium titanate structure
US11728340B2 (en) Single diffusion break isolation for gate-all-around field-effect transistor devices
US8084824B2 (en) Metal gate transistor and method for fabricating the same
CN1197129C (zh) 用于形成半导体器件栅极的方法
US8569816B2 (en) Isolated capacitors within shallow trench isolation
US10199228B2 (en) Manufacturing method of metal gate structure
CN1902740A (zh) 利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程
US8318576B2 (en) Decoupling capacitors recessed in shallow trench isolation
CN1591803A (zh) 使用镶嵌栅极工艺的应变硅沟道mosfet
WO2011079594A1 (zh) 一种半导体器件及其制造方法
KR101738894B1 (ko) 게이트 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9893145B1 (en) On chip MIM capacitor
US11264481B2 (en) Self-aligned source and drain contacts
CN110649023B (zh) 集成电路及其制造方法
CN113964201A (zh) 半导体结构及其制备方法
US6969661B2 (en) Method for forming a localized region of a material difficult to etch
US20230335444A1 (en) Integrated circuit with backside metal gate cut for reduced coupling capacitance
WO2023051596A1 (en) Nanosheet transistors with self-aligned gate cut
US10840245B1 (en) Semiconductor device with reduced parasitic capacitance
WO2023040424A1 (en) Semiconductor structure having bottom isolation and enhanced carrier mobility
US20230039630A1 (en) Semiconductor device and method for forming the same
WO2023041994A1 (en) Semiconductor structures with power rail disposed under active gate
TW202420418A (zh) 半導體元件
TW201209926A (en) Metal gate transistor and method for fabricating the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171110

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171110

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110406

Termination date: 20190820