KR100791433B1 - 고성능 장치의 금속 대체 게이트의 구조체 및 방법 - Google Patents

고성능 장치의 금속 대체 게이트의 구조체 및 방법 Download PDF

Info

Publication number
KR100791433B1
KR100791433B1 KR1020067003226A KR20067003226A KR100791433B1 KR 100791433 B1 KR100791433 B1 KR 100791433B1 KR 1020067003226 A KR1020067003226 A KR 1020067003226A KR 20067003226 A KR20067003226 A KR 20067003226A KR 100791433 B1 KR100791433 B1 KR 100791433B1
Authority
KR
South Korea
Prior art keywords
layer
metal
abandoned
payment
gate structure
Prior art date
Application number
KR1020067003226A
Other languages
English (en)
Other versions
KR20060098361A (ko
Inventor
안 엘 스티겐
빅터 쿠
광 혼 왕
잉 리
비자이 나라야난
시릴 쥬니어 카브랄
폴 재미슨
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20060098361A publication Critical patent/KR20060098361A/ko
Application granted granted Critical
Publication of KR100791433B1 publication Critical patent/KR100791433B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure

Abstract

고성능 장치의 금속 대체 게이트를 위한 구체 및 방법이 제공된다. 반도체 기판(240) 상에 마련된 에칭 멈춤층(250) 상에 먼저 희생성 게이트 구조(260)가 형성된다. 한 쌍의 스페이서(400)가 상기 희생성 구조(300)의 측벽 상에 마련된다. 그리고 희생성 게이트 구조(300)가 제거되고 개구(600)가 형성된다. 후속하여, 텅스텐 등의 금속으로 이루어진 제1층(700), 티타늄 질화물 등의 확산 장벽층(800), 및 텅스텐 등의 금속으로 이루어진 제2층(900)을 포함하는 금속 게이트(1000)가 스페이서(400) 사이에 있는 개구(600) 내에 형성된다.

Description

고성능 장치의 금속 대체 게이트의 구조체 및 방법{STRUCTURE AND METHOD FOR METAL REPLACEMENT GATE OF HIGH PERFORMACE DEVICE}
본 발명은 반도체 구조체 및 공정 방법에 관한 것으로서, 보다 구체적으로는 고성능 장치의 금속 게이트 구조체를 위한 개선된 구조 및 방법에 관한 것이다.
반도체 제조 산업에서는, 통상 폴리실리콘 게이트 전극을 이용하여 트랜지스터를 형성하고 있다. 폴리실리콘은 열적 견고성 및 기타 특정한 특성으로 인해 바람직한 것이 되었다. 폴티실리콘 게이트의 중요한 특징은 도펀트 드라이브-인 또는 어닐링 공정 등의 기타 고온 공정 시에 소스와 드레인 영역 등의 트랜지스터의 다른 요소의 공정을 견딜 수 있다는 점이다.
그러나, 트랜지스터에서 이용될 때, 폴리실리콘 게이트는 금속 게이트보다 유리하지 않다. 폴리실리콘 게이트는 동작 시에, 게이트 유전체 위에 있는 금속 실리콘 재료에서 전하 캐리어가 공핍되는 공핍 영역이 형성된다. 이로 인해, 전하 캐리어가 전극 전체에 걸쳐 많이 남아 있는 금속 전극이 변한다. 공핍 영역은 동작시에 실제보다 게이트 유전체가 더 두껍게 보이게 하는 효과가 있기 때문에, 금속 게이트를 구비한 트랜지스터보다 폴리실리콘 게이트를 구비한 트랜지스터를 턴온하는데 전하가 더 많이 필요하게 된다. 폴리실리콘 게이트의 또다른 단점은 높은 k 유 전체 재료와의 비적합성이다. 게다가, 도펀트 농도를 최대 1020-3까지 높게 도핑한다고 해도 금속만큼 양호한 도전체가 되지 않는다. 이 때문에 폴리실리콘 게이트는 금속 게이트보다 저속으로 동작하게 된다. 이러한 이유에서, 설계 요건상 우수한 성능이 필요하기 때문에, 금속 게이트가 더 선호된다.
폴리실리콘 게이트를 이용하는 것의 대안은 금속 게이트를 제조하여 이용하는 것이다. 금속은 매우 우수한 전기 도전체이기 때문에 게이트 접촉 저항을 저감시켜 고속의 장치 성능을 제공한다. 그러나, 금속 게이트의 제조에는 심각한 난제가 있을 수 있다. 그 가운데 하나는, 금속 게이트가 폴리실리콘처럼 열적으로 견고하지 않기 때문에 트랜지스터나 다른 집적 회로(IC) 소자의 공정 시에 고온에 노출될 수 없다는 것이다. 또한, 금속 게이트는 폴리실리콘 트랜지스터 게이트를 형성하는데 필요한 산화 분위기를 견뎌낼 수 없다. 게다가, 금속 표면 상에서 포토리소그래피나 기타 유사한 기술을 수행할 때, 게이트 형성에 필요한 패터닝 정밀도가 떨어진다. 그 이유는 포토리소그래피가 금속 내에서 용이하기 이루어지지 않고 평면 상에서 보다 우수하게 이루어지기 때문이다.
최근에는, 보다 엄격한 공정 조건을 우수하게 견딜 수 있는 폴리실리콘을 처음에 구비하는 트랜지스터 구조를 형성하는 공정을 통해, 금속 게이트 공정의 한계와 폴리실리콘 게이트의 동작 결함을 해결하려는 노력이 있다. 그에 따라, 공정 조건이 덜 엄격한 추후 공정 단계에서, 폴리실리콘 게이트를 그 구조에서 제거하여 금속 게이트로 대체한다. 이 대체 게이트 공정에 의해, 초기 엄격한 공정 조건을 변경할 필요가 없고, 폴리실리콘 공정과 관련된 포토리소그래피의 유리한 점이 유지된다. 또한, 초기 폴리실리콘 게이트를 이용하면, 트랜지스터에 소스 및 드레인 주입을 수행할 경우에 트랜지스터의 채널 영역으로의 이온 주입을 차단할 수 있는 폴리실리콘의 특성을 이용할 수 있다.
대체 게이트 제조 방식에서는 폴리실리콘 게이트가 에칭 멈춤층(etch stop layer) 상에서 기판의 단결정 반도체 영역과 접촉하게 형성되고, 한 쌍의 스페이서가 그 게이트의 측벽 상에 배치된다. 에칭 멈춤층은 산소 분위기 내에서 통상 기판의 표면 상에서 열적으로 성장하는 얇은 실리콘 이산화물층이다. 이 경우에, 에칭 멈춤층을 희생성 게이트 산화물층이라고도 부를 수 있다. 이후, 폴리실리콘 재료는 에칭 멈춤층 상에서 중지하는 반응성 이온 에칭(RIE : Reactive Ion Etch)과 같은 이방성 수직 에칭 공정에 의해 한쌍의 스페이서 사이에서 제거된다. 그리고 에칭 멈춤층은 측벽 스페이서의 재료에 대해 선택적인 등방성 습식 에칭 또는 건식 에칭에 의해 기판의 표면에서 제거된다. 이로 인해, 게이트 유전체, 대개는 열적 성장한 산화물이 형성된 스페이서 사이에는 개구가 생긴다. 이후 금속 게이트가 스페이서 사이에 있는 개구에 형성되어 하부에서 게이트 유전체와 접촉한다.
그러한 금속 게이트를 형성하기 위한 양호한 금속이 텅스텐(W)이다. 텅스텐은 대개, WF6 등의 (소스) 가스를 함유하는 침착 전구체가 이용되는 화학적 기상 증착 단계(CVD)를 통해 침착된다. 그러나, WF6를 침작 전구체로서 이용하는 경우에는 특히 텅스텐의 침작에 난제가 발생한다. WF6 가스 중에 있는 플루오르 래디칼이 산 화물과 실리콘 기판 양쪽에 해로울 수 있다. 그 결과, 플루오르 가스는 게이트 산화물과 그 게이트 산화물 아래에 있는 실리콘을 공격할 수 있다. W(CO)6 등의 다른 가스를 대안적으로 이용할 수 있지만, W(CO)6의 부적합성(non-conformal property)으로 인해 텅스텐 게이트 형성에 결함이 생길 수 있다. 도 1은 W(CO)6 가스를 이용하여 침착할 경우에 텅스텐의 부적합성이 개구의 핀치 오프와 텅스텐 게이트 형성 결함을 초래하는 것을 도시하고 있다.
도 1에서는, W(CO)6가 게이트 개구(100)를 코팅하도록 침착되었다. 스페이서는 도면 부호 105로 표시된다. 특히 10 nm 이상의 두꺼운 층에 침작될 때에 W(CO)6의 부적합성은 게이트 개구(100)의 상부에 도면 부호 115로 표시되는 핀치오프를 만든다. 또한, 그러한 침착의 부적합성에 의해 텅스텐은 불균형적으로 누적되어 텅스텐 게이트의 중간 또는 중심에 공극이 발생하여 게이트에 구조적인 결함이 있게 된다. 핀치오프 문제는 개구의 폭이 좁아야 하는 고성능 환경에서는 심각한 난제이다.
다른 문제는 WF6 또는 W(CO)6 가스를 이용하는 경우에, 텅스텐의 효과적인 침작에 있어서, 그 텅스텐이 침작되고 있는 표면(즉, 측벽) 상에 양호한 핵형성 위치가 있어야 한다는 것이다. 특히 표면 상에 산화물이 존재하면 텅스텐의 침착 가능성과 텅스텐이 침착 표면에 적절하게 부착될 가능성에 영향을 미친다. 텅스텐이 효과적으로 침착되지 않으면, 게이트 도전체 재료가 인접한 표면에서 박리되거나 사 라질 수 있는 구조적으로 결함이 있는 게이트를 형성할 수 있다.
따라서, 특히 전술한 공정 방법이 직면한 공정 문제를 해결하는 뛰어난 금속으로서 텅스텐을 포함하는 금속 게이트의 형성을 가능하게 하는 개선된 방법이 필요하다.
고성능 장치의 금속 대체 게이트 구조 및 방법이 제공된다. 본 발명의 양태에 따르면, 희생성 게이트가 미리 차지하는 유전체 영역 안에 있는 개구에 집적 회로의 금속 게이트 구조를 제조하는 방법이 제공되며, 이 금속 게이트는, 기판의 반도체 영역에 형성된 트랜지스터 채널 영역과 접촉하는 게이트 유전체와 접촉하는, 실질적으로 금속과 금속 화합물에서 선택된 재료로 이루어진 제1층과, 상기 제1층 위에 있는 확산 장벽층과, 상기 확산 장벽층 위에 있는, 실질적으로 금속 및 금속 화합물을 포함하는 그룹에서 선택된 적어도 하나의 금속으로 이루어진 제2층을 포함한다.
본 발명의 다른 양태에 따르면, 기판 상에 금속 게이트 구조를 제조하는 방법이 제공되며, 이 방법은, 기판의 반도체 영역 상에 에칭 멈춤층을 형성하는 단계와, 상기 에칭 멈춤층에 접촉하는 희생성 게이트를 형성하는 단계와, 상기 희생성 게이트의 측벽 상에 한 쌍의 유전체 스페이서를 마련하는 단계와, 상기 기판 상에서, 상기 희생성 게이트의 상부와 전체적으로 평탄한 상면을 갖는 유전체층을 형성하는 단계와, 상기 희생성 게이트를 제거하여 상기 스페이서 사이에 개구를 형성하는 단계와, 상기 개구 아래에서부터 에칭 멈춤층을 제거하는 단계와, 상기 개구 아래에서 상기 반도체 영역 상에 게이트 유전체를 형성하는 단계와, 상기 스페이서의 게이트 유전체와 측벽에 접촉하도록 상기 개구 안에 제1 금속층을 침착하는 단계와, 상기 개구 안에서 상기 제1 금속층 상에 확산 장벽층을 형성하는 단계와, 상기 개구 안에서 상기 확산 장벽층 상에 제2 금속층을 침착하는 단계를 포함한다.
본 발명의 양호한 양태에 따르면, 개구 외부에서 유전체층 위에 있는 침착된 금속을 제거하기 위해서 화학적 기계 연마법을 이용한다.
본 발명의 다른 양태에 따르면, 게이트를 구비한 트랜지스터를 포함하는 집적 회로가 제공되며, 게이트는, 실질적으로 금속 및 금속 화합물을 포함하는 그룹에서 선택된 적어도 하나의 재료로 이루어지며, 기판의 반도체 영역 상에 형성된 게이트 유전체와 접촉하는 제1층과, 상기 제1 금속층 위에 배치된 확산 장벽층과, 상기 확산 장벽 위에서, 실질적으로 금속과 금속 화합물을 포함하는 그룹에서 선택된 적어도 하나의 금속으로 이루어지는 제2 금속층을 포함하며, 상기 제1 및 제2 금속층과 확산 장벽층은 한 쌍의 유전체 스페이서 사이에 있는 개구 안에 배치되는 것이다.
도 1은 개구 안에 두꺼운 텅스텐층의 침착으로 인한 잠재적인 핀치오프 문제를 나타내는 도면이다.
도 2a 내지 도 5는 본 발명의 일 실시예에 따른 희생성 게이트 구조의 형성에서 초기 공정 단계를 나타내는 도면들이다.
도 6a는 희생성 게이트 구조의 제거에 후속하여 표면에 스페이서가 형성된 기판의 단면도이다.
도 6b는 희생성 게이트 구조를 제거한 후에 새로운 게이트 산화물층이 침작된 본 발명의 다른 실시예를 나타내는 도면이다.
도 7 내지 도 10은 본 발명의 일 실시예에 따른 금속 게이트 구조의 형성에서의 후속 단계를 나타내는 도면들이다.
본 발명은 구체적으로, 트렌지스터에서의 금속 게이트의 형성에 관한 문제에 착안하여 해결한다. 본 발명의 실시예에 있어서, 금속은 그 금속이 이용되는 트랜지스터의 도전성 타입과의 작업함수 적합성에 맞게 선택될 수 있다. 예컨대, NFET에 있어서, n 타입의 도전성 반도체의 금속과 동등한 작업함수를 갖는 금속 게이트는 p 타입의 반도체 금속과 동등한 작업함수 또는 중간범위의 작업함수를 갖는 금속보다 우수하게 수행한다. 본 발명의 실시예에 있어서, 그 단계에 이용된 특정 금속 선택을 추후 공정에서 분리하는 집적 구조 및 방법으로, 바람직한 작업함수를 갖는 금속을 게이트 유전체와 접촉하게 형성할 수 있는 구조 및 방법이 제공된다.
본 명세서에 개시하는 실시예에서, 게이트와 접촉하여 형성되는 특정 금속은 게이트 유전체 재료와의 적합성에 맞게 선택될 수 있다. 예컨대, 게이트 유전체는 하프늄 산화물(HfO2) 또는 지르코늄 산화물(ZrO2) 등의 고 유전 상수 K에 맞게 특별히 선택된 재료를 포함할 수 있다. 그리고 게이트는 하프늄(Hf) 또는 지르코늄(Zr) 등의 적합성이 뛰어난 게이트 유전체와 접촉하는 금속을 포함할 수 있다.
본 발명의 다른 실시예에서는, 제1 금속층이 게이트 유전체와 접촉하여 형성된다. 이 층은 게이트 유전체 상에 금속을 침착할 때에 작업함수, 게이트 유전체와의 적합성, 또는 공정 특징에 있어서 특성에 맞게 바람직하게 선택된다. 그리고 그 제1 금속층 위에 확산 장벽층이 형성된다. 그 후에, 확산 장벽층 위에 제2 금속층이 형성된다. 확산 장벽층이 존재하기 때문에, 제2 금속층에 이용되는 금속에 대해 더 많은 선택을 할 수 있다. 그렇기 때문에, 예컨대 제2층을 형성하는데 이용되는 침착 공정의 특징에 맞는 금속을 선택할 수 있다.
게이트 유전체와 접촉하는 제1 금속층의 바람직한 재료로서 텅스텐(W)을 갖는 금속 게이트를 형성하기 위한 구조 및 공정이 제공된다. 텅스텐(W)은 n 타입과 p 타입의 반도체 재료의 작업함수 간의 차이의 중간쯤되는 작업함수를 갖기 때문에 특히 금속 게이트에 맞는 다용도 재료이다. 그렇기 때문에, 텅스텐은 전자가 주요 전하 캐리어인 n 타입의 전계 효과 트랜지스터(NFET)와, 또한 홀이 주요 전하 캐리어인 p 타입의 전계 효과 트랜지스터(PFET)의 금속 게이트에 이용될 수 있다. 더욱이, 예컨대 스퍼터링과 같은 물리적 기상 증착(PVD)법으로만 침작되는 일부 다른 금속과 달리, 텅스텐은 화학적 기상 증착(CVD)법을 이용해서 침작될 수 있다.
특정 실시예에서는 높이 대 폭의 비율이 큰 개구, 즉 "높은 종횡비"를 갖는 개구에 금속 게이트를 형성하는 문제가 해결된다. 본 발명의 실시예에 의해 특정 침착 공정은 그 공정과 관련된 다른 문제에도 불구하고, 그 특정 장점에 맞게 선택될 수 있다. 예컨대, 게이트 유전체와 적합성이 있는 침착 공정에 의해 제1 금속층으로서 비교적 얇은 금속층이 형성될 수 있다. 그러나, 이 침착 공정은 전체 게이 트를 형성하는데 그 공정을 이용하려고 시도한다면 문제가 될 수 있다. 전술한 바와 같이, 텅스텐 카르보닐 (W(CO)6)로부터의 텅스텐의 침착은 실리콘 이산화물 등의 산화물 게이트 유전체와 적합성을 갖지만, 핀치오프 및 공극을 포함하는 충전 문제를 고 종횡비 개구에서 관찰할 수 있는 침착 공정이다.
도 2a는 반도체 기판(200)을 나타내고 있다. "기판"이란 용어는 본 명세서에는 편의상 사용되는 것으로서, 벌크 반도체 기판, "실리콘-온-절연체"(SOI) 기판과 같은 반도체-온-절연체 기판, 게르마늄(Ge) 기판 및 실리콘 게르마늄(SiGe) 기판 등을 포함한 다양한 종류의 기판을 포함한다. 그러한 기판은 주요 표면에 단결정 판도체 영역을 포함한다. 기판이라는 용어는 본 명세서에서 설명하는 공정을 박막 트랜지스터(TFT)을 형성하는데 이용하는 경우 얇게 침착된 반도체층을 갖는 기판에도 적용될 수 있다.
도면 부호 220으로 표시하는 절연 구조가 기판(200) 상에 형성된다. 도 2a에 도시하는 실시예에서는 절연 구조(220)가 융기하지만, 이들 구조가 반드시 융기할 필요는 없고 그 구조가 기판과 평탄화되는 경우에 다른 구조를 달성할 수도 있다. 더욱이, 절연 구조(220)는 얕은 트렌치 절연 등의 다양한 구조를 포함할 수 있고 기판(200) 상에 선택적으로 형성된다.
절연 구조(200) 옆에 그리고 그 절연 구조(200) 사이에 형성된 기판 영역을 활성 영역이라고 하고 도 2에서는 도면 부호 240으로 표시된다. 활성 영역(240)은 활성 전기 장치를 하우징할 것이다. 절연 구조의 용도는 여러 군데에서, 구체적으 로는 인접한 활성 영역(240)에서 장치 간에 전기 절연을 제공하는 것이다.
도 2b는 기판(200) 상에 희생성 폴리실리콘 게이트를 형성하기 위해 최초 공정 단계를 갖는 경우의 다음 공정 단계를 나타낸다. 도 2b에 도시하는 바와 같이, 기판(200) 상에서 침착 또는 성장에 의해 에칭 멈춤층(250)이 형성된다. 도 2b에 나타내는 본 발명의 양호한 실시예에서, 에칭 멈춤층(250)은 기판(200)이 실질적으로 실리콘으로 이루어지는 경우에 실리콘 이산화물 등의 산화물층을 포함한다. 그 경우에, 에칭 멈춤층(250)은 희생성 게이트 산화물층 또는 희생성 산화물층으로서 불려질 수 있다. 이와 다르게, 실리콘 질화물, 실리콘 산질화물 또는 다른 재료 등의 질화물이 에칭 멈춤층(250)에 이용될 수 있다. 에칭 멈춤층(250)은 산화물층인 것이 좋다. 그리고, 도 2b에 도시하는 바와 같이, 폴리실리콘층(260)이 에칭 멈춤층(250) 상에 희생성 게이트 재료로서 침착된다. 그 후, 도 3에 도시하는 바와 같이, 희생성 게이트 재료(260)와 에칭 멈춤층(250)은 함께 패터닝된다. 희생성 게이트가 NFET와 PFET 트랜지스터에 넓게 이용되는 폴리실리콘으로 형성되기 때문에, 패터닝 공정을 용이하게 이용할 수 있다.
도 3에 도시한 실시예에서는 산화물층(250)으로서 마련된 에칭 멈춤층과 그 위에 있는 폴리실리콘층을 포함한 게이트 스택 구조(300)을 패터닝하기 위해 포토리소그래피를 이용한다. 포토리소그래피 절차에 이어서 반응성 이온 에칭(RIE) 등의 이방성 에칭 공정을 수행하지만, 그 대신에 다른 방법을 이용할 수도 있다.
다음 공정 단계에서, 도 4에 도시하는 바와 같이 게이트 스택 구조(300)의 측벽 상에 스페이서가 형성된다. 실시예에서, 스페이서(400)는 희생성 게이트(300) 의 측벽에 부착될 때에, 수직 지향적 스페이서만 그 후에 있도록 RIE 등의 이방성 수직 에칭이 이어지는 등각 스페이서 재료의 침착에 의해 형성된다. 스페이서(400)의 구성 재료는 이용되는 특정 공정 단계들의 통합을 위해 선택된 다양한 가용 재료 중 하나 이상의 재료를 포함할 수 있다. 예컨대, 에칭 멈춤층(250)이 산화물을 포함하는 경우, 스페이서(400)는 에칭 멈춤층이 이방성 습식 에칭에 의해 제거되는 추후 공정 단계에서, 에칭 선택성이 비산화물 스페이서와 산화물 에칭 멈춤층(250)에 제공되도록 실리콘 질화물 또는 다른 질화물 등의 비산화물 재료로 형성되는 것이 좋다. 이와 다르게, 에칭 멈춤층(250) 사이에 실리콘 질화물 등의 질화물로 이루어지는 경우, 스페이서(400)는 비질화물 스페이서와 질화물 에칭 멈춤층(250) 사이에 에칭 선택성을 제공하도록 비질화물 재료로 이루어지는 것이 좋다. 에칭 멈춤층(250)이 실리콘 산질화물로 이루어지는 경우, 스페이서(400)는 산화물 또는 질화물에 에칭 선택성을 갖는 등방성 습식 에칭 화학작용으로서 산화물 또는 질화물로 이루어질 수 있다.
또한, 도 4는 부호 410과 420으로 표시하는 소스 및 드레인 영역을 형성하는 공정을 나타내고 있다. 희생성 폴리실리콘 게이트를 이용하기 때문에, 기판(200)은 활성 영역(240)에 소스 및 드레인 영역(410, 420)을 형성하도록 도핑될 수도 있다. 도핑 공정은 소스 및 드레인 영역(410, 420)의 도전성을 변경하기 위해 이온을 기판에 주입하는 것을 필요로 한다. 전술한 바와 같이 폴리실리콘은 도 4에 도면 부호 405로 표시하는 채널 영역에 그러한 주입을 차단할 수 있는 특성이 있다.
도 4에서 도면부호 410과 420으로 표시되는 영역은 가볍게 도핑된 소스/드레 인 확장 영역을 형성하기 위해 이온 주입될 수 있고 및/또는 헤일로 주입될 수 있다. 또한, 원하는 특정 구성요소를 형성하기 위하여 원한다면 n 타입과 p 타입의 불순물 중 한쪽 또는 양쪽이 주입될 수 있다. 예컨대 회로를 상보형 금속 산화물 반도체(CMOS) 기술로 구현하려고 한다면, n 타입과 p 타입의 도펀트를 기판의 각각의 영역에 주입하여 그 기술로 n 타입과 p 타입 트랜지스터의 소스 및 드레인 영역을 형성한다. 폴리실리콘은 도핑된 원자의 이온 주입을 차단할 수 있는 특성이 있기 때문에, 희생성 폴리실리콘 게이트(300)과 스페이서(400)는 함께 주입 마스크로서 기능한다.
이들 금속 게이트 공정의 초기 단계에서 희생성 폴리실리콘 게이트를 이용함으로써 고온의 공정이 수행될 수 있다. 예컨대, 고온의 도펀트 드라이브-인 공정은 대개 게이트를 패터닝한 후에 기판의 소스 및 드레인 영역에 도펀트를 주입하는 후속 공정이 필요하다.
다음에, 도 5에 도시하는 바와 같이, 레벨간 유전체(500)층은 기판(200) 위에 침착된 블랭킷이다. 그리고 실시예에 있어서 레벨간 유전체(500)층이 평탄화되어 희생성 게이트 스택(300)에서 멈춘다. 다양한 공정이 화학적 기계 연마 등의 평탄화에 이용 가능하다.
도 6a에서, 희생성 게이트(260)가 RIE에 의해 제거되며 아래에서 기판(200)의 표면을 손상시키는 것을 아래에서 막기 위하여 에칭 멈춤층(250) 상에 중지된다. 그 후, 에칭 멈춤층(250)은 제거되는 것이 좋고, 도 6a에 도시하는 바와 같이, 기판(200)의 표면이 스페이서(400) 사이에 있는 개구(600)에서 노출된다. 개구 (600)는 기판(200)의 상부와 스페이서(400)의 측벽(610)에 의해 둘러싸인다.
다른 실시예에서는 에칭 멈춤층(250)이 게이트 유전체로서 수행하기에 적절한 재료와 두께로 형성되어 있는 경우, 폴리실리콘 게이트(260)만이 제거된 후에 에칭 멈춤층(250)은 최종 게이트 유전체로서 그 자리에 있게 된다. 그렇게 하는 것은, 에칭 멈춤층(250)을 제거하고 새로운 게이트 유전체(650)를 형성하는 단계를 피할 수 있기 때문에, 공정을 간략화하는 것이다.
그러나, 희생성 폴리실리콘 게이트(260)의 제거 시에, 특히 희생성 게이트가 RIE에 의해 제거될 경우에 에칭 멈춤층(250)이 손상될 가능성이 있기 때문에, 전체 에칭 멈춤층(250)이 제거된 후, 기판(200)의 표면 상에 최종 게이트 유전체가 형성되는 것이 좋다. 포스트 에칭 세척은 에칭 멈춤층(250)의 제거 후에 수행되는 것이 좋다.
에칭 멈춤층(250)을 제거한 다음 게이트 유전체(650)로서 이용되는 새로운 층을 형성하면, 새로운 층(650)의 형성 시의 상태가 최종 게이트 유전체를 제공하는 목적에 맞게 최적화될 수 있다는 장점이 있다. 그렇기 때문에, 이 실시예에서는 최종 게이트 유전체의 재료 및 두께를 보다 정밀하게 제어할 수 있다.
에칭 멈춤층(250)이 제거되는 실시예에서, 도 6b에 도시하는 바와 같이, 새로운 게이트 유전체(650)가 기판(200)의 표면 상에 형성된다. 양호한 실시예에서, 산화물층을 갖는 게이트 유전체(350), 또는 이와 다르게 질화물층이 개구(600) 내에서 기판(200) 상에 열적 성장한다. 다른 실시예에서는 저압의 화학적 기상 증착(LPCVD)법과 같은 침착에 의해 게이트 유전체(650)가 형성된다. 게이트 유전체에 대하여 다른 재료 선택이 존재한다. 예컨대, 하프늄 산화물(HfO2) 또는 지르코늄 산화물(ZrO2)로 된 게이트 유전체를, 실리콘 이산화물, 실리콘 질화물 또는 실리콘 산질화물보다 유전 상수 K가 높은 게이트 유전체로서 형성할 수 있다. 그러한 고 K 게이트 유전체는 희생성 트랜지스터 스위칭 성능없이도 유전체 브레이크 다운을 방지하기 위해서 두께운 게이트 유전체가 필요한 경우와 같은 특별한 적용에 유리할 수 있다.
최종 게이트 유전체(650)가 형성되었다면, 최종 게이트가 형성될 수 있다. 도 7은 금속 또는 도전성 금속 화합물을 포함하는 최종 게이트를 형성하는 단계를 나타내고 있다. 도 7 내지 도 10에 도시하는 예시적인 실시예에서, 텅스텐은 게이트 유전체(650)와 접촉하는 제1층(700)의 양호한 재료로서 이용된다. 선택의 범위는 게이트 유전체(650) 상에 게이트의 제1 금속층(700)으로서 침착될 수 있는 금속 및 금속 화합물에 맞게 존재한다. 그러한 선택은 이리듐(Ir), 니오브(Nb), 백금(Pt), 레늄(Re), 로듐(Rh), 루테늄(Ru), 탄탈(Ta), 탄탈 질화물(TaN), 탄탈 실리콘 질화물(TaSiN), 텅스텐(W), 및 바나듐(V)을 포함하나, 여기에 한정되지는 않는다.
또한, 게이트 유전체가 특정 재료, 예컨대 하프늄 산화물(HfO) 또는 지르코늄 산화물(ZrQ) 등의 고 K 유전체 재료로 구성되는 경우, 제1층(700)의 금속은 게이트 유전체(650)와의 특정 적합성에 맞게 선택될 수 있다. 예컨대, 하프늄(Hf)으로 이루어진 제1 금속층(700)은 하프늄 산화물(HfO2)로 이루어진 게이트 유전체(650)와 접촉하게 형성될 수 있다. 마찬가지로, 지르코늄(Zr)으로 이루어진 제1 금 속층(700)은 지르코늄 산화물(ZrO2)로 이루어진 게이트 유전체(650)와 접촉하게 형성될 수 있다.
이들 금속 및 금속 화합물의 대다수의 경우, 물리적 기상 증착법, 예컨대 스퍼터링만으로 현재 침착된다. 그러나 텅스텐 침착의 경우에는 화학적 기상 증착법도 가능하다. 그렇기 때문에, 텅스텐은 금속 게이트를 형성하는데 이용되는 양호한 재료이다. 더욱이, 텅스텐의 작업함수는 n 타입과 p 타입 반도체 재료의 작업함수 사이의 중간 범위에 있다. 그 결과, 텅스텐은 PEFT와 NFET 양쪽의 금속 게이트에 유리하게 이용될 수 있다.
이제 금속 게이트를 형성하기 위한 바람직한 실시예를 제1 금속층(700)이 텅스텐층인 것에 대해서 설명하기로 한다. 도 7에 도시하는 바와 같이, 도면부호 700으로 표시되는 얇은 텅스텐(W)층이 게이트 유전체(650)와 접촉하여 개구(600) 안에 침착된다. 편의상, 게이트 유전체는 그것이 새로 형성된 게이트 유전체(650)이던지, 이전에 에칭 멈춤층(350)으로 이용된 후에 적절한 유전체층으로서 재이용된 것인지에 관계없이 도면부호 750으로 나타내기로 한다.
텅스텐으로 이루어진 제1 금속층(700)은 전구체 가스로서 양호하게는 텅스텐 카르보닐, W(CO)6을 이용하여 화학적 기상 증착(CVD)법에 의해 침착되는 것이 좋다. 도면부호 700으로 표시하는 텅스텐층은 최상의 결과를 위해 얇게 유지된다. 본 발명의 양호한 실시예에 있어서, 텅스텐층(700)은 2 내지 20 nm의 범위, 양호하게는 2 내지 10 nm의 범위의 두께로 증착된다. 텅스텐층(700)은 기판과 스페이서 측벽 (610)의 적어도 부분을 덮는 층이다. 도 7에 나타내는 본 발명의 실시예에서, 텅스텐층은 개구(600) 내에서 그리고 산화물층(750) 위에서 텅스텐(610)의 전체 측벽을 피복하도록 침착된다.
도 8은 금속 게이트의 형성에 있어서 후속 공정 단계를 나타내고 있다. 도 8에서, 확산 장벽층은 개구(600) 내에 침착되어 얇은 텅스텐층(700)을 피복한다. 확산 장벽층은 티타늄 질화물(TiN), 하프늄 질화물(HfN) 및 지르코늄 질화물(ZrN) 등의 하나 이상의 재료를 포함할 수 있다. 이들 화합물의 각각은 게이트 유전체(650)와 접촉하는 제1 금속층(700)으로서 형성된 다양한 금속에 대한 확산 장벽층으로서 이용될 수 있다. 하프늄 질화물 또는 지르코늄 질화물을 포함하는 확산 장벽층은 제1 금속층이 하프늄 또는 지르코늄을 각각 포함할 때 가장 양호하게 이용된다. 후술하는 양호한 실시예에서, 확산 장벽층(800)은 티타늄 질화물을 포함하며, 티타늄 질화물층(800) 또는 TiN층(800)이라고 하기로 한다.
제1 금속층(700)이 텅스텐으로 형성되는 양호한 실시예에서, 확산 장벽층은 텅스텐과 접촉하여 침착된 얇은 티타늄층과, 후속하여 침착되는 도면부호 800으로 표시하는 티타늄 질화물(TiN)층을 포함하는 것이 좋다. 이 얇은 티타늄층은 접착 재료 또는 접착층이라고도 한다. 확산 장벽층(800)을 형성하기 위해 다양한 공정을 사용할 수 있다. 이와 다르게, 확산 장벽층(800)은 원자층 증착(ALD) 및 물리적 기상 증착(PVD)법을 이용해서 침착될 수도 있다.
티타늄 질화물의 침착에 의한 확산 장벽층(800)의 형성은 그 확산 장벽층의 특성을 강화시키기 위해 어닐링 공정을 후속하는 것이 좋다. 어닐링 공정은 침착된 티타늄 질화물층(800)의 밀도를 높이기 위해 수행된다. 금속 게이트층을 손상시키지 않도록 저온에서 어닐링 공정을 수행하는 것이 좋다. 어닐링 공정이 수행되는 실시예에 있어서 온도는 400 내지 600℃의 범위에서 유지된다. 양호한 실시예에서, 어닐링 고정은 30분 동안 400 내지 600℃의 범위에서 H2/N2 혼합을 이용하여 수행된다.
양호한 실시예에서, TiN층(800)의 침착 및 선택적 어닐링 공정에 이어진 다음 공정 단계는 TiN층 위에 제2 금속층(900)의 침착이다. 그 위치에서 확산 장벽층(800)을 이용하여, 확산 장벽층(800)이 제1층(700)의 재료와 제2층(900)의 재료간의 반응을 방지하기 때문에 제2 금속층 또는 금속 화합층을 다양한 금속 및 금속 화합물에서 선택할 수 있다. 양호하게는, 제2층(900)은 침착 공정의 용이성 및 등각 특성에 맞게 선택된 금속 또는 금속 화합물을 포함하는 것이 좋다. 양호하게는 제2층(900)은 텅스텐을 포함하는 것이 좋다.
제2층(900)을 침착하는 공정은 도 9에서 텅스텐층이 제2층(900)으로서 침착되도록 예시한다. 실시예에서, 제2층(900)은 WF6을 침착 전구체 또는 소스 가스로서 이용하는 CVD 공정을 이용하여 침착될 수 있다. 도 9의 예에서 나타내는 바와 같이, WF6의 침착은 도면부호 900으로 나타내는 바와 같이 하향 방향으로 이루어진다. 텅스텐의 성장 방향은 도면 부호 910으로 표시되는 바와 같이, 개구의 측벽(920)을 따라 개구(600)에서 상향 및 측향이다.
제1 금속층(700)과 제2 금속층 간의 확산 장벽층(800)의 침착은 여러 이점을 제공한다. 그 중 하나는, TiN 등의 대부분의 확산 장벽층은 도 9에 도시하는 바와 같이, 측벽(920)을 따라 양호한 표면 핵형성을 제공한다는 것이다. 강화된 표면 핵형성은 특히, CVD 공정이 전구체 또는 소스 가스로서 WF6을 이용하여 수행되는 경우에 텅스텐의 접착을 강화시킨다. 강화된 표면 핵형성 및 강화된 텅스텐 접착은 현재 실시되는 종래의 방법론과 종종 관련되는 문제인, 텅스텐의 박리를 저감시킬 수 있다.
또한, TiN 및 기타 확산 장벽층은 등각 특성이 두드러지기 때문에 W(CO)F6 전구체로부터 침착되는 텅스텐층의 비등각 성질로 인한 문제를 방지할 수 있다. 이것은 특히 W(CO)6 전구체로부터의 텅스텐 침착 시에 발생하는 게이트의 중간부에서의 공극 형성 및 핀치 오프 문제를 해결한다. 이것은 WF6 전구체로부터의 텅스텐 침착이 다른 방향보다는 개구의 측벽(610)에서 보다 일정하게 개구를 충전한다는 이유 때문이다.
또한, TiN 및 기타 확산 장벽층은 플루오르 침투에 대한 장벽이다. WF6 등의 가스를 텅스텐 게이트의 침착을 위해 이용할 때 플루오르가 아래에 있는 실리콘을 손상시킬 수 있기 때문에, TiN층 및 기타 확산 장벽층은 게이트 산화물층을 통한 침투의 가능성을 감소시킬 수 있다. 이에 의해 박막(양호하게는 2-20 nm)의 텅스텐을 초기에 이용할 수 있어 공정 비용을 크게 줄일 수 있다.
도 10은 본 발명의 양호한 실시예에서 형성된 금속 게이트(1000)를 도시하고 있다. 도 10에 도시하는 바와 같이, 형성된 금속 게이트(1000)는 게이트 유전체(650)와 접촉하는 제1 금속층 또는 금속 화합물층(700)과, 본 명세서에서는 양호한 티타늄-TiN의 양호한 구조인 확산 장벽층(800)과, 텅스텐 등으로 이루어진 상부 금속층(900)을 포함한다. 금속 게이트가 제1 및 제3층(700, 900)으로서 텅스텐을 포함하는 경우, 그 게이트는 전체적으로 텅스텐으로 형성된 게이트의 제조 및 성능을 성가시게 하는 일부 문제없이, 전체적으로 텅스텐으로 형성된 게이트와 같이 동작한다.
금속 게이트(1000)는 화학적 기계 연마 공정을 이용하고 유전체층(500) 상에서 중지하여 평탄화될 수 있다. 게이트 접촉부(도시 생략)는 칩의 다른 소자들과의 상호 접속을 위해 위에서부터 금속 게이트 구조(1000)에 제공될 수 있다. 도 10에 도시하는 실시예에 따라 형성된 금속 게이트는 구조적으로 결함있는 게이트, 즉 공극을 가진 게이트와 박리에 취약한 게이트 등의 종래 기술의 금속 게이트 공정과 관련된 문제를 해결한다.
본 발명을 소정의 양호한 실시예에 따라 설명하였지만, 당업자라면 첨부하는 청구범위에 의해서만 한정되는 본 발명의 사상 및 범주에서 벗어나지 않고 다양한 변형 및 개선이 이루어질 수 있음을 이해할 것이다.
본 발명의 금속 게이트 구조는 트랜지스터를 포함한 반도체 집적 회로 등의 고성능 장치에 유용하다.

Claims (32)

  1. 집적 회로의 트랜지스터 내의 금속 게이트 구조체(1000)로서,
    실질적으로 금속 및 금속 화합물 중 적어도 하나로 이루어진 제1층(700)으로서, 기판(240)의 반도체 영역에 형성된 트랜지스터 채널 영역과 접촉하는 게이트 유전체(750)에 접촉하며, 전구체를 함유하는 카르보닐로부터 침착되는 상기 제1층(700)과;
    상기 제1층(700) 위에 있는 확산 장벽층(800)과;
    실질적으로 금속 및 금속 화합물 중 적어도 하나로 이루어진 제2층(900)으로서, 전구체를 함유하는 플루오르로부터 침착되며, 상기 확산 장벽층(800) 위에 있는 상기 제2층(900)
    을 포함하고,
    상기 제1 및 제2층(700, 900)과 상기 확산 장벽층(800)은 한쌍의 유전체 스페이서(400) 사이에 있는 개구(600) 안에 침착되는 것인 금속 게이트 구조체.
  2. 제1항에 있어서, 상기 제1층(700)은 이리듐(Ir), 니오브(Nb), 백금(Pt), 레늄(Re), 로듐(Rh), 루테늄(Ru), 탄탈(Ta), 탄탈 질화물(TaN), 탄탈 실리콘 질화물(TaSiN), 텅스텐(W), 및 바나듐(V)을 포함하는 그룹에서 선택된 실질적으로 적어도 하나의 재료로 이루어진 것인 금속 게이트 구조체.
  3. 제2항에 있어서, 상기 제2층(900)은 이리듐(Ir), 니오브(Nb), 백금(Pt), 레늄(Re), 로듐(Rh), 루테늄(Ru), 탄탈(Ta), 탄탈 질화물(TaN), 탄탈 실리콘 질화물 (TaSiN), 텅스텐(W), 바나듐(V), Ni 실리사이드, Co 실리사이드, 및 Ti 실리사이드를 포함하는 그룹에서 선택된 실질적으로 적어도 하나의 재료로 이루어진 것인 금속 게이트 구조체.
  4. 청구항 4은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제1 및 제2층(700, 900)은 실질적으로 텅스텐으로 이루어진 것인 금속 게이트 구조체.
  5. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제1층(700)과 제2층(900) 중 적어도 하나는 상기 금속 게이트를 원하는 작업함수로 조정하기 위한 불순물 농도를 포함하는 것인 금속 게이트 구조체.
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제1층(700)은 상기 제2층(900)보다 얇은 것인 금속 게이트 구조체.
  7. 청구항 7은(는) 설정등록료 납부시 포기되었습니다.
    제6항에 있어서, 상기 제1층(700)의 두께는 약 2 nm와 20 nm 사이인 것인 금속 게이트 구조체.
  8. 제1항에 있어서, 상기 확산 장벽층(800)은 티타늄 질화물, 하프늄 질화물, 및 지르코늄 질화늄 중 적어도 하나를 포함하는 것인 금속 게이트 구조체.
  9. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.
  10. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 게이트 유전체(750)는 실질적으로 산화물로 이루어진 것인 금속 게이트 구조체.
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제10항에 있어서, 상기 산화물 게이트 유전체(750)는 상기 반도체 영역의 반도체 재료의 산화물인 것인 금속 게이트 구조체.
  12. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.
    제11항에 있어서, 상기 반도체 영역은 실리콘을 포함한 단결정 영역이고, 상기 산화물 게이트 유전체는 실질적으로 실리콘 이산화물로 이루어진 것인 금속 게이트 구조체.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 기판(240)은 벌크 반도체 기판, 반도체-온-절연체 기판, 실리콘-온-절연체 기판, 실리콘-게르마늄 기판, 및 게르마늄 기판을 포함하는 그룹에서 선택되는 것인 금속 게이트 구조체.
  14. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 반도체 영역은 다결정 실리콘 및 비정질 실리콘을 포함하는 그룹에서 선택된 적어도 하나를 포함하는 것인 금속 게이트 구조체.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
  16. 청구항 제1항에 기재한 금속 게이트 구조체를 제조하는 방법으로서,
    기판(240)의 반도체 영역 상에 에칭 멈춤층(250)을 형성하는 단계와;
    상기 에칭 멈춤층(250) 상에 희생성 게이트(260)를 형성하여 희생성 게이트 구조체(300)를 형성하는 단계와;
    상기 희생성 게이트 구조체(300)의 측벽 상에 한쌍의 유전체 스페이서(400)를 마련하는 단계와;
    상기 기판(240) 상에 상기 희생성 게이트(260)의 상부와 거의 평탄한 상부 표면을 갖는 유전체층(500)을 형성하는 단계와;
    상기 희생성 게이트(260)를 제거하여 상기 스페이서(400) 사이에 개구(600)를 형성하는 단계와;
    상기 개구(600)의 바닥부로부터 상기 에칭 멈춤층(250)을 제거하는 단계와;
    상기 개구(600) 안에서 상기 반도체 영역 상에 게이트 유전체(750)를 형성하는 단계와;
    상기 개구(600) 안에서 상기 게이트 유전체(750)와 상기 스페이서(400)의 측벽에 접촉하여 금속 및 금속 화합물 중 적어도 하나로 이루어진 제1층(700)을 침착하는 단계와;
    상기 개구(600) 안에서 상기 제1층(700) 상에 확산 장벽층(800)을 형성하는 단계와;
    상기 개구(600) 안에서 상기 확산 장벽층(800) 상에 금속 및 금속 화합물 중 적어도 하나로 이루어진 제2층(900)을 침착하는 단계
    를 포함하며,
    상기 제1층(700)은 전구체를 함유한 카르보닐로부터 침착되고, 상기 제2층(900)은 전구체를 함유한 플루오르로부터 침착되는 것인 금속 게이트 구조체의 제조 방법.
  17. 청구항 17은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 게이트 유전체(750)는 열적 성장에 의해 형성되는 것인 금속 게이트 구조체의 제조 방법.
  18. 제16항에 있어서, 상기 제1층(700)은 W(CO)6 전구체로부터 침착되고, 상기 제2층(900)은 WF6 전구체로부터 침착되는 것인 금속 게이트 구조체의 제조 방법.
  19. 청구항 19은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 희생성 게이트(260)는 폴리실리콘을 포함하는 것인 금속 게이트 구조체의 제조 방법.
  20. 청구항 20은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 확산 장벽층(800)을 형성하기 전에 접착 재료층을 침착하는 단계를 더 포함하는 금속 게이트 구조체의 제조 방법.
  21. 제20항에 있어서, 상기 확산 장벽층(800)은 실질적으로 탄탈 질화물(TiN)로 이루어지고, 상기 접착 재료는 실질적으로 티타늄(Ti)으로 이루어지는 것인 금속 게이트 구조체의 제조 방법.
  22. 제16항에 있어서, 상기 희생성 게이트(260)와 에칭 멈춤층(250)은 에칭에 의해 제거되는 것인 금속 게이트 구조체의 제조 방법.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 에칭 멈춤층(250)은 실질적으로 산화물층으로 이루어진 것인 금속 게이트 구조체의 제조 방법.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 제1층(700)은 화학적 기상 증착(CVD)법을 이용하여 침착되는 것인 금속 게이트 구조체의 제조 방법.
  25. 청구항 25은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 제1층(700)은 원자층 증착(ALD)법을 이용하여 침착되는 것인 금속 게이트 구조체의 제조 방법.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 제1층(700)은 물리적 기상 증착(PVD)법을 이용하여 침착되는 것인 금속 게이트 구조체의 제조 방법.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 제2층(900)을 형성하기 전에 상기 확산 장벽층(800)을 어닐링하는 단계를 더 포함하는 금속 게이트 구조체의 제조 방법.
  28. 제27항에 있어서, 상기 확산 장벽층(800)은 400 내지 600℃에 이르는 온도에서 어닐링되는 것인 금속 게이트 구조체의 제조 방법.
  29. 청구항 29은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 제2층(900)은 화학적 기상 증착(CVD)법을 이용하여 침착되는 것인 금속 게이트 구조체의 제조 방법.
  30. 청구항 30은(는) 설정등록료 납부시 포기되었습니다.
    제16항에 있어서, 상기 금속 게이트(1000)를 상기 유전체층(500)의 레벨까지 평탄화하는 단계를 더 포함하는 금속 게이트 구조체의 제조 방법.
  31. 제30항에 있어서, 상기 평탄화는 화학적 기계 연마에 의해 수행되는 것인 금속 게이트 구조체의 제조 방법.
  32. 삭제
KR1020067003226A 2003-09-09 2004-08-20 고성능 장치의 금속 대체 게이트의 구조체 및 방법 KR100791433B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/605,106 US6921711B2 (en) 2003-09-09 2003-09-09 Method for forming metal replacement gate of high performance
US10/605,106 2003-09-09

Publications (2)

Publication Number Publication Date
KR20060098361A KR20060098361A (ko) 2006-09-18
KR100791433B1 true KR100791433B1 (ko) 2008-01-07

Family

ID=34225869

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067003226A KR100791433B1 (ko) 2003-09-09 2004-08-20 고성능 장치의 금속 대체 게이트의 구조체 및 방법

Country Status (8)

Country Link
US (1) US6921711B2 (ko)
EP (1) EP1668706B1 (ko)
JP (1) JP4629674B2 (ko)
KR (1) KR100791433B1 (ko)
CN (1) CN1846313B (ko)
AT (1) ATE438928T1 (ko)
DE (1) DE602004022435D1 (ko)
WO (1) WO2005024906A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101386115B1 (ko) 2012-06-18 2014-05-07 한국전기연구원 낮은 게이트 저항을 갖는 SiC UMOSFET 제조방법

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296491A (ja) * 2003-03-25 2004-10-21 Sanyo Electric Co Ltd 半導体装置
US7276408B2 (en) * 2003-10-08 2007-10-02 Texas Instruments Incorporated Reduction of dopant loss in a gate structure
US20050151166A1 (en) * 2004-01-09 2005-07-14 Chun-Chieh Lin Metal contact structure and method of manufacture
US7390709B2 (en) * 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7189431B2 (en) * 2004-09-30 2007-03-13 Tokyo Electron Limited Method for forming a passivated metal layer
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7224630B2 (en) * 2005-06-24 2007-05-29 Freescale Semiconductor, Inc. Antifuse circuit
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7214994B2 (en) * 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US7867845B2 (en) * 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
US7663237B2 (en) * 2005-12-27 2010-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Butted contact structure
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP4492589B2 (ja) * 2006-06-20 2010-06-30 ソニー株式会社 半導体装置の製造方法
KR100843879B1 (ko) * 2007-03-15 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US20080230906A1 (en) * 2007-03-22 2008-09-25 Keith Kwong Hon Wong Contact structure having dielectric spacer and method
JP4552973B2 (ja) * 2007-06-08 2010-09-29 セイコーエプソン株式会社 半導体装置の製造方法
KR100863534B1 (ko) * 2007-06-27 2008-10-15 주식회사 하이닉스반도체 금속게이트를 구비한 반도체소자 및 그 제조 방법
US7718514B2 (en) * 2007-06-28 2010-05-18 International Business Machines Corporation Method of forming a guard ring or contact to an SOI substrate
US7906390B2 (en) * 2007-07-20 2011-03-15 International Business Machines Corporation Thin gate electrode CMOS devices and methods of fabricating same
US7795097B2 (en) * 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US7800166B2 (en) 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8048752B2 (en) * 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
US8735235B2 (en) * 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US8030718B2 (en) * 2008-09-12 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Local charge and work function engineering on MOSFET
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US7923321B2 (en) * 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US7915105B2 (en) * 2008-11-06 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a metal gate
US7691701B1 (en) * 2009-01-05 2010-04-06 International Business Machines Corporation Method of forming gate stack and structure thereof
US20100314690A1 (en) * 2009-06-15 2010-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Sidewall-Free CESL for Enlarging ILD Gap-Fill Window
US8294202B2 (en) * 2009-07-08 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
CN102097382B (zh) * 2009-12-15 2013-07-24 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US8513107B2 (en) * 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
US8564072B2 (en) * 2010-04-02 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a blocking structure and method of manufacturing the same
US8551874B2 (en) 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
US8637390B2 (en) * 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
CN102299061B (zh) * 2010-06-22 2014-05-14 中国科学院微电子研究所 一种半导体器件的制造方法
CN102299154B (zh) 2010-06-22 2013-06-12 中国科学院微电子研究所 半导体结构及其制作方法
CN102386083B (zh) * 2010-09-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其栅介电层的制作方法
CN102420136B (zh) * 2010-09-25 2013-08-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US9006052B2 (en) 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN102487012B (zh) * 2010-12-02 2014-03-12 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
CN102487010B (zh) * 2010-12-02 2013-11-06 中芯国际集成电路制造(北京)有限公司 一种金属栅极及mos晶体管的形成方法
US8420473B2 (en) 2010-12-06 2013-04-16 International Business Machines Corporation Replacement gate devices with barrier metal for simultaneous processing
CN102544098B (zh) 2010-12-31 2014-10-01 中国科学院微电子研究所 Mos晶体管及其形成方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) * 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8519454B2 (en) 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US20120261770A1 (en) * 2011-04-14 2012-10-18 Kun-Hsien Lin Metal gate structure
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
CN102810561B (zh) * 2011-06-02 2015-12-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8569135B2 (en) 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US9755039B2 (en) 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
US8853700B2 (en) 2011-08-10 2014-10-07 International Business Machines Corporation Cross-coupling of gate conductor line and active region in semiconductor devices
US8962477B2 (en) * 2011-08-12 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature anneal for stress modulation
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8847333B2 (en) * 2011-09-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing metal gate devices with multiple barrier layers
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
KR20140049075A (ko) 2011-09-30 2014-04-24 인텔 코오퍼레이션 트랜지스터 게이트용 캡핑 유전체 구조
KR101780916B1 (ko) * 2011-09-30 2017-09-21 인텔 코포레이션 집적회로 구조 및 집적회로 구조의 제조 방법
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
CN103050403B (zh) * 2011-10-11 2015-09-30 中国科学院微电子研究所 一种半导体结构及其制造方法
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
CN103094114B (zh) * 2011-10-31 2016-04-20 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
CN102427032A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
CN102427030A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
CN103177945B (zh) * 2011-12-20 2016-03-30 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
CN103187255B (zh) * 2011-12-29 2015-12-16 中芯国际集成电路制造(上海)有限公司 高k金属栅电极的制作方法及其高k金属栅结构
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
CN103311247B (zh) * 2012-03-14 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
US8383473B1 (en) 2012-04-12 2013-02-26 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices
US9006094B2 (en) 2012-04-18 2015-04-14 International Business Machines Corporation Stratified gate dielectric stack for gate dielectric leakage reduction
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9666690B2 (en) * 2012-05-02 2017-05-30 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
CN103515235A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
KR20140003154A (ko) * 2012-06-29 2014-01-09 에스케이하이닉스 주식회사 반도체 장치 제조 방법
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
CN103579111B (zh) * 2012-07-26 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
KR101921465B1 (ko) 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
KR20140028992A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
CN103681604B (zh) * 2012-09-07 2017-11-14 中芯国际集成电路制造(上海)有限公司 带有自对准接触孔的半导体器件及其制备方法
US8896030B2 (en) * 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9299802B2 (en) 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US8999831B2 (en) 2012-11-19 2015-04-07 International Business Machines Corporation Method to improve reliability of replacement gate device
CN103855014B (zh) 2012-11-30 2017-10-20 中国科学院微电子研究所 P型mosfet及其制造方法
CN103855094A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件及其制造方法
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9202691B2 (en) * 2013-01-18 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having modified profile metal gate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9190319B2 (en) 2013-03-08 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structure
US9018054B2 (en) * 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9184254B2 (en) * 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
KR102078187B1 (ko) * 2013-05-31 2020-02-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9099393B2 (en) 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
CN104347418B (zh) * 2013-08-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US20150076624A1 (en) * 2013-09-19 2015-03-19 GlobalFoundries, Inc. Integrated circuits having smooth metal gates and methods for fabricating same
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
CN104716172B (zh) * 2013-12-12 2018-10-23 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
US9202809B2 (en) * 2014-02-06 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US20150332926A1 (en) * 2014-05-18 2015-11-19 United Microelectronics Corp. Method of Forming High-K Gates Dielectrics
CN105405764B (zh) * 2014-07-25 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
US9373641B2 (en) 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105489556B (zh) * 2014-10-13 2020-03-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9559059B2 (en) * 2014-10-29 2017-01-31 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a conductive capping layer
US9466530B2 (en) 2014-10-29 2016-10-11 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a metal silicide capping layer
CN105551957B (zh) * 2014-10-30 2019-12-03 中芯国际集成电路制造(上海)有限公司 Nmos晶体管及其形成方法
US10062762B2 (en) * 2014-12-23 2018-08-28 Stmicroelectronics, Inc. Semiconductor devices having low contact resistance and low current leakage
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016166628A1 (en) * 2015-04-13 2016-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US9941376B2 (en) * 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9659786B2 (en) 2015-07-14 2017-05-23 International Business Machines Corporation Gate cut with high selectivity to preserve interlevel dielectric layer
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107301950A (zh) * 2016-04-14 2017-10-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9847347B1 (en) 2016-11-07 2017-12-19 Globalfoundries Inc. Semiconductor structure including a first transistor at a semiconductor-on-insulator region and a second transistor at a bulk region and method for the formation thereof
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10043713B1 (en) 2017-05-10 2018-08-07 Globalfoundries Inc. Method to reduce FinFET short channel gate height
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
EP3502681B1 (en) 2017-12-21 2024-02-14 IMEC vzw Protecting a substrate region during fabrication of a fet sensor
KR102439279B1 (ko) 2018-01-11 2022-08-31 삼성전자주식회사 반도체 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI782109B (zh) 2018-10-03 2022-11-01 聯華電子股份有限公司 製作半導體元件的方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200101717A (ko) * 2019-02-20 2020-08-28 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110854075B (zh) * 2019-11-13 2022-10-18 上海华力集成电路制造有限公司 Cmos器件制造方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210062510A (ko) 2019-11-21 2021-05-31 대한민국(전북기계공업고등학교장) 시각장애인용 스마트 지팡이
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020058374A1 (en) * 2000-11-16 2002-05-16 Tae-Kyun Kim Method of forming dual-metal gates in semiconductor device
US6410376B1 (en) 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970010685B1 (ko) * 1993-10-30 1997-06-30 삼성전자 주식회사 누설전류가 감소된 박막 트랜지스터 및 그 제조방법
US5789312A (en) * 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
US6020248A (en) * 1997-06-26 2000-02-01 Nec Corporation Method for fabricating semiconductor device having capacitor increased in capacitance by using hemispherical grains without reduction of dopant concentration
JP3175700B2 (ja) * 1998-08-24 2001-06-11 日本電気株式会社 メタルゲート電界効果トランジスタの製造方法
KR100275752B1 (ko) * 1998-11-18 2000-12-15 윤종용 접합 스페이서를 구비한 컨케이브 커패시터의 제조방법
JP2001044423A (ja) * 1999-07-29 2001-02-16 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6271106B1 (en) * 1999-10-29 2001-08-07 Motorola, Inc. Method of manufacturing a semiconductor component
US6303447B1 (en) * 2000-02-11 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6218301B1 (en) * 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6528362B1 (en) * 2000-10-19 2003-03-04 Advanced Micro Devices, Inc. Metal gate with CVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
US20020190379A1 (en) * 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020142531A1 (en) * 2001-03-29 2002-10-03 Hsu Sheng Teng Dual damascene copper gate and interconnect therefore
JP3974507B2 (ja) 2001-12-27 2007-09-12 株式会社東芝 半導体装置の製造方法
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US20020058374A1 (en) * 2000-11-16 2002-05-16 Tae-Kyun Kim Method of forming dual-metal gates in semiconductor device
US6410376B1 (en) 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101386115B1 (ko) 2012-06-18 2014-05-07 한국전기연구원 낮은 게이트 저항을 갖는 SiC UMOSFET 제조방법

Also Published As

Publication number Publication date
ATE438928T1 (de) 2009-08-15
DE602004022435D1 (de) 2009-09-17
EP1668706A2 (en) 2006-06-14
JP4629674B2 (ja) 2011-02-09
WO2005024906A3 (en) 2005-11-03
CN1846313B (zh) 2011-04-06
JP2007505482A (ja) 2007-03-08
EP1668706B1 (en) 2009-08-05
WO2005024906A2 (en) 2005-03-17
US20050051854A1 (en) 2005-03-10
KR20060098361A (ko) 2006-09-18
US6921711B2 (en) 2005-07-26
EP1668706A4 (en) 2008-11-05
CN1846313A (zh) 2006-10-11

Similar Documents

Publication Publication Date Title
KR100791433B1 (ko) 고성능 장치의 금속 대체 게이트의 구조체 및 방법
US9590100B2 (en) Semiconductor devices containing an epitaxial perovskite/doped strontium titanate structure
US11031482B2 (en) Gate electrode having a capping layer
US7666727B2 (en) Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7229873B2 (en) Process for manufacturing dual work function metal gates in a microelectronics device
TWI327777B (en) Strained silicon mos device with box layer between the source and drain regions
US9281390B2 (en) Structure and method for forming programmable high-K/metal gate memory device
US7879666B2 (en) Semiconductor resistor formed in metal gate stack
US20080076216A1 (en) Method to fabricate high-k/metal gate transistors using a double capping layer process
US8395217B1 (en) Isolation in CMOSFET devices utilizing buried air bags
US8835232B2 (en) Low external resistance ETSOI transistors
US10446435B2 (en) Local trap-rich isolation
US9893145B1 (en) On chip MIM capacitor
JP2009117621A (ja) 半導体装置及びその製造方法
CN109037046B (zh) 金属栅极、半导体器件及其制造方法
KR20100037969A (ko) P형 금속게이트전극을 갖는 cmos장치 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101109

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee