JP2007505482A - 集積回路のトランジスタにおける金属ゲート構造および形成方法(高性能デバイスの金属置換ゲートのための構造および方法) - Google Patents

集積回路のトランジスタにおける金属ゲート構造および形成方法(高性能デバイスの金属置換ゲートのための構造および方法) Download PDF

Info

Publication number
JP2007505482A
JP2007505482A JP2006525358A JP2006525358A JP2007505482A JP 2007505482 A JP2007505482 A JP 2007505482A JP 2006525358 A JP2006525358 A JP 2006525358A JP 2006525358 A JP2006525358 A JP 2006525358A JP 2007505482 A JP2007505482 A JP 2007505482A
Authority
JP
Japan
Prior art keywords
layer
metal
gate
gate structure
diffusion barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006525358A
Other languages
English (en)
Other versions
JP4629674B2 (ja
JP2007505482A5 (ja
Inventor
スティーゲン、アン、エル
ウォン、クウォン、ホン
リー、イン
ナラヤナン、ビジャイ
カブラル、シリル、ジュニア
ジェイミソン、ポール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007505482A publication Critical patent/JP2007505482A/ja
Publication of JP2007505482A5 publication Critical patent/JP2007505482A5/ja
Application granted granted Critical
Publication of JP4629674B2 publication Critical patent/JP4629674B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Vehicle Body Suspensions (AREA)
  • Cold Cathode And The Manufacture (AREA)
  • Contacts (AREA)

Abstract

【課題】 高性能デバイスの金属置換ゲートのための構造および形成方法を提供する。
【解決手段】 まず、半導体基板(240)上に設けたエッチ・ストップ層(250)上に、犠牲ゲート構造(260)を形成する。犠牲ゲート構造(300)の側壁上に、1対のスペーサ(400)を設ける。次いで、犠牲ゲート構造(300)を除去して、開口(600)を形成する。続けて、スペーサ(400)間の開口(600)内に、タングステン等の金属の第1の層(700)、窒化チタン等の拡散バリア層(800)、およびタングステン等の金属の第2の層(900)を含む金属ゲート(1000)を形成する。
【選択図】 図12

Description

本発明は、半導体構造および形成方法に関し、更に具体的には、高性能デバイスの金属ゲート構造のための改良した構造および形成方法に関する。
半導体製造業界において、トランジスタは、通常、ポリシリコン・ゲート電極を利用して形成されている。ポリシリコンは、その熱的なロバスト性および他の特別な特性のために、好まれている。ポリシリコン・ゲートの重要な特徴は、ドーパントのドライブイン(drive-in)またはアニーリング・プロセス等の他の高温プロセスの間に、ソースおよびドレイン領域等の他のトランジスタの要素の処理に、それらが耐えられることである。
しかしながら、トランジスタにおいて用いられる場合、ポリシリコン・ゲートは、金属ゲートに比べて、利点が少ない。ポリシリコン・ゲートでは、動作中に、ゲート誘電体の上のポリシリコン材料から電荷キャリアが欠乏する空乏領域(depletion region)が形成される傾向がある。これは、金属電極では電極全体に電荷キャリアが多量に存在するのとは異なっている。空乏領域は、動作中のゲート誘電体を実際よりも厚く見せるという作用を有するので、金属ゲートを有するトランジスタよりもポリシリコン・ゲートを有するトランジスタの方がオンにするために電荷を多く必要とする。ポリシリコン・ゲートの別の欠点は、高k誘電体材料との不適合性である。更に、ポリシリコンは、1020cm-3までのドーパント濃度に高度にドーピングした場合でも、金属のような良好な導体にはほど遠い。このため、ポリシリコン・ゲートは、金属ゲートよりも動作速度が遅い。これらの理由のため、設計要求によっていっそう優れた性能が求められると、金属ゲートの方が有利である。
ポリシリコン・ゲートを用いることに対する代案は、代わりに金属ゲートを製造して用いることである。金属は、導電性がはるかに優れており、結果としてゲート・コンタクト(接触)抵抗が低くなり、高速なデバイス性能が得られる。しかしながら、金属ゲートの製造は、大きな問題を伴う可能性がある。1つには、金属ゲートは、ポリシリコンのように熱的にロバスト(堅固)でなく、従って、トランジスタまたは他の集積回路(IC)の要素の処理の間に高温に露呈することができない。更に、金属ゲートは、ポリシリコン・トランジスタ・ゲートを形成するために必要な酸化雰囲気に耐えられない。また、金属表面上にフォトリソグラフィまたは他の同様の技法を実行する場合、ゲート形成に必要なパターニングの精度が低下する。この理由は、フォトリソグラフィは平坦な表面上で良好に行われるが、金属では平坦な表面が容易に得られないからである。
近年、金属ゲート処理の限界およびポリシリコン・ゲートの動作的な欠陥を克服するための取り組みが行われ、初期の厳しい処理条件に耐えられるポリシリコン・ゲートを初期に有するトランジスタ構造を形成するプロセスが用いられている。その後、処理の後の段階で、処理条件がもっとゆるくなったら、ポリシリコン・ゲートを構造から除去して、金属ゲートによって置換する。この置換ゲート(replacement gate)・プロセスによって、初期の厳しいプロセス条件を変更する必要はなく、ポリシリコン処理に関連したフォトリソグラフィの利点が維持される。また、最初にポリシリコン・ゲートを用いることは、トランジスタにソースおよびドレインの注入を行う際にトランジスタのチャネル領域へのイオン注入を阻止するポリシリコンの能力を活用することになる。
置換ゲート製造手法では、基板の単結晶半導体領域に接触したエッチ・ストップ層の上に、ポリシリコン・ゲートを形成、該ゲートの側壁に、1対のスペーサを配置する。エッチ・ストップ層は、通常、薄い二酸化シリコン層であり、酸素雰囲気において基板の表面上に熱によって成長させる。このような場合、エッチ・ストップ層を犠牲ゲート酸化物層と呼ぶことができる。後に、エッチ・ストップ層上で停止する反応性イオン・エッチング(RIE:reactive ion etch)等の異方性垂直エッチング・プロセス等によって、スペーサ対の間からポリシリコン材料を除去する。次いで、側壁スペーサの材料に対して選択的なドライ・エッチングまたは等方性ウエット・エッチング等によって、基板の表面からエッチ・ストップ層を除去する。これによって、スペーサ間に開口を形成し、ここに、次いで、通常は熱によって成長させた酸化物であるゲート誘電体を形成する。その後、スペーサ間の開口内に、下にあるゲート誘電体に接触させて、金属ゲートを形成する。
かかる金属ゲートを形成するための好適な金属は、タングステン(W)である。タングステンは、通常、WF6等の(ソース)ガスを含む堆積前駆物質(deposition precursor)を用いた化学的気相堆積ステップ(CVD:chemical vapor deposition)を利用して堆積される。しかしながら、特にWF6を堆積前駆物質として用いる場合、タングステンの堆積において、特有の問題が生じる。WF6ガスにおけるフッ素基は、酸化物およびシリコン基板の双方に対して有害となり得る。従って、フッ素ガスが、ゲート酸化物およびこのゲート酸化物の下のシリコンに作用する(attack)恐れがある。この代わりに、W(CO)6等の異なるガスを用いることができるが、W(CO)6の非共形(non-conformal)特性によって、欠陥のあるタングステン・ゲートが形成される可能性がある。図1は、W(CO)6ガスを用いた非共形のタングステン堆積特性によって、開口のピンチオフ(pinch-off)および欠陥のあるタングステン・ゲートの形成が生じたことを示す。
図1において、ゲート開口100を覆うようにW(CO)6110が堆積されている。スペーサは105に示す。115に示すように、W(CO)6の非共形な特性は、特に10nm以上の厚い層に堆積された場合に、ゲート開口100の上にピンチオフを生成する。更に、かかる堆積の非共形な特性のため、タングステンは不均一に堆積し、このため、タングステン・ゲートの中間部または中心に空隙(void)が生じて、ゲートは構造的に欠陥があるものとなる。ピンチオフの問題は、開口の幅が狭い高性能環境では、極めて困難なものである。
WF6またはW(CO)6ガスを用いる場合の別の問題は、タングステンを効果的に堆積するためには、これを堆積する表面(すなわち側壁)上に、良好な核形成部位(nucleation site)の存在を必要とすることである。酸化物が、特に表面上に存在すると、タングステンが堆積すると共に堆積する表面に適切に付着する能力に影響を与える。タングステンの堆積が効果的に行われないと、構造的に欠陥のあるゲートが生じ、ゲート導体材料がそれらの隣接する表面から剥離したり破裂したりすることがある。
従って、金属ゲート、特に主な金属としてタングステンを含むものを形成することができ、上述の処理方法において直面される処理上の問題に対処する改良された方法が必要とされている。
高性能デバイスの金属置換ゲートのための構造および形成方法を提供する。本発明の態様によれば、従来は犠牲ゲートによって占められた誘電体領域内で、開口内に集積回路の金属ゲート構造を製造する方法が提供される。金属ゲートは、実質的に金属および金属の化合物から選択された材料から成る第1の層であって、基板の半導体領域に形成されたトランジスタ・チャネル領域に接触しているゲート誘電体に接触している、第1の層と、第1の層の上にある拡散バリア層と、実質的に金属および金属の化合物から成る群から選択された少なくとも1つの材料から成り、拡散バリア層の上にある第2の層と、を含む。
本発明の別の態様によれば、基板上に金属ゲート構造を形成する方法が提供される。この方法は、基板の半導体領域上にエッチ・ストップ層を形成するステップと、前記エッチ・ストップ層上に犠牲ゲートを形成するステップと、犠牲ゲートの側壁上に1対の誘電体スペーサを設けるステップと、基板上に、犠牲ゲートの上部に対してほぼ平面的な上面を有する誘電体層を形成するステップと、犠牲ゲートを除去してスペーサ間に開口を形成するステップと、開口の下からエッチ・ストップ層を除去するステップと、開口の下で半導体領域上にゲート誘電体を形成するステップと、開口内に、ゲート誘電体およびスペーサの側壁に接触させて、第1の金属層を堆積するステップと、開口内で第1の金属層上に拡散バリア層を形成するステップと、開口内で拡散バリア層上に第2の金属層を堆積するステップと、を含む。
本発明の好適な態様によれば、化学機械的研磨を用いて、開口の外側の誘電体層の上にある堆積金属を除去する。
本発明の更に別の態様によれば、ゲートを有するトランジスタを含む集積回路が提供される。ゲートは、実質的に金属および金属の化合物から成る群から選択された少なくとも1つの材料から成る第1の層であって、基板の半導体領域に形成されたゲート誘電体に接触している、第1の層と、第1の金属層の上にある拡散バリアと、実質的に金属および金属の化合物から成る群から選択された少なくとも1つの材料から成り、拡散バリア層の上にある第2の層と、を含む。第1および第2の金属の層ならびに拡散バリア層が、1対の誘電体スペーサ間の開口内に配置されている。
本発明は、特に、トランジスタにおける金属ゲートの形成に関連する問題に対処し、これらの問題を解決する。本発明の一実施形態において、金属の選択は、これが用いられるトランジスタの導電型との仕事関数の適合性に関して行うことができる。例えば、NFETでは、n型の導電性の半導体材料のものと同等の仕事関数を有する金属ゲートの方が、中間(mid-range)域の仕事関数またはp型半導体材料のものと同等な仕事関数を有する金属よりも、良好に動作する。本発明の一実施形態において、提供される構造および方法によって、望ましい仕事関数を有する金属をゲート誘電体に接触させて形成することができ、統合された構造および方法において、そのステップで用いた特定の金属の選択を、後の処理から切り離す。
本明細書において記載する一実施形態において、ゲートに接触させて形成する特定の金属は、ゲート誘電材料との適合性に関して選択することができる。例えば、ゲート誘電体は、酸化ハフニウム(HfO2)または酸化ジルコニウム(ZrO2)等、高誘電率Kのために特別に選択した材料を含むことができる。そして、ゲートは、ゲート誘電体に接触して、ハフニウム(Hf)またはジルコニウム(Zr)等の優れた適合性を有する金属を含むことができる。
本発明の別の実施形態において、ゲート誘電体に接触させて、第1の金属層を形成する。かかる層は、その特性について仕事関数、ゲート誘電体との適合性、またはゲート誘電体上に金属を堆積する際のプロセスの特徴の点で、選択すると望ましい。そして、第1の金属層の上に、拡散バリア層を形成する。その後、拡散バリア層の上に、第2の金属層を形成する。拡散バリア層の存在のため、第2の金属層に用いられる金属について幅広い選択が可能である。このため、例えば、その金属は、第2の層を形成するために用いる堆積プロセスの特徴に関して選択することができる。
ゲート誘電体に接触させた第1の層の好適な材料としてタングステン(W)を有する金属ゲートを形成するための構造およびプロセスを提供する。タングステン(W)は、n型とp型の半導体材料の仕事関数間の差のほぼ中間にある仕事関数を有するので、金属ゲートのために特に汎用性のある材料である。このため、タングステンは、電子が主な電荷キャリアであるn型電界効果トランジスタ(NFET:n-type field effect transistor)、および、ホールが主な電荷キャリアであるp型電界効果トランジスタ(PFET:p-type field effect transistor)の金属ゲートにおいて使用可能である。更に、他のいくつかの金属が、例えばスパッタリングのような物理的気相堆積(PVD:physical vapor deposition)によってのみ堆積可能であるのとは異なり、タングステンは、化学的気相堆積(CVD)プロセスを用いて堆積することができる。
特定の実施形態において、高さ対幅の比が大きい開口、すなわち「高アスペクト比」を有する開口において金属ゲートを形成する問題に対処する。本発明の実施形態では、特定の堆積プロセスが、他の問題を伴うにもかかわらず、特有の利点のためにそれらのプロセスを選択することができる。例えば、ゲート誘電体と適合する堆積プロセスによって、第1の金属層として比較的薄い金属層を形成することができる。しかし、その堆積プロセスが、ゲート全体を形成するために用いようとすれば、問題となる恐れがある。上述のように、タングステンのカルボニル(W(CO)6)からのタングステンの堆積は、二酸化シリコン等の酸化物ゲート誘電体と適合する堆積プロセスであるが、これによって、高アスペクト比の開口では、ピンチオフおよび空隙を含む充填の問題が確認されている。
図2は、半導体基板200を示す。「基板」という用語は、本明細書において、参照を容易にするために用い、バルク半導体基板、「シリコン・オン・インシュレータ」(SOI)基板等のセミコンダクタ・オン・インシュレータ基板、ゲルマニウム(Ge)基板、およびシリコン・ゲルマニウム(SiGe)基板を含む様々なタイプの基板を含む。かかる基板は、その主表面において単結晶半導体の領域を含む。また、本明細書において記載するプロセスを用いて薄膜トランジスタ(TFT:thin film transistor)を形成する場合、基板という用語は、薄い堆積半導体層を有する基板にも適用可能である。
次いで、基板200上に、220に示すような分離構造を形成する。図2に示す実施形態では、分離構造220は隆起しているが、これらの構造が隆起していることは必須ではなく、構造が基板と共に平坦化している他の実施形態も達成可能である。更に、分離構造220は、浅いトレンチ分離(shallow trench isolation)等の様々な構造を含むことができ、基板200上に選択的に形成することができる。
分離構造220によってその間に画定される基板の領域は、アクティブ領域(active area)として知られ、図2において240で示す。アクティブ領域240は、能動電気素子を収容する。分離構造の目的は、様々な特定の隣接アクティブ領域240において、デバイス間に電気的分離を提供することである。
図3は、初期プロセス・ステップを行って基板200上に犠牲ポリシリコン・ゲートを形成する次の処理ステップを示す。図3に示すように、基板200上に、堆積または成長によって、エッチ・ストップ層250を形成する。図3に示すような本発明の好適な実施形態において、基板200が実質的にシリコンから成る場合、エッチ・ストップ層250は、二酸化シリコン等の酸化物層を含む。このような場合、エッチ・ストップ層250は、犠牲ゲート酸化物層または犠牲酸化物層と呼ぶことができる。あるいは、エッチ・ストップ層250のために、窒化シリコン、酸窒化シリコン等の窒化物、または別の材料を使用可能である。エッチ・ストップ層250は、酸化物層であると好ましい。次いで、図3に示すように、エッチ・ストップ層250上に、犠牲ゲート材料としてポリシリコン層260を堆積する。次いで、図3に示すように、犠牲ゲート材料260およびエッチ・ストップ層250を共にパターニングする。NFETおよびPFETトランジスタにおいて広く用いられているように、犠牲ゲートはポリシリコンで形成されているので、パターニング・プロセスは容易に利用可能である。
図4に示す実施形態では、フォトリソグラフィを用いて、酸化物層250として与えたエッチ・ストップ層およびその上のポリシリコン層260を含むゲート・スタック構造300をパターニングする。次いで、フォトリソグラフィ手順の後に、反応性イオン・エッチング(RIE)等の異方性エッチング・プロセスを行うが、この代わりに他の方法も使用可能である。
次の処理ステップにおいて、図5に示すように、ゲート・スタック構造300の側壁にスペーサを形成する。一実施形態では、スペーサ400を形成するには、共形な(conformal)スペーサ材料を堆積し、続けてRIE等の異方性垂直エッチングを行って、その後に垂直方向に配されたスペーサのみが残って犠牲ゲート300の側壁に固着しているようにする。スペーサ400を形成する材料は、用いる特定のプロセス・ステップに組み込むために選択される様々な利用可能な材料からの1つ以上の材料を含むことができる。例えば、エッチ・ストップ層250が酸化物を含む場合、スペーサ400は、好ましくは、窒化シリコンまたは他の窒化物等の非酸化物材料で形成して、後のプロセス・ステップにおいて等方性ウエット・エッチングによってエッチ・ストップを除去する際に、非酸化物スペーサと酸化物エッチ・ストップ層250との間にエッチング選択比(選択性)が与えられるようにする。あるいは、エッチ・ストップ層250が窒化シリコン等の窒化物で形成されている場合、スペーサ400は、望ましくは非窒化物材料で形成して、非窒化物スペーサと窒化物エッチ・ストップ層250との間でエッチング選択比を与える。エッチ・ストップ層250が酸窒化シリコンで形成されている場合、スペーサ400は、酸化物または窒化物のいずれかで形成して、等方性ウエット・エッチング化学反応が酸化物または窒化物のいずれかに対してエッチング選択比を有するようにすることができる。
更に、図5は、410および420に示すようなソースおよびドレイン領域を形成するプロセスを示す。ポリシリコンの犠牲ゲートを用いるので、基板200をドーピングして、アクティブ領域240にソースおよびドレイン領域410および420を形成することができる。このドーピングは、基板内にイオンを注入して、ソースおよびドレイン領域410および420の導電率を変更することを伴う。上述のように、ポリシリコンは、チャネル領域へのこのような注入を阻止する能力を有する。これは、図5の405に示す。
また、図5において410および420で示す領域は、注入によって、低濃度にドーピングした(lightly doped)ソース/ドレイン拡張(extension)領域あるいは注入されたハロ(halo)またはその両方を形成することができる。更に、特定の所望の構成要素を形成するために、必要に応じて、n型およびp型の不純物のいずれかまたは双方を注入することができる。例えば、相補型金属金属酸化膜半導体(CMOS:complementary metal oxide semiconductor)技術において回路を実装する場合、n型およびp型のドーパントを基板の各部分内に注入して、その技術におけるp型またはn型のトランジスタのソースおよびドレイン領域を形成しなければならない。ポリシリコンは、ドーピングされる原子のイオン注入を阻止する能力を有し、従って、犠牲ポリシリコン・ゲート300およびスペーサ400は、共に注入マスクとして機能する。
金属ゲート処理のこれらの初期段階で犠牲ポリシリコン・ゲートを用いることによって、高温処理を実行することが可能となる。例えば、一般に、ゲートのパターニングの後、基板のソースおよびドレイン領域内へのドーパント注入に続いて、高温ドーパント・ドライブイン・プロセスが必要となる。
次に、図6に示すように、基板200を覆うように、レベル間誘電体500層をブランケット堆積する。一実施形態では、次いで、レベル間誘電体500を平坦化して、犠牲ゲート・スタック300において停止させる。平坦化のために、化学機械的研磨等、様々なプロセスを利用可能である。
図7において、RIE等によって、犠牲ゲート260を除去し、エッチ・ストップ層250上で停止させて、下の基板200の表面に対する損傷を回避する。その後、好ましくは、図7に示すように、エッチ・ストップ層250を除去して、スペーサ400間の開口600内で基板200の表面を露出させる。開口600は、基板200の上面およびスペーサ400の側壁610によって制約されている。
代替的な実施形態では、エッチ・ストップ層250がゲート誘電体として動作するのに適切な材料および厚さで形成されている場合、ポリシリコン・ゲート260のみを除去し、エッチ・ストップ層250を最終ゲート誘電体として所定の位置に残すことができる。そうすることで、エッチ・ストップ層250を除去して新しいゲート誘電体650を形成するステップが回避されるので、処理は簡略化する。
しかしながら、犠牲ポリシリコン・ゲート260を除去する間にエッチ・ストップ層250に損傷を与える可能性があるため、特に犠牲ゲートをRIEによって除去する場合、好ましくは、エッチ・ストップ層250全体を除去し、その後、基板200の表面上に最終ゲート誘電体を形成する。次いで、好ましくは、エッチ・ストップ層250の除去に続いて、エッチング後洗浄を行う。
エッチ・ストップ層250を除去し、ゲート誘電体650として用いる新しい層を形成することの利点は、最終ゲート誘電体を設ける目的のために、新しい層650を形成する間の条件を最適化することが可能なことである。このため、かかる実施形態では、最終ゲート誘電体の材料および厚さを、いっそう細かく制御することができる。
エッチ・ストップ層250を除去する実施形態では、図8に示すように、基板200の表面上に、新しいゲート誘電体650を形成する。好適な実施形態においては、酸化物層、または窒化物層を有するゲート誘電体650を、開口600内で基板200上に、熱によって成長させる。別の実施形態では、ゲート誘電体650は、低圧化学的気相堆積(LPCVD:low pressure chemical vapor deposition)等により、堆積によって形成する。ゲート誘電体について、他の材料の選択肢も存在する。例えば、二酸化シリコン、窒化シリコン、または酸窒化シリコンのいずれかのものよりも高い、高誘電率Kを有することが望ましいゲート誘電体として、酸化ハフニウム(HfO2)または酸化ジルコニウム(ZrO2)のゲート誘電体を形成可能である。かかる高kゲート誘電体は、誘電体の破損に対する保護のためにもっと厚いゲート誘電体が必要であるが、トランジスタのスイッチング性能を犠牲にしないようにする場合等、特定の用途について有利となり得る。
いったん最終ゲート誘電体650を形成したら、次いで、最終ゲートを形成することができる。図9は、金属または導電性金属化合物を含む最終ゲートを形成する段階を示す。図9から12に示す例示的な実施形態において、ゲート誘電体650に接触した第1の層700の好適な材料として、タングステンを用いる。ゲートの第1の金属層700として、ゲート誘電体650上に堆積することができる金属および金属化合物については、幅広い選択肢が存在する。かかる選択肢には、イリジウム(Ir)、ニオブ(Nb)、白金(Pt)、レニウム(Re)、ロジウム(Rh)、ルテニウム(Ru)、タンタル(Ta)、窒化タンタル(TaN)、タンタル・シリコン窒化物(TaSiN)、タングステン(W)、およびバナジウム(V)が含まれるが、これらに限定されない。
更に、ゲート誘電体が特定の材料、例えば、酸化ハフニウム(HfO2)または酸化ジルコニウム(ZrO2)等の高k誘電体材料で形成されている場合、第1の層700の金属は、ゲート誘電体650との特有の適合性に関して選択することができる。例えば、酸化ハフニウム(HfO2)のゲート誘電体650に接触させて、ハフニウム(Hf)の第1の金属層700を形成することができる。同様に、酸化ジルコニウム(ZrO2)のゲート誘電体650に接触させて、ジルコニウム(Zr)の第1の金属層700を形成することができる。
これらの金属および金属化合物の多くについては、現在、例えばスパッタリングのような物理的気相堆積プロセスのみが存在する。しかしながら、タングステンを堆積するためには、化学的気相堆積が存在する。従って、金属ゲートの形成に用いるには、タングステンが好適な材料である。更に、タングステンの仕事関数は、n型およびp型の半導体材料の仕事関数の中間範囲にある。この結果、PFETおよびNFETの双方の金属ゲートにおいて、タングステンを有利に用いることができる。
ここで、第1の金属層700がタングステン層である場合に金属ゲートを形成するための好適な実施形態について説明する。図9に示すように、ゲート誘電体650に接触させて、開口600内に、700で示す薄いタングステン(W)層が堆積されている。参照を容易にするため、ゲート誘電体は、新たに形成したゲート誘電体650であるか、またはエッチ・ストップ層250として初期に用いた後に適切な誘電体層として再使用するものであるかには関係なく、番号750で参照する。
タングステンの第1の金属層700は、前駆物質ガスとして好ましくはW(CO)6であるタングステンのカルボニルを用いた化学的気相堆積(CVD)技法によって堆積することが好ましい。700で示すタングステン層は、最良の結果を出すために、薄く維持する。本発明の好適な実施形態において、タングステン層700の厚さは、2から20nmの範囲の厚さ、好ましくは2から10nmの厚さに堆積する。タングステン層700は、基板およびスペーサ側壁610の少なくとも一部を覆う層である。図9に示す本発明の一実施形態では、タングステン層は、開口600内でスペーサ610の側壁(複数の側壁)全体を覆い、酸化物層750の上にあるように堆積されている。
図10は、金属ゲートの形成におけるその後の処理段階を示す。図10において、開口600内に拡散バリア層を堆積して、薄いタングステン層700を覆う。拡散バリア層は、窒化チタン(TiN)、窒化ハフニウム(HfN)、および窒化ジルコニウム(ZrN)等の1つ以上の材料を含むことができる。これらの化合物の各々は、ゲート誘電体650に接触させた第1の金属層700として形成された様々な異なる金属と共に、拡散バリア層として使用可能である。第1の金属層がハフニウムまたはジルコニウムをそれぞれ含む場合、窒化ハフニウムまたは窒化ジルコニウムをそれぞれ含む拡散バリア層を用いることが最も好ましい。以下に記載する好適な実施形態では、拡散バリア層800は、窒化チタンを含むので、窒化チタン層800またはTiN層800と呼ぶ。
第1の金属層700がタングステンで形成されている好適な実施形態では、拡散バリア層は、好ましくは、800に示すように、タングステンに接触させて堆積した薄いチタン層を含み、その後に窒化チタン(TiN)層を含む。この薄いチタン層を、接着材料層または接着層とも呼ぶ。拡散バリア層800を形成するために、様々なプロセスを用いることができる。好適な実施形態では、化学的気相堆積(CVD)を用いる。あるいは、拡散バリア層800は、原子層堆積(ALD:atomic layer deposition)および物理的気相堆積(PVD)技法を用いて堆積可能である。
窒化チタンの堆積によって拡散バリア層800を形成した後に、アニーリングを行って、拡散バリア層の特性を向上させることが好ましい。アニーリングは、堆積した窒化チタン層800の密度を上げる(緻密にする)ために実行する。比較的低い温度でアニーリング・プロセスを行って、金属ゲート層に損傷を与えないようにすることが好ましい。アニーリング・プロセスを実行する実施形態において、400℃から600℃の範囲に温度を保つ。好適な実施形態において、アニーリング・プロセスは、H2/N2混合物を用いて、400℃から600℃で30分間行う。
好適な実施形態において、TiN層800の堆積および任意のアニーリングを行った後の次の処理ステップは、TiN層の上に第2の金属層900を堆積することである。拡散バリア層800が所定の位置にあるので、第2の金属または金属化合物の層は、様々な金属および金属化合物から選択することができる。なぜなら、拡散バリア層800が、第1の層700の材料と第2の層900の材料との間の反応を防ぐからである。好ましくは、第2の層900は、堆積プロセスの容易さおよび共形特性に関して選択した金属または金属化合物を含む。好ましくは、第2の層900はタングステンを含む。
図11に、第2の層900を堆積するプロセスを示す。ここでは、第2の層900としてタングステン層を堆積する。一実施形態において、第2の層900は、堆積前駆物質またはソース・ガスとしてWF6を用いるCVDプロセスを用いて堆積することができる。図11の例に示すように、WF6の堆積は、900に示すような下向きの方向である。タングステン成長の方向は、910によって示し、開口600内では開口の側壁920に沿って上向きおよび横向きである。
第1の層700と第2の金属層900との間に拡散バリア層800を堆積することには、いくつかの利点がある。1つには、TiN等のほとんどの拡散バリア層は、図11に示すように、側壁920に沿って良好な表面核形成(nucleation)を与える。表面核形成の向上によって、特に前駆物質またはソース・ガスとしてWF6を用いてCVDプロセスを実行する場合に、タングステンの接着性が向上する。表面の核形成の向上およびタングステン接着性の改善は、タングステンの剥離を軽減するのに役立つ。この剥離は、従来技術の現在実施されている方法に伴うことが多い問題である。
更に、TiNおよび他の拡散バリア層は、より共形な特性を有し、これによって、W(CO)6前駆物質から堆積したタングステン層の非共形な性質のために生じる問題が回避される。これは、特に、W(CO)6前駆物質からのタングステンの堆積中に生じるゲート中間部でのピンチオフおよび空隙形成の問題に対処する。これは、WF6前駆物質からのタングステン堆積が、他の方向からではなく開口の側壁910から、開口をいっそう共形に(等角に)充填するという事実によるものである。
また、TiNおよび他の拡散バリア層は、フッ素浸透に対するバリアである。WF6等のガスをタングステン・ゲートの堆積のために用い、フッ素は下にあるシリコンに損傷を与える場合があるので、TiN層および他の拡散バリア層は、ゲート酸化物層を介した浸透の可能性を低くすることができる。これによって、極めて薄いタングステン層(好ましくは2〜20nm)を最初に用いることができ、処理コストが大幅に削減する。
図12は、本発明の好適な実施形態において形成した結果である金属ゲート1000の図である。図12に示すように、生成された金属ゲート1000は、ゲート誘電体650と接触させた第1の金属または金属化合物層700、ここではチタン−TiNの好適な構造等の拡散バリア層800、および、タングステン等の上部にある金属層900を含む。金属ゲートが第1および第3の層700、900としてタングステンを含む場合、これは、全体的にタングステンから成るゲートに非常に良く似た動作をするが、全体的にタングステンで形成されたゲートの製造および性能に悪影響を与える問題のいくつかは生じない。
金属ゲート1000は、化学機械的研磨プロセスを用いて、誘電体層500の上で停止させることで、平坦化することができる。次いで、金属ゲート構造1000に上からゲート・コンタクト(図示せず)を設けて、チップの他の要素との相互接続を与える。図12に示す実施形態に従って形成した金属ゲートは、構造的に欠陥のあるゲート、空隙を有するゲート、および剥離を生じやすいゲート等、従来技術の金属ゲート・プロセスに伴う問題に対処する。
本発明について、そのいくつかの好適な実施形態に従って説明してきたが、本発明の真の範囲および精神から逸脱することなく、多くの変形および拡張を実行可能であることは、当業者には理解されよう。本発明の真の範囲および精神は、特許請求の範囲によってのみ限定される。
本発明の金属ゲート構造は、トランジスタを含む半導体集積回路等、高性能デバイスにおいて有用である。
開口内で厚いタングステン層の堆積によって生じる潜在的なピンチオフの問題を示す図である。 本発明の一実施形態に従った犠牲ゲート構造の形成における初期の処理ステップを示す図である。 本発明の一実施形態に従った犠牲ゲート構造の形成における初期の処理ステップを示す図である。 本発明の一実施形態に従った犠牲ゲート構造の形成における初期の処理ステップを示す図である。 本発明の一実施形態に従った犠牲ゲート構造の形成における初期の処理ステップを示す図である。 本発明の一実施形態に従った犠牲ゲート構造の形成における初期の処理ステップを示す図である。 犠牲ゲート構造を除去した後の、表面上にスペーサが形成された基板の断面図である。 犠牲ゲート構造を除去した後に新しいゲート酸化物層を堆積した、本発明の代替的な実施形態を示す図である。 本発明の一実施形態に従った金属ゲート構造の形成における後続のステップを示す図である。 本発明の一実施形態に従った金属ゲート構造の形成における後続のステップを示す図である。 本発明の一実施形態に従った金属ゲート構造の形成における後続のステップを示す図である。 本発明の一実施形態に従った金属ゲート構造の形成結果を示す図である。

Claims (32)

  1. 集積回路のトランジスタにおける金属ゲート構造(1000)であって、
    実質的に金属および金属化合物の少なくとも一方から成る第1の層(700)であって、基板(240)の半導体領域に形成されたトランジスタ・チャネル領域に接触しているゲート誘電体(750)に接触している、第1の層(700)と、
    前記第1の層(700)の上にある拡散バリア層(800)と、
    実質的に金属および金属化合物の少なくとも一方から成り、前記拡散バリア層(800)の上にある第2の層(900)と、を含み、前記第1の層および第2の層(700、900)ならびに前記拡散バリア層(800)が、1対の誘電体スペーサ(400)間の開口(600)内に配置されている、金属ゲート構造。
  2. 前記第1の層(700)が、実質的に、イリジウム(Ir)、ニオブ(Nb)、白金(Pt)、レニウム(Re)、ロジウム(Rh)、ルテニウム(Ru)、タンタル(Ta)、窒化タンタル(TaN)、タンタル・シリコン窒化物(TaSiN)、タングステン(W)、およびバナジウム(V)から成る群から選択された少なくとも1つの材料から成る、請求項1に記載の金属ゲート構造。
  3. 前記第2の層(900)が、実質的に、イリジウム(Ir)、ニオブ(Nb)、白金(Pt)、レニウム(Re)、ロジウム(Rh)、ルテニウム(Ru)、タンタル(Ta)、窒化タンタル(TaN)、タンタル・シリコン窒化物(TaSiN)、タングステン(W)、バナジウム(V)、Niシリサイド、Coシリサイド、およびTiシリサイドから成る群から選択された少なくとも1つの材料から成る、請求項2に記載の金属ゲート構造。
  4. 前記第1および第2の層(700、900)が実質的にタングステンから成る、請求項1に記載の金属ゲート構造。
  5. 前記第1の層(700)および前記第2の層(900)の少なくとも一方が、前記金属ゲート構造を所望の仕事関数に調整するための不純物濃度を含む、請求項1に記載の金属ゲート構造。
  6. 前記第1の層(700)が前記第2の層(900)よりも薄い、請求項1に記載の金属ゲート構造。
  7. 前記第1の層(700)が約2nmから約20nmの間の厚さを有する、請求項6に記載の金属ゲート構造。
  8. 前記拡散バリア層(800)が、チタンの窒化物、ハフニウムの窒化物、およびジルコニウムの窒化物の少なくとも1つを含む、請求項1に記載の金属ゲート構造。
  9. 前記拡散バリア層(800)が実質的に窒化チタンから成る、請求項8に記載の金属ゲート構造。
  10. 前記ゲート誘電体(750)が実質的に酸化物から成る、請求項1に記載の金属ゲート構造。
  11. 前記酸化物から成るゲート誘電体(750)が前記半導体領域の半導体材料の酸化物である、請求項10に記載の金属ゲート構造。
  12. 前記半導体領域がシリコンを含む単結晶領域であり、前記酸化物から成るゲート誘電体が実質的に二酸化シリコンから成る、請求項11に記載の金属ゲート構造。
  13. 前記基板(240)が、バルク半導体基板、セミコンダクタ・オン・インシュレータ基板、シリコン・オン・インシュレータ基板、シリコン−ゲルマニウム基板、およびゲルマニウム基板から成る群から選択される、請求項1に記載の金属ゲート構造。
  14. 前記半導体領域が、多結晶シリコンおよびアモルファス・シリコンから成る群から選択された少なくとも1つを含む、請求項1に記載の金属ゲート構造。
  15. 前記誘電体スペーサ(400)が、酸化シリコン、酸窒化シリコン、窒化シリコン、金属酸化物、シリケート、およびこれらの混合物から成る群から選択された少なくとも1つの材料を含む、請求項1に記載の金属ゲート構造。
  16. 請求項1に記載の金属ゲートを形成する方法であって、
    基板(240)の半導体領域上にエッチ・ストップ層(250)を形成するステップと、
    前記エッチ・ストップ層(250)上に犠牲ゲート(260)を形成し、これによって犠牲ゲート構造(300)を形成するステップと、
    前記犠牲ゲート構造(300)の側壁上に1対の誘電体スペーサ(400)を設けるステップと、
    前記基板(240)上に、前記犠牲ゲート(260)の上部に対してほぼ平面的な上面を有する誘電体層(500)を形成するステップと、
    前記犠牲ゲート(260)を除去して前記スペーサ(400)間に開口(600)を形成するステップと、
    前記開口(600)の下部から前記エッチ・ストップ層(250)を除去するステップと、
    前記開口(600)内で前記半導体領域上にゲート誘電体(750)を形成するステップと、
    前記開口(600)内に、前記ゲート誘電体(750)および前記スペーサ(400)の側壁に接触させて、金属および金属化合物の少なくとも一方から成る第1の層(700)を堆積するステップと、
    前記開口(600)内で前記第1の層(700)上に拡散バリア層(800)を形成するステップと、
    前記開口(600)内で前記拡散バリア層(80)上に金属および金属化合物の少なくとも一方から成る第2の層(900)を堆積するステップと、
    を含む、方法。
  17. 前記ゲート誘電体(750)が熱成長によって形成される、請求項16に記載の方法。
  18. 前記第1の層(700)がカルボニルを含有する前駆物質から堆積され、前記第2の層(900)がフッ素を含有する前駆物質から堆積される、請求項16に記載の方法。
  19. 前記第1の層(700)がW(CO)6前駆物質から堆積され、前記第2の層(900)がWF6前駆物質から堆積される、請求項18に記載の方法。
  20. 前記犠牲ゲート(260)がポリシリコンを含む、請求項16に記載の方法。
  21. 前記拡散バリア層(800)を形成する前に、接着材料層を堆積するステップを更に含む、請求項16に記載の方法。
  22. 前記拡散バリア層(800)が実質的に窒化チタン(TiN)から成り、前記接着材料層が実質的にチタン(Ti)から成る、請求項21に記載の方法。
  23. 前記犠牲ゲート(260)および前記エッチ・ストップ層(250)がエッチングによって除去される、請求項16に記載の方法。
  24. 前記エッチ・ストップ層(250)が実質的に酸化物層から成る、請求項16に記載の方法。
  25. 前記第1の層(700)が化学的気相堆積(CVD)プロセスを用いて堆積される、請求項16に記載の方法。
  26. 前記第1の層(700)が原子層堆積(ALD)プロセスを用いて堆積される、請求項16に記載の方法。
  27. 前記第1の層(700)が物理的気相堆積(PVD)プロセスを用いて堆積される、請求項16に記載の方法。
  28. 前記第2の層(900)を形成する前に、前記拡散バリア層(800)をアニーリングするステップを更に含む、請求項16に記載の方法。
  29. 前記拡散バリア層(800)が400℃から600℃の間の範囲の温度でアニーリングされる、請求項28に記載の方法。
  30. 前記第2の層(900)が化学的気相堆積(CVD)プロセスを用いて堆積される、請求項16に記載の方法。
  31. 前記金属ゲート(1000)を前記誘電体層(500)のレベルまで平坦化するステップを更に含む、請求項16に記載の方法。
  32. 前記平坦化するステップが化学機械的研磨によって行われる、請求項31に記載の方法。
JP2006525358A 2003-09-09 2004-08-20 金属ゲートの製造方法 Expired - Fee Related JP4629674B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/605,106 US6921711B2 (en) 2003-09-09 2003-09-09 Method for forming metal replacement gate of high performance
PCT/US2004/027327 WO2005024906A2 (en) 2003-09-09 2004-08-20 Structure and method for metal replacement gate of high performance device

Publications (3)

Publication Number Publication Date
JP2007505482A true JP2007505482A (ja) 2007-03-08
JP2007505482A5 JP2007505482A5 (ja) 2007-09-20
JP4629674B2 JP4629674B2 (ja) 2011-02-09

Family

ID=34225869

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006525358A Expired - Fee Related JP4629674B2 (ja) 2003-09-09 2004-08-20 金属ゲートの製造方法

Country Status (8)

Country Link
US (1) US6921711B2 (ja)
EP (1) EP1668706B1 (ja)
JP (1) JP4629674B2 (ja)
KR (1) KR100791433B1 (ja)
CN (1) CN1846313B (ja)
AT (1) ATE438928T1 (ja)
DE (1) DE602004022435D1 (ja)
WO (1) WO2005024906A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130087482A (ko) * 2010-06-04 2013-08-06 어플라이드 머티어리얼스, 인코포레이티드 금속 게이트 구조들 및 그 형성 방법들
JP2014049747A (ja) * 2012-08-31 2014-03-17 Sk Hynix Inc タングステンゲート電極を備えた半導体装置及びその製造方法
KR101447315B1 (ko) * 2011-09-01 2014-10-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 복수의 배리어 층을 구비한 금속 게이트 디바이스를 제공하는 기술
KR20140141258A (ko) * 2013-05-31 2014-12-10 삼성전자주식회사 반도체 장치 및 그 제조 방법

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296491A (ja) * 2003-03-25 2004-10-21 Sanyo Electric Co Ltd 半導体装置
US7276408B2 (en) * 2003-10-08 2007-10-02 Texas Instruments Incorporated Reduction of dopant loss in a gate structure
US20050151166A1 (en) * 2004-01-09 2005-07-14 Chun-Chieh Lin Metal contact structure and method of manufacture
US7390709B2 (en) * 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7189431B2 (en) * 2004-09-30 2007-03-13 Tokyo Electron Limited Method for forming a passivated metal layer
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7224630B2 (en) * 2005-06-24 2007-05-29 Freescale Semiconductor, Inc. Antifuse circuit
US7195999B2 (en) 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7214994B2 (en) * 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US7867845B2 (en) * 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
US7663237B2 (en) * 2005-12-27 2010-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Butted contact structure
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP4492589B2 (ja) * 2006-06-20 2010-06-30 ソニー株式会社 半導体装置の製造方法
KR100843879B1 (ko) 2007-03-15 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US20080230906A1 (en) * 2007-03-22 2008-09-25 Keith Kwong Hon Wong Contact structure having dielectric spacer and method
JP4552973B2 (ja) * 2007-06-08 2010-09-29 セイコーエプソン株式会社 半導体装置の製造方法
KR100863534B1 (ko) * 2007-06-27 2008-10-15 주식회사 하이닉스반도체 금속게이트를 구비한 반도체소자 및 그 제조 방법
US7718514B2 (en) * 2007-06-28 2010-05-18 International Business Machines Corporation Method of forming a guard ring or contact to an SOI substrate
US7906390B2 (en) * 2007-07-20 2011-03-15 International Business Machines Corporation Thin gate electrode CMOS devices and methods of fabricating same
US7795097B2 (en) * 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
US8735235B2 (en) * 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US8030718B2 (en) * 2008-09-12 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Local charge and work function engineering on MOSFET
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US7923321B2 (en) * 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US7915105B2 (en) * 2008-11-06 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a metal gate
US7691701B1 (en) * 2009-01-05 2010-04-06 International Business Machines Corporation Method of forming gate stack and structure thereof
US20100314690A1 (en) * 2009-06-15 2010-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Sidewall-Free CESL for Enlarging ILD Gap-Fill Window
US8294202B2 (en) * 2009-07-08 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
CN102097382B (zh) * 2009-12-15 2013-07-24 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US8513107B2 (en) * 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
US8564072B2 (en) 2010-04-02 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a blocking structure and method of manufacturing the same
US8551874B2 (en) 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
CN102299061B (zh) * 2010-06-22 2014-05-14 中国科学院微电子研究所 一种半导体器件的制造方法
CN102299154B (zh) * 2010-06-22 2013-06-12 中国科学院微电子研究所 半导体结构及其制作方法
CN102386083B (zh) * 2010-09-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其栅介电层的制作方法
CN102420136B (zh) * 2010-09-25 2013-08-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US9006052B2 (en) 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN102487012B (zh) * 2010-12-02 2014-03-12 中芯国际集成电路制造(北京)有限公司 晶体管的制作方法
CN102487010B (zh) * 2010-12-02 2013-11-06 中芯国际集成电路制造(北京)有限公司 一种金属栅极及mos晶体管的形成方法
US8420473B2 (en) 2010-12-06 2013-04-16 International Business Machines Corporation Replacement gate devices with barrier metal for simultaneous processing
CN102544098B (zh) 2010-12-31 2014-10-01 中国科学院微电子研究所 Mos晶体管及其形成方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) * 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8519454B2 (en) 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US20120261770A1 (en) * 2011-04-14 2012-10-18 Kun-Hsien Lin Metal gate structure
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
CN102810561B (zh) 2011-06-02 2015-12-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8569135B2 (en) 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9755039B2 (en) * 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
US8853700B2 (en) 2011-08-10 2014-10-07 International Business Machines Corporation Cross-coupling of gate conductor line and active region in semiconductor devices
US8962477B2 (en) * 2011-08-12 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature anneal for stress modulation
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
EP3174106A1 (en) * 2011-09-30 2017-05-31 Intel Corporation Tungsten gates for non-planar transistors
EP2761664A4 (en) 2011-09-30 2015-06-17 Intel Corp DIELECTRIC RECOVERY STRUCTURE FOR TRANSISTOR GRIDS
US9637810B2 (en) 2011-09-30 2017-05-02 Intel Corporation Tungsten gates for non-planar transistors
WO2013048524A1 (en) 2011-10-01 2013-04-04 Intel Corporation Source/drain contacts for non-planar transistors
CN103050403B (zh) * 2011-10-11 2015-09-30 中国科学院微电子研究所 一种半导体结构及其制造方法
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
CN103094114B (zh) * 2011-10-31 2016-04-20 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
CN102427032A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
CN102427030A (zh) * 2011-11-29 2012-04-25 上海华力微电子有限公司 一种高k和金属栅极的制作方法
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
CN103177945B (zh) * 2011-12-20 2016-03-30 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
CN103187255B (zh) * 2011-12-29 2015-12-16 中芯国际集成电路制造(上海)有限公司 高k金属栅电极的制作方法及其高k金属栅结构
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
CN103311247B (zh) * 2012-03-14 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
US8383473B1 (en) 2012-04-12 2013-02-26 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices
US9006094B2 (en) * 2012-04-18 2015-04-14 International Business Machines Corporation Stratified gate dielectric stack for gate dielectric leakage reduction
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9666690B2 (en) * 2012-05-02 2017-05-30 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
KR101386115B1 (ko) 2012-06-18 2014-05-07 한국전기연구원 낮은 게이트 저항을 갖는 SiC UMOSFET 제조방법
CN103515235A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
KR20140003154A (ko) * 2012-06-29 2014-01-09 에스케이하이닉스 주식회사 반도체 장치 제조 방법
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
CN103579111B (zh) * 2012-07-26 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
KR101921465B1 (ko) 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
CN103681604B (zh) * 2012-09-07 2017-11-14 中芯国际集成电路制造(上海)有限公司 带有自对准接触孔的半导体器件及其制备方法
US8896030B2 (en) * 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9299802B2 (en) 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US8999831B2 (en) 2012-11-19 2015-04-07 International Business Machines Corporation Method to improve reliability of replacement gate device
CN103855014B (zh) 2012-11-30 2017-10-20 中国科学院微电子研究所 P型mosfet及其制造方法
CN103855094A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件及其制造方法
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9202691B2 (en) * 2013-01-18 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having modified profile metal gate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9190319B2 (en) 2013-03-08 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structure
US9018054B2 (en) * 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
CN104347418B (zh) * 2013-08-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US9099393B2 (en) 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US20150076624A1 (en) * 2013-09-19 2015-03-19 GlobalFoundries, Inc. Integrated circuits having smooth metal gates and methods for fabricating same
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
CN104716172B (zh) * 2013-12-12 2018-10-23 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
US9202809B2 (en) * 2014-02-06 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US20150332926A1 (en) * 2014-05-18 2015-11-19 United Microelectronics Corp. Method of Forming High-K Gates Dielectrics
CN105405764B (zh) * 2014-07-25 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
US9373641B2 (en) 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105489556B (zh) * 2014-10-13 2020-03-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9559059B2 (en) * 2014-10-29 2017-01-31 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a conductive capping layer
US9466530B2 (en) 2014-10-29 2016-10-11 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a metal silicide capping layer
CN105551957B (zh) * 2014-10-30 2019-12-03 中芯国际集成电路制造(上海)有限公司 Nmos晶体管及其形成方法
US10062762B2 (en) * 2014-12-23 2018-08-28 Stmicroelectronics, Inc. Semiconductor devices having low contact resistance and low current leakage
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016166628A1 (en) * 2015-04-13 2016-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9659786B2 (en) 2015-07-14 2017-05-23 International Business Machines Corporation Gate cut with high selectivity to preserve interlevel dielectric layer
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107301950A (zh) 2016-04-14 2017-10-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9847347B1 (en) 2016-11-07 2017-12-19 Globalfoundries Inc. Semiconductor structure including a first transistor at a semiconductor-on-insulator region and a second transistor at a bulk region and method for the formation thereof
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10043713B1 (en) 2017-05-10 2018-08-07 Globalfoundries Inc. Method to reduce FinFET short channel gate height
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
EP3502681B1 (en) * 2017-12-21 2024-02-14 IMEC vzw Protecting a substrate region during fabrication of a fet sensor
KR102439279B1 (ko) 2018-01-11 2022-08-31 삼성전자주식회사 반도체 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
TWI782109B (zh) 2018-10-03 2022-11-01 聯華電子股份有限公司 製作半導體元件的方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR20200101717A (ko) * 2019-02-20 2020-08-28 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110854075B (zh) 2019-11-13 2022-10-18 上海华力集成电路制造有限公司 Cmos器件制造方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210062510A (ko) 2019-11-21 2021-05-31 대한민국(전북기계공업고등학교장) 시각장애인용 스마트 지팡이
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044423A (ja) * 1999-07-29 2001-02-16 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
WO2001097257A2 (en) * 2000-06-12 2001-12-20 Motorola, Inc. Dual metal gate transistors for cmos process
JP2002124488A (ja) * 2000-07-31 2002-04-26 Applied Materials Inc W(co)6からのタングステン膜の堆積方法
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
WO2002079537A2 (en) * 2001-03-28 2002-10-10 Applied Materials, Inc. W-cvd with fluorine-free tungsten nucleation
US20030143825A1 (en) * 2001-12-27 2003-07-31 Kouji Matsuo Semiconductor device and method of manufacturing the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970010685B1 (ko) * 1993-10-30 1997-06-30 삼성전자 주식회사 누설전류가 감소된 박막 트랜지스터 및 그 제조방법
US5789312A (en) * 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
US6020248A (en) * 1997-06-26 2000-02-01 Nec Corporation Method for fabricating semiconductor device having capacitor increased in capacitance by using hemispherical grains without reduction of dopant concentration
JP3175700B2 (ja) * 1998-08-24 2001-06-11 日本電気株式会社 メタルゲート電界効果トランジスタの製造方法
KR100275752B1 (ko) * 1998-11-18 2000-12-15 윤종용 접합 스페이서를 구비한 컨케이브 커패시터의 제조방법
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6271106B1 (en) * 1999-10-29 2001-08-07 Motorola, Inc. Method of manufacturing a semiconductor component
US6303447B1 (en) 2000-02-11 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6528362B1 (en) 2000-10-19 2003-03-04 Advanced Micro Devices, Inc. Metal gate with CVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US20020142531A1 (en) * 2001-03-29 2002-10-03 Hsu Sheng Teng Dual damascene copper gate and interconnect therefore
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044423A (ja) * 1999-07-29 2001-02-16 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
WO2001097257A2 (en) * 2000-06-12 2001-12-20 Motorola, Inc. Dual metal gate transistors for cmos process
JP2002124488A (ja) * 2000-07-31 2002-04-26 Applied Materials Inc W(co)6からのタングステン膜の堆積方法
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
WO2002079537A2 (en) * 2001-03-28 2002-10-10 Applied Materials, Inc. W-cvd with fluorine-free tungsten nucleation
US20030143825A1 (en) * 2001-12-27 2003-07-31 Kouji Matsuo Semiconductor device and method of manufacturing the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130087482A (ko) * 2010-06-04 2013-08-06 어플라이드 머티어리얼스, 인코포레이티드 금속 게이트 구조들 및 그 형성 방법들
KR101894903B1 (ko) * 2010-06-04 2018-10-18 어플라이드 머티어리얼스, 인코포레이티드 금속 게이트 구조들 및 그 형성 방법들
KR101447315B1 (ko) * 2011-09-01 2014-10-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 복수의 배리어 층을 구비한 금속 게이트 디바이스를 제공하는 기술
JP2014049747A (ja) * 2012-08-31 2014-03-17 Sk Hynix Inc タングステンゲート電極を備えた半導体装置及びその製造方法
KR20140141258A (ko) * 2013-05-31 2014-12-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10497788B2 (en) 2013-05-31 2019-12-03 Samsung Electronics Co., Ltd. Semiconductor devices and fabricating methods thereof
KR102078187B1 (ko) * 2013-05-31 2020-02-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법

Also Published As

Publication number Publication date
WO2005024906A3 (en) 2005-11-03
DE602004022435D1 (de) 2009-09-17
KR100791433B1 (ko) 2008-01-07
US20050051854A1 (en) 2005-03-10
EP1668706A4 (en) 2008-11-05
JP4629674B2 (ja) 2011-02-09
KR20060098361A (ko) 2006-09-18
EP1668706B1 (en) 2009-08-05
ATE438928T1 (de) 2009-08-15
CN1846313B (zh) 2011-04-06
EP1668706A2 (en) 2006-06-14
US6921711B2 (en) 2005-07-26
CN1846313A (zh) 2006-10-11
WO2005024906A2 (en) 2005-03-17

Similar Documents

Publication Publication Date Title
JP4629674B2 (ja) 金属ゲートの製造方法
US9590100B2 (en) Semiconductor devices containing an epitaxial perovskite/doped strontium titanate structure
TWI411107B (zh) 高效能金氧半場效電晶體
US7666727B2 (en) Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US8421077B2 (en) Replacement gate MOSFET with self-aligned diffusion contact
CN106960847B (zh) 用于制造存储器装置的方法
US7892911B2 (en) Metal gate electrodes for replacement gate integration scheme
US20130299876A1 (en) Method For Improving Selectivity Of EPI Process
US20140103404A1 (en) Replacement gate with an inner dielectric spacer
US8835232B2 (en) Low external resistance ETSOI transistors
US20140306273A1 (en) Structure of metal gate structure and manufacturing method of the same
KR20100080315A (ko) 반도체 구조체 및 그 제조 방법
US7323419B2 (en) Method of fabricating semiconductor device
US20150228747A1 (en) Multiple thickness gate dielectrics for replacement gate field effect transistors
US7755145B2 (en) Semiconductor device and manufacturing method thereof
US20100193847A1 (en) Metal gate transistor with barrier layer
JP2008103613A (ja) 半導体装置及びその製造方法
JP2009117621A (ja) 半導体装置及びその製造方法
US10177245B2 (en) Method of fabricating a semiconductor device
JP2006013270A (ja) 半導体装置およびその製造方法
JP2006339597A (ja) 半導体装置およびその製造方法
US6969661B2 (en) Method for forming a localized region of a material difficult to etch
KR20100037969A (ko) P형 금속게이트전극을 갖는 cmos장치 및 그 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070731

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100525

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100714

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101102

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees