CN104022016A - 薄晶片处理的多粘合层 - Google Patents

薄晶片处理的多粘合层 Download PDF

Info

Publication number
CN104022016A
CN104022016A CN201410147263.5A CN201410147263A CN104022016A CN 104022016 A CN104022016 A CN 104022016A CN 201410147263 A CN201410147263 A CN 201410147263A CN 104022016 A CN104022016 A CN 104022016A
Authority
CN
China
Prior art keywords
wafer
substrate
layer
seconds
bonding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410147263.5A
Other languages
English (en)
Other versions
CN104022016B (zh
Inventor
R.普利吉达
X-F.钟
T.D.弗莱
J.麦卡琴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of CN104022016A publication Critical patent/CN104022016A/zh
Application granted granted Critical
Publication of CN104022016B publication Critical patent/CN104022016B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/10Removing layers, or parts of layers, mechanically or chemically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • B32B43/006Delaminating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1126Using direct fluid current against work during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31511Of epoxy ether
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31725Of polyamide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31935Ester, halide or nitrile of addition polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31938Polymer of monoethylenically unsaturated hydrocarbon

Abstract

本发明涉及薄晶片处理的多粘合层。提供一种临时地结合半导体衬底的多粘合层方案。在该创新性粘合方案中,多个层中的至少一个层直接与半导体衬底接触并且该方案中的至少两个层直接彼此接触。本发明提供若干加工选择,因为多层结构中的不同层执行具体功能。更重要地,通过提供更高的温度稳定性、与粗糙背侧加工步骤更大的兼容性、通过封装对晶片前侧凸起的保护、在脱粘步骤中更低的应力和前侧更少的缺陷来提高薄晶片处理方案的性能。

Description

薄晶片处理的多粘合层
本申请是申请号为201180047933.0、申请日为2011年8月5日、发明名称为“薄晶片处理的多粘合层”的申请的分案申请。
技术领域
本发明广泛地涉及新颖的利用多层粘合系统的临时晶片粘合方法。该创新性方法可在晶片减薄和其它背侧加工期间将器件晶片支持在载体衬底上。
背景技术
集成电路、功率半导体、发光二极管、光子电路、微电磁系统(MEMS)、嵌入式有源阵列、封装的介入物以及大量其它基于硅和复合半导体的微器件被共同地制造成晶片衬底上的阵列,该晶片衬底直径在1-12英寸的范围内。该器件随后被分割成各个器件或管芯,它们被封装以允许与宏观环境的形成实践性接口,例如通过与印刷线路板的互连。将器件封装件构造在管芯上或管芯周围同时使它仍然是晶片阵列的一部分已变得越来越流行。这种被称为晶片级封装的实践降低了总封装成本并允许在器件和微电子环境之间达成较高的互连密度,相比一般具有比实际器件大上若干倍的外侧尺寸的更传统封装件而言。
直到最近,互连方案仍普遍囿于两个维度,这意味着器件和其安装所在的相应板或封装表面之间的电连接已全部被布置在水平(或χ-y)平面内。微电子产业现在已察觉,器件互连密度的显著增加和信号延迟的相应减少(归因于电连接点之间的距离缩短)可通过垂直地(即沿z方向)层叠和互连器件来实现。对器件层叠的两种常见的要求是:(1)从背侧沿贯通晶片的方向减薄器件;以及(2)之后形成贯通晶片的电连接,它一般被称为通硅通路或“TSV”并终止在器件的背侧。就这点而言,半导体器件减薄现在已成为标准实践,即使当器件不在层叠结构中被封装时,因为这样做利于散热并允许通过例如蜂窝电话的紧凑型电子产品取得小得多的形状因数。
正越来越感兴趣的是:将半导体器件减薄至小于100微米以减小其轮廓(尤其当半导体器件或其所在的相应封装件被层叠时)并简化器件上的背侧电连接的成形。用于高容量集成电路制造的硅晶片一般是200mm或300mm直径并具有大约750微米的通晶片厚度。不减薄的话,几乎不可能形成通过使连线穿过晶片与前侧电路连接的后侧电接触。基于机械磨削(背侧磨削)和抛光以及化学蚀刻的用于半导体级硅和复合半导体的高效率减薄工艺如今投入商用。这些工艺使器件晶片厚度在几分钟内减小至小于100微米,同时保持跨晶片厚度均一性的精确控制。
已被减薄至小于100微米的器件晶片,尤其是已被减薄至小于60微米的器件晶片,是极为脆弱的并必须在其完全尺寸上被支持以防止破裂和折断。已研发出各种晶片杆和晶片卡盘以运送超薄的器件晶片,但是问题仍然存在,即如何在包括诸如化学机械抛光(CMP)、光刻、蚀刻、沉积、退火和清洗之类的步骤的背侧磨削和TSV成形工艺过程中支持晶片,因为这些步骤在器件晶片被减薄时或减薄后施加了高的热应力和机械应力。超薄晶片处理的一种越来越流行的方法涉及用聚合粘合剂将完全厚度的器件晶片面朝下地安装至刚性载体。然后从背侧进行减薄和加工。然后在背侧加工已完成后通过热、热机械或化学工艺将完全加工的超薄晶片从载体取下或脱粘。
常见的载体材料包括硅(例如空白的器件晶片)、钠钙玻璃、硼硅玻璃、蓝宝石以及各种金属和陶瓷。载体可以是方形或矩形的但更常见地是圆的,并且其尺寸被调节成与器件晶片匹配以使粘合的组件可以传统的加工工具和带盒中被处理。有时当液体化学药剂被用来作为脱离手段溶解或分解聚合粘合剂时,载体被打孔以加速脱粘过程。
用于临时晶片粘合的聚合粘合剂一般是通过溶液的旋涂或喷涂或作为干膜带层叠而施加的。旋涂和喷涂施加的粘合剂是越来越优选的,因为它们形成比带可提供的更高的厚度均一性。较高的厚度均一性演变成在减薄后对跨晶片厚度均一性的较好控制。聚合粘合剂表现出对器件晶片和载体闻的粘合强度。
聚合粘合剂可根据所需要的厚度和涂层平面性(平整性)被旋涂施加到器件晶片、载体或两者之上。涂层的晶片被烘烤以从聚合粘合剂层去除所有涂层溶剂。经涂层的晶片和载体随后通过加热机械压合接触地设置以供粘合。施加足够的温度和压力以使粘合剂流入并填充入器件晶片结构化特征,并实现与器件晶片和载体表面的所有面积的亲密接触。
在背侧加工之后使器件晶片从载体脱粘一般以下列四种方式之一实现:
(1)化学方式——将粘合的晶片叠层浸没到或喷涂以溶剂或化学药剂以溶解或分解聚合粘合剂。
(2)光分解方式——用光源通过透明载体照射粘合的晶片叠层,以光分解与载体毗邻的粘合剂边界层。载体随后可从叠层上分离下来,并在器件晶片保持在卡盘上的同时使聚合粘合剂的余量从器件晶片上剥落。
(3)热机械方式——粘合的晶片叠层被加热至聚合粘合剂的软化温度以上,并随后在用完全晶片保持卡盘支持的同时使器件晶片从载体滑落或脱离。
(4)热分解方式——粘合的晶片叠层被加热至聚合粘合剂的分解温度以上,这使其挥发并丧失与器件晶片和载体的粘合性。
这些脱粘方法中的每一种具有严重限制其在生产环境中的使用的缺陷。例如,通过溶解聚合粘合剂的化学脱粘是一缓慢的过程,因为溶剂必须通过粘滞性聚合物介质扩散过很大的距离来实现脱离。也就是说,溶剂必须从粘合衬底的边缘或从载体中的小孔开始扩散入粘合剂所在的区域。在任一情形下,溶剂扩散和渗透所需的最小距离为至少3-5mm并可能更大,即使通过小孔增加溶剂与粘合剂层的接触也好。经常需要几小时的处理时间,甚至在升高的温度(> 60℃ )下,以使脱粘发生,这意味着晶片生产力将会很低。
光分解同样是缓慢的过程,因为整体粘合的衬底无法同时被曝露出。相反,一般是具有仅几毫米的光束横截面的激光器的曝光光源必须在一时间聚焦在很小的面积以传递足够的能量以使粘连性粘合线的分解发生。光束然后以连续方式横跨衬底地扫描(或光栅化)以使整个表面脱粘,这导致长的脱粘时间。
尽管热机械(TM)脱粘一般可在几分钟内完成,但它具有可能降低器件产量的其它局限性。对临时粘合器件的背侧加工经常涉及高于200℃或甚至300℃的工作温度。用于TM脱粘的聚合粘合剂在该工作温度下或附近必须既不会分解也不会过度软化,否则脱粘将过早地发生。结果,粘合剂一般被设计成在高于工作温度20-50℃的温度下充分软化以使脱粘发生。脱粘所需的高温由于热膨胀对粘合的成对器件施加了大量的应力。同时,通过滑动、抬升或扭曲运动将器件晶片从载体移离所需的高机械力形成附加应力,该附加应力可使器件晶片断裂或造成各器件的微观电路中的损坏,这将导致器件故障和产量损失。
热分解(TD)脱粘也容易导致晶片断裂。当聚合粘合剂分解时产生气体,并且这些气体可能在粘合剂块件被移去之前陷入到器件晶片和载体之间。陷入的气体的累积可使薄的器件晶片起水泡或甚至裂开。TD脱粘的另一问题是聚合物分解经常伴随有难处理的碳化残留物的形成,这些残留物无法通过常见的清洗操作从器件晶片去除。
这些现有技术的方法的局限性需要一些崭新模式的载体辅助薄晶片处理,它们提供高的晶片产量并减少或消除了器件晶片断裂和内部器件受损的几率。
发明内容
本发明通过提供一种临时粘合方法克服现有技术问题,该方法包括提供一叠层,叠层包括:
具有背表面和器件表面的第一衬底;
与器件表面毗邻并具有一软化温度的第一粘合层;
与第一粘合层毗邻并具有一软化温度的第二粘合层,其中第一粘合层的软化温度至少比第二粘合层的软化温度高大约20oC;以及
具有载体表面的第二衬底,第二粘合层与载体表面毗邻。然后分离第一和第二衬底。
本发明还提供一种物品,该物品包括具有背表面和器件表面的第一衬底。物品还包括与器件表面毗邻并具有一软化温度的第一粘合层。存在与第一粘合层毗邻并具有一软化温度的第二粘合层,其中第一粘合层的软化温度至少比第二粘合层的软化温度高大约20℃。该物品还包括具有载体表面的第二衬底,且第二粘合层与载体表面毗邻。
在本发明的又一实施例中,提供一种临时粘合方法。在该方法中提供一叠层,该叠层包括:
具有背表面和器件表面的第一衬底;
与器件表面毗邻的第一刚性层;
与第一刚性层毗邻的粘合层;以及
具有载体表面的第二衬底,粘合层毗邻于载体表面。该叠层还包括下列结构中的一者或两者:
在器件表面和第一刚性层之间的剥离层;或者
在粘合层和载体表面之间的第二刚性层。
然后分离第一和第二衬底。
本发明还提供一种物品,该物品包括具有背表面和器件表面的第一衬底。该物品还包括与器件表面毗邻的第一刚性层、与第一刚性层毗邻的粘合层以及具有载体表面的第二衬底。粘合层与载体表面毗邻,并且该物品还包括下列结构中的一者或两者:
在器件表面和第一刚性层之间的剥离层;或者
在粘合层和载体表面之间的第二刚性层。
在本发明的又一实施例中,提供一种临时粘合方法,该方法包括提供一叠层,该叠层包括:
具有背表面和器件表面的第一衬底,器件表面具有外围区域和中央区域;
具有载体表面的第二衬底;
与外围区域和载体表面毗邻的边粘合部;以及
从由下列结构构成的组中选取的至少一个层:
在边粘合部和器件表面之间的剥离层;
在边粘合部和载体表面之间的剥离层;
在边粘合部和器件表面之间的粘合增进层;
在边粘合部和载体表面之间的粘合增进层;
在所述边粘合部和所述器件表面之间的粘合层;以及
在所述边粘合部和所述载体表面之间的粘合层。
然后分离第一和第二衬底。
在本发明的最后一个实施例中,提供一件物品。该物品包括具有背表面和器件表面的第一衬底,并且器件表面具有外围区域和中央区域。该物品还包括第二衬底,该第二衬底具有载体表面、与外围区域和载体表面毗邻的边粘合部以及从下列结构构成的组中选取的至少一个层:
在边粘合部和器件表面之间的剥离层;
在边粘合部和载体表面之间的剥离层;
在边粘合部和器件表面之间的粘合增进层;
在边粘合部和载体表面之间的粘合增进层;
在所述边粘合部和所述器件表面之间的粘合层;以及
在所述边粘合部和所述载体表面之间的粘合层。
附图说明
图1是示出本发明优选实施例的示意图面的横截面图,如示例5-9中进一步示范的那样;
图2是示出如何确定厚度的示意图面的横截面图;
图3是示出本发明另一优选实施例的示意图面的横截面图,如示例10-16中进一步示范的那样;
图4是示出本发明又一优选实施例的示意图面的横截面图,如示例17中进一步示范的那样;
图5是示出图4中示出的本发明的实施例的变例的示意图面的横截面图;
图6是示出本发明又一实施例的示意图面的横截面图;
图7是示出图6中示出的实施例的变例的示意图面的横截面图;
图8是示出本发明又一实施例的示意图面的横截面图;以及
图9是示出图6所示的实施例的变例的示意图面的横截面图,且该变例类似于在示例18中示范的工艺。
具体实施方式
更详细地,本发明提供使用多层粘合方案形成微电子结构的方法。尽管附图中示出并且说明书描述了本发明的某些优选实施例,然而要理解这些公开仅是示例性的。在此参考作为本发明的理想实施例的示意性例证的截面示意图描述了本发明的实施例。如此,应预期到,因为例如制造技术和/或公差,示例的形状会有变化。无意将本发明的原理限制在具体公开的实施例。例如,在附图中,为清楚起见可能放大各个层和区域的尺寸和相对尺寸。另外,本发明的各实施例不应被解释为受限于本文所例示区域的特定形状,而应当包括例如源自制造的形状上的偏差。例如,例示为矩形的区域可具有圆的或弯曲的特征。因此,附图中例示的区域本质上是示意性的,而且它们的形状不是为了展示器件或形貌的区域的精确形状,也不是为了限制本发明的范围。
1.双层粘合方案I
参见图1(a),前体结构10被描述在示意性和横截面图中。结构10包括第一衬底12。衬底12具有前表面或器件表面14、背表面16以及最外边18。尽管衬底12可以是任何形状的,但它一般应当是圆形形状的。优选的第一衬底12包括器件晶片,例如器件表面包括器件阵列(未示出)的那些器件晶片,所述器件阵列是从由集成电路、MEMS、微型传感器、功率半导体、发光二极管、光子电路、介入物、嵌入式无源器件以及制造在硅和诸如硅锗、砷化镓和氮化镓之类的其它半导体材料上或从其制造的其它微型器件。这些器件的表面一般包括形成自下列材料中的一种或多种材料的结构(同样未示出):硅、多晶硅、二氧化硅、氮(氧)化硅、金属(例如铜、铝、金、钨、钽)、低k电介质、聚合物电介质以及各种金属氮化物和硅化物。器件表面14也可包括从由下列各项构成的组成选取的至少一种结构:焊料凸起;金属柱;金属墩;以及由从下列各项构成的组中选取的材料形成的结构:硅、多晶硅、二氧化硅、氮(氧)化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
将组合物施加于第一衬底12以在器件表面14上形成第一粘合层20,如图1(a)所示。粘合层20具有远离第一衬底12的上表面21,并优选地直接毗邻器件表面14地形成第一粘合层20 (即在第一粘合层20和衬底12之间没有任何中介层)。组合物可通过任何已知的施加方法施加,其中一个优选方法是以从大约500rpm至大约5000rpm的速度(优选地从大约500rpm至大约2000rpm的速度)旋涂组合物长达从大约5秒至大约120秒的时间段(优选地从大约30秒至大约90秒)。在施加组合物后,优选地将其加热至从大约80℃至大约250℃的温度(更优选地从大约170℃至大约220℃ )并长达从大约60秒至大约8分钟的时间段(优选地从大约90秒至大约6分钟)。根据用以形成第一粘合层20的组合物,烘烤也可发起交联反应以固化层20。在一些实施例中,优选地使该层经受多级烘烤工艺,这取决于所利用的组合物。另外,在一些实例中,可在组合物的额外等分试样上重复前述施加和烘烤工艺,由此以多个步骤将第一粘合层20 “建造”在第一衬底12上。
第二前体结构22也示出在图1(a)中的示意和横截面图中。第二前体结构22包括第二衬底24。在该实施例中,第二衬底24是载体晶片。也就是说,第二衬底24具有前表面或器件表面26、背表面28以及最外边30。尽管第二衬底24可以是任何形状,然而它一般可以是圆形形状的并且其尺寸被调节成类似于第一衬底12。优选的第二衬底24包括硅、蓝宝石、石英、金属(例如铝、铜、钢)以及多种玻璃和陶瓷。
将第二组合物施加于第二衬底24以在载体表面26上形成第二粘合层32,如图1 (a)所示。第二粘合层32具有远离第二衬底24的上表面33以及与第二衬底24毗邻的下表面35。优选地,第二粘合层32直接毗邻于载体表面26地形成(即在第二粘合层32和第二衬底24之间没有中介层)。组合物可通过任何已知的施加方法施加,一个优选方法是以从大约500rpm至大约5000rpm的速度(优选地从大约500rpm至大约2000rpm的速度)旋涂组合物长达从大约5秒至大约120秒的时间段(优选地从大约30秒至大约90秒)。在施加组合物后,优选地将其加热至从大约80℃至大约250℃的温度(更优选地从大约170℃至大约220℃ )并长达从大约60秒至大约8分钟的时间段(优选地从大约90秒至大约6分钟)。根据用以形成第二粘合层32的组合物,烘烤也可发起交联反应以固化层32。在一些实施例中,优选地使该层经受多级烘烤工艺,这取决于所利用的组合物。
第一和第二粘合层20、32(以及本文描述的其它层)的厚度可通过参照图2最好地例示出,图2中相同附图标记被用来表示相同的部件。器件表面14已示出于图2中以示意地描述器件表面14上由于前述器件以及出现在器件表面14上的其它凸起特征、接触孔、通孔、线、沟等的存在而造成的形貌的变化。在器件表面14上发现的各特征之中具有最高特征36和最低特征38。(在本文中,“最高”指在离第一衬底12的背表面16最远处延伸的特征,而“最低”指其最低点最靠近第一衬底12的背表面16的特征)。最高特征36具有最高表面36a,而最低特征38具有最低表面或点38a。当谈到已被施加至形貌(即非平面)表面的层的厚度时,两个厚度可以是基准。T1指从由最低表面或点38a定义的下平面40开始并延伸至上表面21的距离,如图2中示范的那样。T2指在最高表面36a上测得的层厚度。具体地说并如图2所示,该厚度T2开始于上平面42并延伸至上表面21。当谈到已被施加至平坦(或大致平坦)的表面的层的厚度的基准时,该厚度由图2中的T3表示并且是层32的下表面35和上表面33之间的距离。最后,在一些实例中,使用厚度T4,并且它表示从下平面40至上平面42的距离。所有厚度指五次测量后取的平均厚度。
在本发明的实施例中,第一粘合层20优选地具有厚度T1,它至少等于厚度T4,优选地从大约1.1T4至大约1.5T4,更优选地从大约1.2T4至大约1.3T4。这一般导致至少约24 μm的厚度T1,更优选地从大约45 μm至大约200 μm,且甚至更优选地从大约50 μm至大约150 μm。此外,第一粘合层20优选地具有至少约5 μm的厚度T2,厚度T2更优选地从大约5 μm至大约50 μm,且甚至更优选地从大约10 μm至大约30 μm。第二粘合层32具有小于约35 μm的厚度T3,该厚度T3优选地从大约1 μm至大约35 μm,更优选地从大约1 μm至大约25 μm,且甚至更优选地从大约1 μm至大约15 μm。
第一粘合层20优选地具有软化点(环和球),该软化点比第二粘合层32的软化点高出至少大约20℃,更优选地高出大约20℃至大约200℃,且甚至更优选地高出大约20℃至大约100℃。这一般导致第一粘合层20具有至少大约100℃,优选地从大约150℃至大约400℃,更优选地从大约200℃至大约300℃的软化点。此外,第二粘合层32的典型软化点低于约220℃,优选地从大约50℃至大约220℃,更优选地从大约100℃至大约150℃。
形成第一和第二粘合层20、32的材料应当能够分别与第一和第二衬底12、24以及彼此地形成强粘连性粘合。具有由ASTM D4541/D7234确定的大约50psig,优选地从大约80psig至大约250psig,更优选地从大约100 psig至大约150psig的粘合强度的任何材料应当能理想地用作第一和第二粘合层20、32。
较为有利地,用于形成第一和第二粘合层20、32的组合物可从能够形成为具有前述属性的层的市面上有售的粘合组合物中选取。典型的这些组合物是有机的并将包括溶解或散布在溶剂系统中的聚合物或低聚物(oligomer)。聚合物或低聚物典型地从包含环烯烃、环氧树脂、丙烯酸树脂、硅树脂、苯乙烯、卤乙烯、乙烯基酯、聚酰胺、聚酰亚胺、聚砜、聚醚砜、环烯烃、聚烯烃橡胶以及聚氨酯、乙烯丙烯(ethylene-propylene)橡胶、聚酰胺脂、聚酰亚胺酯、聚缩醛和聚乙烯醇缩丁醛的聚合物和低聚物构成的组中选取。典型的溶剂系统将依赖于聚合物或低聚物的选择。基于组合物的总重量——按重量计取为100%,组合物的典型固体含量按重量计将在大约1%至大约60%的范围内,优选地按重量计在大约3 %至大约40 %的范围内。一些适宜的组合物在美国专利公开N0.2007/0185310、N0.2008/0173970、N0.2009/0038750 和 N0.2010/0112305 中有记载,每一篇这样的文献均援引包含于此。
然后以面对面关系将结构10、22压合到一起,因此第一粘合层20的上表面21与第二粘合层32的上表面33形成接触(图1(b))。在压合的同时,施加足够的压力和热长达足够的时间量,由此将两个结构10、22粘合在一起以形成粘合的叠层34。粘合参数将取决于形成粘合层20、32的组合物而改变,但在该步骤期间的典型温度在大约150℃至大约375℃的范围内,优选地在大约160℃至大约350℃的范围内,其典型压力在大约1000N至大约5000N的范围内,优选地在大约2000N至大约4000N的范围内,持续的时间段在大约30秒至大约5分钟的范围内,更优选地在大约2分钟至大约4分钟的范围内。
在这个阶段,可安全处理第一衬底12并使其经受进一步的加工,若不在这个阶段进行就可能损坏尚未粘合至第二衬底24的第一衬底12。因此,该结构可安全地受到背侧处理,例如背侧磨削、CMP、蚀刻、金属和电介质沉积、图案化(例如光刻,经由蚀刻)、钝化、退火及其组合,而没有衬底12、24分离发生,并且在这些后续加工步骤中不会遭遇任何化学药剂渗透。第一粘合层20和第二粘合层32不仅能在这些工艺下存活,它们还能存活在高达大约450℃的加工温度下,优选地从大约200℃至大约400℃,更优选地从大约200℃至大约 350℃。
一旦加工完成,衬底12、24可通过任何数量的分离方法(未示出)分离。一种方法涉及将第一和第二粘合层20、32中的一者或两者溶解在溶剂中(例如二戊烯(limonene)、十二烯、丙二醇单甲醚(PGME))。替代地,衬底12、24也可通过首先使用激光消融术、等离子蚀刻、喷水或有效地蚀刻或分解第一和第二粘合层20、32的其它高能技术机械地干扰或破坏第一和第二粘合层20、32中的一者或两者的外围来进行分离。首先锯切或割穿第一和第二粘合层20、32或通过某些等效的装置劈开层20、32也是适合的。不管利用前述装置中的哪些,可施加低机械力(例如指压力、柔和的楔入)以完全地分离衬底12、24。
最优选的分离方法涉及将粘合的叠层34加热至至少约100℃的温度,优选地从大约150℃至大约220℃,更优选地从大约180℃至大约200℃。要理解,在这些温度下,第二粘合层32将软化,这允许衬底12、24被分离(例如通过美国专利公开N0.2008/0200011中描述的滑动脱粘方法,该文献被援引包含于此)。在分离后,可用能够溶解特定层20或32的溶剂去除任何剩余的第一和第二粘合层20、32。在一些实施例中,选择用于形成第一粘合层20的组合物以使其适合部分或全部地永久性留在第一衬底12上。在这些实例中,第一粘合层20将在后继的晶片加工步骤中发挥一些功能(例如沟隙填充),这是现有技术工艺中没有的优势。
要理解,该双层实施例提供数个优势。由于该创新性方法,可控制结构的粘合温度和总体热稳定性。也就是说,本创新性方法允许使用较高的加工温度并同时在较低的温度下使粘合和脱粘成为可能。
2.双层粘合方案II
图3示出第二双层粘合方案,其中相同的附图标记表示相同部件。在该实施例中,在器件表面14上形成具有上表面46和下表面48的“清洗”或剥离层44。剥离层44可通过任何已知的施加方法形成,其中一个优选方法是以从大约500rpm至大约5000rpm的速度(优选地从大约500rpm至大约2000rpm的速度)旋涂用来形成层44的组合物长达从大约5秒至大约120秒的时间段(优选地从大约30秒至大约90秒)。在施加组合物后,优选地将其加热至从大约60℃至大约250℃的温度(更优选地从大约80℃至大约220℃)并长达从大约60秒至大约4分钟的时间段(优选地从大约90秒至大约2分钟)。在一些实施例中,优选地使该层经受多级烘烤工艺,这取决于所利用的组合物。根据用以形成剥离层44的组合物,烘烤也可发起交联反应以固化层44。
剥离层44优选地具有小于约3 μm的厚度T1,厚度T1更优选地从大约0.5 μm至大约3 μm,且甚至更优选地从大约1 μm至大约1.5 μm。在其它实施例中,剥离层44是保形层,因此它应当不具有前述厚度。
应当选择用于形成剥离层44的组合物以使该层44可溶于从由以下各项构成的组中选取的溶液中:1%盐酸亲水性溶液、50%醋酸亲水性溶液、异丙醇、1-十二烯、R- 二戊烯、环戊酮衍生物、PGME和羟化四甲基铵(TMAH)。更具体地,在与特定去除溶液接触大约4-5小时之后,剥离层44将至少大约95%,优选地至少大约99%和优选100%地被溶解/去除。
用于形成剥离层44的优选组合物可从拥有前述特性的市面上有售的组合物中选取。这些组合物的例子包括从由聚(乙烯基吡啶)和聚酰胺酸构成的组中选取的那些组合物。两种优选的这类组合物是ProLIFT®和WGF系列的湿可显影材料(可从Brewer科技有限公司购得)。所使用的特定优选组合物记载在美国专利公开N0.2009/0035590中,该文献被援引包含于此。
接着,粘合层20被形成在剥离层44上(图3 (b))。粘合层20优选地具有厚度T1,如结合图1描述的那样,并具有大约5 μm的厚度T2,厚度T2更优选地从大约5 μm至大约50 μm,且甚至更优选地从大约10 μm至大约30 μm。如前所述,第二衬底24然后被粘合至粘合层20(图3(c))以形成粘合的叠层50。粘合的叠层50随后可经受如前所述的进一步加工。
一旦第一和第二衬底12、24准备被分离,粘合的叠层50被暴露于前述去除溶液中的一种(优选地长达从大约1分钟至大约5小时的时间段,更优选地从大约2分钟至大约60分钟的时间段),以使溶液溶解剥离层44,由此允许衬底12、24被分离。优选地,在剥离层44充当“清洗”层的实施例中,可通过加热以充分软化粘合层20以使衬底12、24分离来分离衬底12、24。一旦衬底12、24已被分离,可用去除溶液去除剥离/清洗层44,并且这同时使粘合层20的剩余残留物也被去除。
3.三层粘合方案I
图4示出第一三层粘合方案,其中相同的附图标记表示相同部件。图4所示实施例类似于图3所示实施例,除了图3的第一粘合层20已改变为第二粘合层32并且在“清洗”或剥离层44和第二粘合层32之间增设附加层。具体地说,在剥离层44已被形成在器件表面14上之后(如前所述并见图4 (a)),具有上表面54和下表面56的刚性层52被形成在剥离层44的上表面46上(图4(b))。本文中使用的“刚性”指具有由电流计确定的至少1 GPa的高剪切模量的层。此外,“刚性”指在工艺温度(典型地从大约150℃至大约400℃,优选地从大约200℃至大约300℃下不流动的层。
用于形成刚性层52的组合物将是前面结合第一粘合层20讨论的相同类型的组合物。此外,刚性层52将以类似于前面针对第一粘合层20描述的相同方式形成(包括如结合图1描述的相同厚度,如果剥离层44本质上是保形性的)。刚性层52优选地具有大约1 μm至大约35 μm的厚度T3 (如果剥离层44本质上不是保形性的),厚度T3更优选地从大约1 μm至大约25 μm,甚优选地从大约1 μm至大约15 μm。
参见图4(c),第二粘合层32使用前述同一施加方法和同一类型的组合物被形成在刚性层52的上表面54。在该实施例中,第二粘合层32的厚度T3从大约1 μm至大约35 μm,更优选地从大约1 μm至大约25 μm,且甚至更优选地从大约1 μm至大约15 μm。
刚性层52优选地具有软化点,该软化点比第二粘合层32的软化点高出至少大约20℃,更优选地高出大约20℃至大约300℃,且甚至更优选地高出大约20℃至大约100℃。这一般将导致具有至少100℃,优选地从大约150℃至大约400℃并更优选地从大约200℃至大约300℃的软化点的刚性层52。
如前所述,第二衬底24粘附于粘合层32以形成粘合的叠层58 (图4 (d))。粘合的叠层58随后经受如前所述的进一步加工。一旦第一和第二衬底12、24准备好分离,粘合的叠层58被暴露于前述去除溶液中的一种,以使该溶液溶解剥离层44,由此允许衬底12、24被分离。替代地,如前所述,可通过加热叠层58以软化粘合层32来实现分离。在这后一实例中,剥离层44再次充当清洗层,并可通过用去除溶液去除层44从而去除粘合层残留物。
4.三层粘合方案II
另一三层粘合方案示出于图5(a)-5(d),其中相同的附图标记表示相同部件。该实施例是前述实施例的变例,其变化之处在于多层粘合系统包括两个刚性层52,并且在两个层52之间具有层一第二粘合层32。组合物选择、加工参数和步骤等与前面针对相应层描述的那些相同。尽管未示出,但该实施例可通过颠倒粘合层32与两刚性层52中的一个来予以修正(且优选地使该刚性层52最接近第二衬底24)。
5.在衬底边缘处的多层
图6和图7不出本发明的又一些实施例,其中相同的部件以相同的方式标号。对于这些实施例,参照美国专利公开N0.2009/0218560,该文献援引包含于此。
参见图6 (a),在该实施例中描述了结构55。第一衬底12的器件表面14包括外围区域57、中央区域59以及在外围区域57的双层粘合系统60。系统60包括薄层62,该薄层具有上表面64和下表面66以及粘合段68,该粘合段68包括外表面70、内表面72、下表面74以及粘合表面76。薄层62的下表面66在外围区域57与第一衬底12的器件表面14毗邻,而粘合段68的下表面74与薄层62毗邻。
薄层62可以是与前面针对剥离层44描述的那些特征相似的剥离层,或者薄层62可以是粘合增进层。在它是粘合增进层的实例下,可为该目的而使用任何市面上有售的粘合增进组合物。这些组合物的一些示例包括有机硅烷(例如可从Brewer科技有限公司商业购得的ProTEK® primer)。
薄层62可通过例如旋涂的传统方法形成,之后在适于该特定组合物的温度下烘烤。例如,形成如前所述剥离层44的方法可用来形成薄层62。附加地,尽管图6(a)将该层图示为仅出现于外围区域57,然而薄层62也可完全横跨器件表面14延伸,以使它也出现在中央区域59。薄层62在外围区57优选地具有从大约1 μm至大约35 μm、更优选地从大约1 μm至大约25 μm、且甚至更优选地从大约1 μm至大约15 μm的厚度T3。在薄层62横跨整个器件表面14延伸的实例中,它具有从大约0.1 μm至大约20 μm、优选地从0.25 μm至大约10 μm、更优选地从大约1 μm至大约3 μm的厚度T1。在其它实例中,薄层62可以是保形层,并因此不具有前述厚度。
粘合段68可由任何市面上有售的粘合组合物形成,包括前面针对第一和第二粘合层20、32讨论的那些组合物。粘合段68 —般具有从大约2mm至大约15mm、优选地从大约2mm至大约10mm、更优选地从大约2mm至大约5mm的厚度“D”。此外,粘合段68具有优选地从大约5 μm至大约100 μm、更优选地从大约5 μm至大约50 μm、且甚至更优选地从大约10 μm至大约30 μm的厚度T3
这里,如前面实施例所述,结构55可被粘合至第二衬底24,或者填充层78可形成在器件表面14的中央区域59,如图6(b)所示。填充层78可具有与前面针对粘合段68描述的相同的厚度。填充层78典型地由包含分散或溶解在溶剂系统中的单体、低聚物和/或聚合物的材料构成。如果填充层78将经由旋涂被施加,则这种材料的固体含量优选地从按重量计大约1 %至按重量计大约50 %,跟优选地从按重量计大约5 %至按重量计大约40 %,且甚至更优选地从按重量计大约10%至按重量计大约30%。适宜的单体、低聚物和/或聚合物的例子包括从由环烯烃(cyclic olefin)聚合物和共聚物以及具有高原子氟含量(按重量计大于30% )的无定形含氟聚合物构成的组中选取的那些材料,例如氟化硅氧烷聚合物、氟化乙烯丙烯共聚物、具有配对的全氟烷氧基组(pendant perfluoroalkoxy groups)的聚合物以及四氟乙烯和2,2-双三氟甲基-4,5- 二氟-1,3-间二氧环戊烯的共聚物是优选的。要理解,这些材料的粘合强度将依赖于它们特定的化学结构以及对其施加的涂层和烘烤条件。
在该实施例中,填充层78优选地不形成强的粘连性粘合,由此有利于之后的分离。总地来说,如下的无定形聚合材料是理想的:(1)具有低表面自由能;(2)是不剥落的并已知不强韧地粘附于玻璃、硅和金属表面(即一般具有非常低浓度的羟基或羟酸组,优选地没有这些组);(3)可从溶液浇注或形成为薄膜以层叠;(4)将在一般粘合条件下流动以填充器件晶片表面形貌,由此在衬底之间形成无空隙的粘合线;以及(5)将不会在背侧加工期间遇到的机械应力下破裂、流动或重分布,即便当在高温或高真空条件下执行时也是如此。本文中使用的低表面自由层被定义为表现出与晶片至少约90°的接触角和小于约40达因/cm的临界表面张力的聚合材料,该临界表面张力优选地小于约30达因/cm,更优选地从大约12达因/cm至大约25达因/cm,如通过接触角测量确定的那样。
低粘合强度指聚合材料不粘合于衬底并仅通过很轻的手压力——例如可用来使粘合性便条纸脱粘的手压力——就可从衬底上剥落。因此,具有小于约50psig、优选地小于约35psig、更优选的从大约1psig至大约30psig的粘合强度的任何材料将理想地用作填充层22。表现出前述属性的适宜聚合材料的例子包括在Mitsui的APEL®、Ticona的TOP AS®和Zeon的ZEONOR®。这些商标下销售的一些环烯烃聚合物和共聚物以及例如由Asashi Glass出售的CYTOP®聚合物及由DuPont出售的TEFLON® AF聚合物的可溶于溶剂的含氟聚合物。这些材料的粘合强度将依赖于施加它们时使用的涂层和烘烤条件。
这里,第二衬底可使用通过前面实施例描述的步骤粘合于结构55以形成如图6(c)所示的粘合的叠层82。在叠层82上完成要求的加工之后,第一衬底12和第二衬底24可容易地被分离。在一种分离方法中,首先在溶剂或其它化学药剂的帮助下溶解粘合段68。这可通过将粘合段68浸没到溶剂中或将溶剂喷射到粘合段68以使其溶解而达成。如果溶剂分解被用来破坏粘合段68,则热塑性材料的使用是尤其合需的。在该去除工艺期间常见使用的溶剂包括从由乳酸乙酯、环己酮、甲基吡咯烷酮、脂肪族溶剂(例如己烷、癸烷、十二烷、十二烯)及其混合物构成的组中选取的那些溶剂。
衬底12、24也可通过首先使用激光消融、等离子蚀刻、喷水或其它有效地蚀刻或分解粘合段68的高能技术机械分裂或破坏粘合段68的连续性来分离。也可以首先通过一些等效装置锯切或割穿粘合段68或劈开粘合段68。
不管利用前述装置中的哪些,可施加低机械力(例如指压力、柔和的楔入)以完全地分离衬底12、24。优选地,分离不一定要克服填充层78和衬底12或24之间的强粘连性粘合。相反,只需要解除外围区域57中的粘合段68处的粘连性粘合以使分离发生。随后可根据需要用适宜的溶剂漂洗净衬底12和/或24的表面以去除任何残留的材料。
针对前述实施例,要注意在形成填充层78之前形成粘合段68只是一种可能的形成顺序。也可首先形成填充层78,之后形成粘合系统60或粘合段68。形成的顺序对本发明来说不是关键的,并可由本领域内技术人员改变。
参见图7,其示出本发明的又一实施例,其中相同附图标记表示相同部件。该实施例与图6相似,除了第一和第二衬底12、24被相互置换外。也就是说,薄层62与第二衬底24的载体表面26而不是与第一衬底12的器件表面14接触,并且粘合段68的粘合表面76被粘合至第一衬底12的器件表面14。因此,薄层62与粘合段68的下表面74或粘合表面76毗邻,或与两表面均毗邻,这依赖于具体应用的需要。在该实施例中,薄层62将具有前面针对图6实施例描述的厚度T3,并且这些厚度可横跨整个薄层62保持有效。
6.在衬底边缘处具有区域的多个层
图8示出本发明的又一实施例,其中相同附图标记表示相同部件。参见图8(a),第二粘合层32仅被形成在第一衬底12的外围区域57。施加方法、合需的特性(包括软化点)和用作第二粘合层32的可能组合物如前所述。参见图8(b),填充层78被形成在器件表面14的中央区域59,如前面结合图6和图7所述的那样。
接着,如图8(C)所示,第一粘合层20被形成在第二粘合层32的上表面33上和填充层78的上表面80上以形成结构84。同样,施加方法、合需的特性和用作第一粘合层20的可能组合物如前所述。第二衬底24可使用通过前面实施例描述的步骤粘合于结构84以形成如图8(d)所示的粘合的叠层86。(替代地,如示例18中所述,第一粘合层20可代替地形成在第二衬底24的载体表面21上,然后可将两个结构压在一起以形成粘合的叠层86,这类似于图1所示的步骤的顺序)。
粘合的叠层86随后经受如前所述的进一步加工。一旦第一和第二衬底12、24准备好分离,粘合的叠层86被暴露于去除溶液(例如二戊烯、十二烯、PGME),以使该溶液溶解第二粘合层32,由此允许衬底12、24被分离。替代地,分离可通过加热叠层86以使第二粘合层32软化来实现,该第二粘合层32具有比第一粘合层20更低的软化点,由此分离衬底12、24,如前所述。
参见图9,其示出本发明的又一实施例,其中相同附图标记表示相同部件。该实施例与图8相似,除了第一和第二衬底12、24被相互置换外。也就是说,第二粘合层32和填充层78与第二衬底24的载体表面26而不是与第一衬底12的器件表面14接触,并且粘合表面20被粘合至第一衬底12的器件表面14。因此,取决于具体应用的需要,可调整第二粘合层32和填充层78的位置。
对于已将各粘合层、剥离层和刚性层图示为基本覆盖、甚至完全覆盖特定衬底表面的前述粘合方案中的每一个来说,可以理解可修正这些层中的一个或多个层以使其跨过特定衬底(即使未示出)的仅一部分。换句话说,仅一部分特定衬底表面将与该特定层接触,并且这仍然落在本发明的范围内。
此外,即便在各层已被图示为在第一衬底(器件)上一个层形成在另一个层顶上并随后与第二衬底(载体)粘合的情形下,所有层也可在第二衬底上一个层形成在另一个层顶上并随后与第一衬底粘合。或者,一个或多个层可形成在第一衬底上,而其它层可形成在第二衬底上,随后将两个衬底粘合在一起。顺序不是关键的,只要所得到的结构具有本文所示和/或描述的层系统即可。
示例
下面的示例阐述了根据本发明的优选方法。然而要理解,这些示例是以解说方式给出的并且其中没有任何内容应当被认为是对本发明总范围的限制。
示例1-9示出本发明经改善的粘合性能。示例10-16示出提高的在脱粘前清洗粘合组合物的能力。
示例 1
环烯烃共聚物(COC)粘合组合物A的组成
在该制程中,250克的莳萝烯一降冰片烯共聚物(anethene-norbornenecopolymer)(从美国纽约的Rye Brook的Mitsui化学制药有限公司获得的APL8008T)和3.125克酚类抗氧化剂(从德国的BASF获得的IRGANOX 1010)被溶解在373.45克R- 二戊烯(从佛罗里达的Winter Haven的佛罗里达化学制药有限公司获得)和373.45克环辛烷(从密苏里州的圣路易斯的Sigma-Aldrich有限公司获得)中。允许在室温下对该混合物进行搅拌,直到所有这些组分被溶解为止。最终溶液具有25.31%固体。
示例 2
COC粘合组合物B的组成
在该制程中,210.31克的莳萝烯一降冰片烯共聚物(从美国肯塔基的Florence的Topas Advanced Polymers获得的Topas8007)和62.4克的低分子量的COC聚合物(从肯塔基的 Florence 的 Topas Advanced Polymers 获得的 Topas TM)被溶解在 706 克的 R- 二戊烯连同4.0克的苯酚抗氧化剂(Irganox 1010)以及具有2800道尔顿的分子量的14.5粒度的聚异丁烯(从纽约的Ontario的Scientific Polymer Products获得)中。允许在室温下对该混合物进行搅拌,直到所有这些成分被溶解为止。该溶液具有29%的固体。
示例 3
COC粘合组合物C的组成
在该制程中,将来自示例2的50克的COC粘合组合物B与50克R- 二戊烯混合。允许在室温下对该混合物进行搅拌以形成溶液。该溶液具有14.5%的固体。
示例 4
粘合组合物D的成分
在该制程中,120克的Wafer BOND® HT-10.10材料(从Brewer科技有限公司获得)与80克1-十二烯(从密苏里州的圣路易斯的Sigma-Aldrich获得)混合。允许在室温下对该混合物进行搅拌以形成溶液。
示例 5
器件晶片上的厚COC粘合组合物A层和载体晶片上的薄COC粘合组合物C层
在该制程中,来自示例1的10 mL的COC粘合组合物A——它是被设计成在270℃下充分流动以达成涂层的衬底和第二衬底之间的有效粘合的环烯烃聚合物涂层——被旋涂在200mm硅晶片上并被烘烤(使用下述的旋涂和烘烤参数)以形成COC粘合组合物A的薄膜。以来自示例1的COC粘合组合物A的10 mL的第二等分试样重复这道工艺,该第二等分试样被用来在第一薄膜的顶上形成薄膜。在两次施加步骤后的最终薄膜厚度为96 μm。
来自示例3的COC粘合组合物C——它是被设计成在220℃下充分流动以达成涂层的衬底和第二衬底之间的有效粘合的环烯烃聚合物涂层——被旋涂在另一 200 mm硅晶片上。COC粘合组合物C的厚度为大约3 μm。旋涂和烘烤参数对COC粘合组合物A和COC粘合组合物C而言是相同的并如下所述。
•旋涂条件:在10000 rpM/秒的加速度以800rpm旋涂长达60秒。
•烘烤条件,按顺序:80℃下长达2分钟,110℃下长达2分钟,160℃下长达2分钟以及220℃下长达6分钟。
涂有如前所述的COC粘合组合物A和COC粘合组合物C的两块硅晶片在具有5800牛顿的粘合压力的压力腔内的加热真空下在220℃的真空条件下长达3分钟而以面对面关系被粘合。使用与援引包含于此的美国专利公开N0.2010/0206479中描述滑动工序相同的滑动工序的脱粘器(从密苏里州的Rolla的Brewer科技有限公司获得)然后在220℃下分离粘合的晶片。
示例6
厚COC粘合组合物A和薄COC粘合组合物C
在该制程中,来自示例1的10 mL的COC粘合组合物A——它是被设计成在270℃下充分流动以达成涂层的衬底和第二衬底之间的有效粘合的环烯烃聚合物涂层——被旋涂在200mm硅晶片上并被烘烤(使用下述的旋涂和烘烤参数)以形成COC粘合组合物A的薄膜。以来自示例1的COC粘合组合物A的10 mL的第二等分试样重复这道工艺,该第二等分试样被用来在第一薄膜的顶上形成薄膜。在两次施加步骤后的最终薄膜厚度为93 μm。
来自示例3的COC粘合组合物C——它是被设计成在220℃下充分流动以达成涂层的衬底和第二衬底之间的有效粘合的环烯烃聚合物涂层——被旋涂在COC粘合组合物A薄膜的顶上。COC粘合组合物C膜的厚度为大约8 μm。旋涂和烘烤参数对COC粘合组合物A和COC粘合组合物C而言是相同的并如下所述:
•旋涂条件:在10000 rpm/秒的加速度下以800rpm旋涂长达60秒。
•烘烤条件,按顺序:110℃下长达4分钟,160℃下长达2分钟,220℃下长达6分钟。
另一 200mm的硅晶片的中央被涂覆以氟化硅烷(十七氟-1,1,2,2-全氟十二烷基三氯硅烷(heptadeccafluoro-1, 1, 2, 2-tetrahydrodecyl trichlorosilane)),而沿晶片外缘的3 mm区域被保留在没有氟化硅烷的状态。涂层氟化硅烷的详细工艺在援引包含于此的美国专利公开N0.2009/10218560的示例1中有记载。
如前所述的晶片对在加热真空下和5800牛顿的粘合压力下处于220℃下长达3分钟以面对面关系被粘合。晶片对被牢固地粘合在一起并经历研磨工艺,该研磨工艺将器件减薄至50 μm。经粘合的晶片堆被浸泡在R- 二戊烯中长达24小时,随后使用剥落脱粘器(从密苏里州的Rolla有限公司的Brewer科技有限公司获得的ZoneBOND™分离工具)通过剥落工艺将晶片脱粘。在剥落脱粘工艺中,器件晶片通过平坦表面上的真空保持,并且载体晶片(硅烷化的晶片)通过金属夹具牢固地保持。器件晶片随后通过剥落夹具而从载体晶片上分离。
示例 7
具有薄粘合组合物D的厚聚砜
在该制程中,280 克的聚砜(Ultrason E2020P ;新泽西 Flortham Park 的 BASF)被溶解在520克的二甲基乙酰胺(密苏里州的圣路易斯的Sigma-Aldrich)中。允许在室温下对该混合物进行搅拌直到聚砜被溶解以形成溶液为止。该溶液具有35%的固体。
前述聚砜溶液以600rpm的旋涂速度被旋涂在200mm的硅晶片上长达60秒。涂层的晶片在80℃下被烘烤2分钟,随后在150℃下被烘烤2分钟,然后在180℃下被烘烤5分钟。所得到的聚砜薄膜的厚度为51.64 μm。来自示例4的粘合组合物D随后以1400rpm的旋涂速度被旋涂在聚砜薄膜的顶部长达60秒。该晶片在80℃下被烘烤2分钟,然后在150℃下被烘烤2分钟,随后在180℃下被烘烤5分钟。稀释的Wafer BOND® HT-10.10薄膜的总厚度为大约2 μm。
晶片对在室温下在R- 二戊烯中被浸泡24小时,然后使用剥落脱粘器(ZoneBONDTM分离工具)将晶片分离。
示例 8
具有薄粘合组合物C的厚聚砜
在该制程中,280克的聚砜(Ultrason E2020P)被溶解在520克的二甲基乙酰胺(密苏里州的圣路易斯的Sigma-Aldrich)中。在室温下对该混合物进行搅拌直到聚砜被溶解以形成溶液为止。
前述聚砜溶液被旋涂在600rpm的旋涂速度下被旋涂在200mm硅晶片上长达60秒,经涂层的晶片在80℃下被烘烤2分钟,然后在150℃下被烘烤2分钟,随后在180℃下被烘烤5分钟以完全地去除浇注溶剂。聚砜薄膜的厚度为52.9μm。来自示例3的COC粘合组合物C随后以1400rpm的旋涂速度被旋涂在聚砜薄膜的顶部长达60秒。该晶片在80℃下被烘烤2分钟,然后在150℃下被烘烤2分钟,随后在180℃下被烘烤5分钟。COC粘合组合物C的总厚度为大约2 μm。
晶片对在室温下在R- 二戊烯中被浸泡24小时,然后使用剥落脱粘器(ZoneBONDTM分离工具)被分离。
示例 9
厚的COC粘合组合物A和用于滑动脱粘的COC粘合组合物B的大于20 μm的薄膜
在该例中,来自示例1的COC粘合组合物A的10 mL等分试样——它是被设计成在270℃下充分流动以达成涂层的衬底和第二衬底之间的有效粘合的环烯烃聚合物涂层——在200mm硅晶片上被旋涂两次。第一旋涂在600rpm下执行60秒,而第二旋涂在800rpm下执行60秒。在每次涂层后,晶片在80℃下被烘烤2分钟,然后在150℃下被烘烤2分钟,随后在220℃下被烘烤5分钟。结果得到的COC粘合组合物A薄膜的厚度为大约99.14 μm。
来自示例2的COC粘合组合物B、设计成在220℃下充分流动以实现涂层的衬底和第二衬底之间的有效粘合的环烯烃聚合物涂层被旋涂在涂有COC粘合组合物A的同一晶片上。COC粘合组合物B以1500rpm的旋涂速度被涂覆长达60秒。该晶片在80℃下被烘烤2分钟,然后在150℃下被烘烤2分钟,随后在220℃下被烘烤5分钟。COC粘合组合物B薄膜的厚度为大约29 μm。
前述晶片在具有5800牛顿的粘合压力的压力腔内在加热真空下处于220℃下长达3分钟而以面对面关系与另一 200mm硅晶片粘合。
使用滑动脱粘器(从Brewer科技有限公司获得)的滑动脱粘工艺将粘合的晶片对分离。在2mm/秒的脱粘速率和220℃的温度下执行脱粘工艺。
示例 10
用HCl溶液清洗的聚(乙烯吡啶)和COC粘合组合物B
在该制程中,2克的聚(乙烯吡啶)(从密苏里州的圣路易斯的Sigma-Aldrich获得)被溶解在环戊酮中。允许在室温下对该混合物进行搅拌,直到聚合物被溶解为止。环戊酮中的聚(乙烯吡啶)的总重量浓度是2%。该溶液通过0.1 μm的过滤器被过滤。
前述聚(乙烯吡啶)溶液以2000 rpm的旋涂速度被旋涂在100 mm的硅晶片上长达60秒。经涂层的晶片在80℃下被烘烤长达2分钟并随后在220℃下被烘烤长达2分钟。所得到的乙烯吡啶薄膜的厚度是0.0579 μm (57.9nm)。COC粘合组合物B然后以1100 rpm的旋涂速度被旋涂在聚(乙烯吡啶)薄膜的顶上长达60秒。该晶片在80℃下被烘烤2分钟,然后在160℃下被烘烤2分钟,随后在220℃下被烘烤6分钟。所得到的聚合物薄膜的总厚度为大约22 μm。
经涂层的晶片在室温下被浸入1%的氢氯化物(HCl)亲水溶液中长达大约4至5小时,直到COC粘合组合物B薄膜从晶片上剥离为止。晶片通过目测是干净的,但在显微镜下观察时一些残留物仍然是明显的。
示例 11
用乙酸溶液清洗的聚(乙烯吡啶)和COC粘合组合物B
晶片用与示例10相同的组合物和和相同的方式制备。经涂层的晶片在室温下被浸入50%的乙酸亲水溶液中长达大约4至5小时,直到COC粘合组合物B薄膜从晶片上剥离为止。
用乙酸溶液清洗的晶片通过目测是干净的,但在显微镜下观察时一些残留物仍然是明显的。
示例 12
用R- 二戊烯、环戊酮清洗的聚(乙烯吡啶)和COC粘合组合物B
通过与示例10相同的制程和相同的方式涂层的另一异丙醇晶片被允许以900rpm的速度在室温下旋涂,同时给予R- 二戊烯长达400秒作为第一清洗溶剂以去除COC粘合组合物B薄膜。然后通过以900rpm的旋涂速度给予环戊酮长达400秒来在室温下执行进一步的清洗,以去除聚(乙烯吡啶)聚合物薄膜。在900rpm的旋涂速度下用异丙醇旋漂晶片长达120秒。通过在1200rpm的速度下旋转晶片长达60秒来执行最后的干燥。通过该工艺清洗的晶片通过目测是无缺陷的。
示例 13
用R- 二戊烯和异丙醇清洗的聚(乙烯基吡啶)和COC粘合组合物B
涂有与示例10相同的制程和以相同方式涂层的另一晶片被允许以900rpm的速度在室温下旋涂,同时给予R- 二戊烯长达400秒作为第一清洗溶剂以去除COC粘合组合物B薄膜。然后通过以900rpm的旋涂速度给予异丙醇长达400秒来在室温下执行进一步的清洗,以去除聚(乙烯吡啶)聚合物薄膜。通过在1200rpm的速度下旋转晶片长达60秒来执行最后的干燥。通过该工艺清洗的晶片通过目测是无缺陷的。
示例 14
ProLIFT® 100-16 涂层和 WaferBOND® HT-10.10 材料
ProLIFT® 100-16涂层(从密苏里州的Rolla的Brewer科技有限公司获得)在3000rpm下被旋涂在200mm硅晶片上长达90秒。经涂层的晶片在120℃下被烘烤90秒并随后在205℃下被烘烤90秒以产生大约1 μm厚的层。WaferBOND® HT-10.10材料以1500rpm被旋涂在ProLIFT® 100-16薄膜的顶部长达30秒。晶片在120℃下被烘烤2分钟并随后在160℃下被烘烤2分钟以产生大约16 μm厚的层。另一 200 mm硅晶片处在220℃下长达3分钟且处在15psi的压力下长达1分钟而以面对面关系被粘合至经涂层的晶片。粘合的晶片对被冷却至160℃长达1分钟并逐渐降至室温。粘合的晶片对通过使用滑动脱粘器以2.00 mm/秒的速度和200℃的温度被分离。
脱粘的晶片上的涂层首先通过在250rpm的旋涂速度下给予1-十二烯长达60秒以去除WaferBOND® HT-10.10聚合薄膜并随后通过在300rpm的旋涂速度下给予ProLIFT®去除剂(从密苏里州的Rolla的Brewer科技有限公司获得)长达10秒以清洗ProLIFT®薄膜而被清洗。通过在1400 rpm的速度下旋转长达15秒而使晶片干燥。在清洗后,晶片目测是没有缺陷的。
示例 15
ProLIFT® 100 涂层和 COC 粘合组合物B
ProLIFT® 100-16 涂层在 3000rpm 下被旋涂在200mm硅晶片上长达90秒。经涂层的晶片在100℃下被烘烤长达120秒并随后在245℃下被烘烤长达60秒。来自示例2的COC粘合组合物B以300rpm被旋涂在ProLIFT® 100-16薄膜的顶部上长达5秒。该速度是向上斜变的,并且晶片以1200rpm旋转长达60秒。经涂层的晶片在60℃下被烘烤60秒,然后在80℃下被烘烤60秒,随后在220℃下被烘烤120秒。
晶片通过首先使用R- 二戊烯以去除COC粘合组合物B聚合物薄膜并随后给予PD523-AD 显影剂(加州的 JSR Microelectronics. Sunnyvale)以去除ProLIFT® 100-16薄膜而被清洗。具体的清洗过程如下:
清洗COC粘合组合物B:
1.搅动R- 二戊烯:在0 rpm下长达60秒
2.甩出:在2000rpm下长达5秒
3.手动地给予R- 二戊烯:在500rpm下长达60秒
4.甩出:在2000rpm下长达5秒
5.手动地分配异丙醇以漂洗:在500rpm下长达30秒
6.甩干:在2000rpm下长达15秒
清洗 ProLIFT® 100-16 涂层:
1.搅动PD523-AD显影剂:在0 rpm下长达20秒
2.甩脱:在2000rpm下长达5秒
3.手动地给予去离子水:在500rpm下长达20秒
4.手动地分配异丙醇以漂洗:在500rpm下长达5秒
5.甩干:在2000rpm下长达15秒
通过使用Candela CS20工具(从加州的Milpitas的LA Tencor获得)的缺陷检测来确认晶片是干净的。
示例 16
WGF300-310材料和COC粘合组合物B
WGF300-310材料(从密苏里州的Rolla的Brewer科技有限公司获得的显影剂可溶间隙填充组合物)以3000rpm被旋涂在200mm硅晶片上长达90秒。经涂层的晶片在100℃下被烘烤120秒并随后在245℃下被烘烤60秒以产生大约720Å厚的薄膜。来自示例2的COC粘合组合物B以300rpm被旋涂在WGF300-310薄膜的顶上长达5秒,并随后使该速度向上斜变因此晶片以1200rpm被旋涂长达60秒。经涂层的晶片在60℃下被烘烤60秒,然后在80℃下被烘烤60秒,随后在220℃下被烘烤120秒。
晶片通过首先使用R-二戊烯以去除COC粘合组合物B聚合物薄膜并随后给予PD523-AD显影剂以去除WGF 300-310薄膜而被清洗。具体的清洗过程如下:
清洗COC粘合组合物B:
1.搅动R- 二戊烯:在0 rpm下长达60秒
2.甩脱:在1500rpm下长达5秒
3.手动给予R- 二戊烯:在500rpm下长达60秒
4.甩脱:在1500rpm下长达5秒
5.手动地给予异丙醇以漂洗:在500rpm下长达0秒
6.甩干:在2000rpm下长达15秒
清洗 WGF300-310 涂层:
1.搅动PD523-AD显影剂:在0 rpm下长达20秒
2.甩脱:在1500rpm下长达5秒
3.手动地给予去离子水:在500rpm下长达20秒
4.手动地给予异丙醇以漂洗:在500rpm下长达5秒
5.甩干:在2000 rpm下长达l5秒
通过使用Candela CS20工具的缺陷检测来确认晶片是干净的。
示例 17
WGF 300-310材料、COC粘合组合物A以及COC粘合组合物B
WGF 300-310材料以3000rpm被旋涂在100 mm硅晶片上长达90秒。晶片在100℃下被烘烤长达120秒并随后在245 ℃下被烘烤长达60秒。WGF300-310薄膜的厚度为
0.0632 μm (63.2nm)。来自示例1的COC粘合组合物A随后以600rpm的旋涂速度被旋涂在WGF300-310薄膜的顶部长达60秒。该晶片在80℃下被烘烤2分钟,然后在150℃下被烘烤2分钟,随后在220℃下被烘烤5分钟。COC粘合组合物A层的厚度为41 μm。来自示例2的COC粘合组合物B随后以1400rpm的速度被旋涂在COC粘合组合物A薄膜的顶部长达60秒。该晶片在80℃下被烘烤2分钟,然后在150℃下被烘烤2分钟,随后在220℃下被烘烤5分钟。COC粘合组合物B层的厚度为8.2 μm。
前述晶片首先通过将其浸没到R-二戊烯中长达24小时以去除COC粘合组合物A、B聚合物层而被清洗。然后用PD523-AD显影剂清洗WGF300-310薄膜的第二步骤如下地执行:
1.搅动PD523-AD显影剂:在0 rpm下长达20秒
2.甩脱:在2000rpm下长达5秒
3.手动地给予去离子水:在500rpm下长达20秒
4.手动地分配异丙醇以漂洗:在500rpm下长达5秒
5.甩干:在2000rpm下长达15秒
从目测来看,该晶片是干净的。
示例 18
使用多层来协助ZoneBOND™边缘切割
大约1 μm的WaferBOND® HT-10.10厚层被涂层在200mm硅载体晶片的表面边缘周围的3-5mm宽的环上。该晶片在110℃下被烘烤2分钟,之后是在160℃下进行第二次烘烤长达2分钟。氟化硅烷((十七氟-1,2,2,2-四水合物)三氯硅烷、主要具有C12的全氟化物,由3M公司以Fluorinert的名号出售的)使用FC-40溶剂(从3M公司获得)被溶解在1%的溶液中。该溶液被旋涂在载体的中央段上。在电炉上对该载体烘烤长达1分钟,在旋涂器中用FC-40溶剂漂洗并在100℃下在热炉上烘烤额外的1分钟。
另一 200mm硅器件晶片的表面经由旋涂被涂覆以COC粘合组合物。该晶片在80℃下被烘烤2分钟,然后在120℃下被烘烤2分钟,随后在220℃下被烘烤2分钟。器件晶片和载体晶片在加热的真空和压力腔室内在真空下处于220℃达3分钟而以面对面关系被粘合。
组件被浸泡在1-十二烯中长达大约1小时以软化和部分溶解载体边缘的WaferBOND® HT-10.10薄层。1-十二烯不影响试验性粘合剂的体积,只有WaferBOND®HT-10.10。使用ZoneBOND™分离工具将载体从组件分离下来。

Claims (9)

1.一种临时粘合方法,包括:
将剥离层施加到第一衬底的器件表面;
在剥离层上形成粘合层;
将第二衬底粘合到粘合层以形成粘合的叠层。
2.根据权利要求1所述的方法,其中所述剥离层是通过旋涂被施加的。
3.根据权利要求1所述的方法,其中所述剥离层具有小于大约3 μm的厚度T1。
4.根据权利要求1所述的方法,其中所述剥离层(44)是保形层。
5.根据权利要求1所述的方法,其中选择用于形成所述剥离层(44)的组合物使得所述剥离层可溶于从由以下各项构成的组中选取的溶液中:1%盐酸亲水性溶液、50%醋酸亲水性溶液、异丙醇、1-十二烯、R-二戊烯、环戊酮衍生物、PGME和羟化四甲基铵。
6.根据权利要求1所述的方法,其中所述器件表面包括从由下列各项构成的组成选取的至少一种结构:焊料凸起;金属柱;金属墩;以及由从下列各项构成的组中选取的材料形成的结构:硅、多晶硅、二氧化硅、氮(氧)化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
7.根据权利要求1所述的方法,其中所述第二衬底包括下列材料中的一种:硅、蓝宝石、石英、金属(例如铝、铜、钢)、以及多种玻璃和陶瓷。
8.根据权利要求3所述的方法,其中所述厚度T1是大约0.5 μm到大约3 μm。
9.根据权利要求8所述的方法,其中所述厚度T1是大约1.0 μm到大约1.5 μm。
CN201410147263.5A 2010-08-06 2011-08-05 薄晶片处理的多粘合层 Active CN104022016B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US37151710P 2010-08-06 2010-08-06
US61/371517 2010-08-06
US13/198,294 US9263314B2 (en) 2010-08-06 2011-08-04 Multiple bonding layers for thin-wafer handling
US13/198294 2011-08-04
CN201180047933.0A CN103155100B (zh) 2010-08-06 2011-08-05 薄晶片处理的多粘合层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180047933.0A Division CN103155100B (zh) 2010-08-06 2011-08-05 薄晶片处理的多粘合层

Publications (2)

Publication Number Publication Date
CN104022016A true CN104022016A (zh) 2014-09-03
CN104022016B CN104022016B (zh) 2018-04-27

Family

ID=45556370

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180047933.0A Active CN103155100B (zh) 2010-08-06 2011-08-05 薄晶片处理的多粘合层
CN201410147263.5A Active CN104022016B (zh) 2010-08-06 2011-08-05 薄晶片处理的多粘合层

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201180047933.0A Active CN103155100B (zh) 2010-08-06 2011-08-05 薄晶片处理的多粘合层

Country Status (8)

Country Link
US (4) US9263314B2 (zh)
EP (3) EP2733734B1 (zh)
JP (4) JP5972874B2 (zh)
KR (3) KR101900517B1 (zh)
CN (2) CN103155100B (zh)
SG (4) SG10201509693YA (zh)
TW (3) TWI505347B (zh)
WO (1) WO2012057893A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107481953A (zh) * 2016-06-08 2017-12-15 日本特殊陶业株式会社 层叠发热体
CN113223975A (zh) * 2020-02-05 2021-08-06 英飞凌科技股份有限公司 在半导体裸片的背侧金属化部上使用牺牲层的烧结方法

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064686B2 (en) * 2010-04-15 2015-06-23 Suss Microtec Lithography, Gmbh Method and apparatus for temporary bonding of ultra thin wafers
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
JP5958262B2 (ja) * 2011-10-28 2016-07-27 信越化学工業株式会社 ウエハ加工体、ウエハ加工用部材、ウエハ加工用仮接着材、及び薄型ウエハの製造方法
WO2013116071A1 (en) * 2012-01-30 2013-08-08 3M Innovative Properties Company Apparatus, hybrid laminated body, method, and materials for temporary substrate support
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US8999817B2 (en) * 2012-02-28 2015-04-07 Shin-Etsu Chemical Co., Ltd. Wafer process body, wafer processing member, wafer processing temporary adhesive material, and method for manufacturing thin wafer
JP6268158B2 (ja) * 2012-03-20 2018-01-24 スリーエム イノベイティブ プロパティズ カンパニー 一時的基板支持体及び支持体分離のための積層体、方法、並びに材料
EP2657963B1 (en) 2012-04-24 2017-09-06 Shin-Etsu Chemical Co., Ltd. Wafer-trilayer adhesive layer-support composite, wafer support with trilayer adhesive layer for use in wafer processing, trilayer adhesive layer for use in wafer processing, method of manufacturing said composite and method of manufacturing a thin wafer using said composite
US9127126B2 (en) 2012-04-30 2015-09-08 Brewer Science Inc. Development of high-viscosity bonding layer through in-situ polymer chain extension
JP5360260B2 (ja) * 2012-05-08 2013-12-04 Jsr株式会社 基材の処理方法、積層体および半導体装置
JP6031264B2 (ja) * 2012-06-13 2016-11-24 富士フイルム株式会社 半導体装置製造用仮接着剤、並びに、それを用いた接着性支持体、及び、半導体装置の製造方法
US9452924B2 (en) 2012-06-15 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US9450109B2 (en) 2012-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
KR101970291B1 (ko) 2012-08-03 2019-04-18 삼성전자주식회사 반도체 패키지의 제조 방법
US8888944B2 (en) 2012-09-07 2014-11-18 Erik G. de Jong Affinity bond layer
JP5982248B2 (ja) * 2012-09-28 2016-08-31 富士フイルム株式会社 半導体装置製造用仮接合層、積層体、及び、半導体装置の製造方法。
US9269623B2 (en) 2012-10-25 2016-02-23 Rohm And Haas Electronic Materials Llc Ephemeral bonding
US20140127857A1 (en) * 2012-11-07 2014-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Carrier Wafers, Methods of Manufacture Thereof, and Packaging Methods
US20140144593A1 (en) 2012-11-28 2014-05-29 International Business Machiness Corporation Wafer debonding using long-wavelength infrared radiation ablation
US9586291B2 (en) 2012-11-28 2017-03-07 Globalfoundries Inc Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
KR102075635B1 (ko) * 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR102077248B1 (ko) 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
WO2014142303A1 (ja) * 2013-03-14 2014-09-18 富士電機株式会社 半導体デバイスの製造方法
US20140342148A1 (en) * 2013-05-15 2014-11-20 Corning Incorporated Glass structures and methods of creating and processing glass structures
TWI581462B (zh) * 2013-06-03 2017-05-01 晶元光電股份有限公司 發光元件及其製造方法
CN110010735B (zh) * 2013-06-07 2022-05-17 晶元光电股份有限公司 发光元件及其制造方法
TWI576190B (zh) 2013-08-01 2017-04-01 Ibm 使用中段波長紅外光輻射燒蝕之晶圓剝離
FR3009428B1 (fr) * 2013-08-05 2015-08-07 Commissariat Energie Atomique Procede de fabrication d'une structure semi-conductrice avec collage temporaire via des couches metalliques
US10103048B2 (en) 2013-08-28 2018-10-16 Brewer Science, Inc. Dual-layer bonding material process for temporary bonding of microelectronic substrates to carrier substrates
JP6096085B2 (ja) * 2013-08-30 2017-03-15 富士フイルム株式会社 積層体およびその応用
JP6182491B2 (ja) * 2013-08-30 2017-08-16 富士フイルム株式会社 積層体およびその応用
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
CN103617944B (zh) * 2013-10-21 2016-04-27 中国电子科技集团公司第五十五研究所 基于光刻胶的临时键合及去键合的方法
US9315696B2 (en) 2013-10-31 2016-04-19 Dow Global Technologies Llc Ephemeral bonding
AU2014381597A1 (en) * 2013-11-11 2016-05-26 The Regents Of The University Of Michigan Thermally-assisted cold-weld bonding for epitaxial lift-off process
CN103633004B (zh) * 2013-11-20 2016-05-25 中国电子科技集团公司第四十一研究所 30μm-50μm超薄石英基片上光刻刻蚀薄膜电路图形的方法
US20150147850A1 (en) * 2013-11-25 2015-05-28 Infineon Technologies Ag Methods for processing a semiconductor workpiece
CN103640096B (zh) * 2013-11-26 2015-12-02 浙江上城科技有限公司 一种蓝宝石薄片的加工方法
SG11201605469PA (en) * 2014-01-07 2016-08-30 Brewer Science Inc Cyclic olefin polymer compositions and polysiloxane release layers for use in temporary wafer bonding processes
US9865490B2 (en) * 2014-01-07 2018-01-09 Brewer Science Inc. Cyclic olefin polymer compositions and polysiloxane release layers for use in temporary wafer bonding processes
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
JP2015176958A (ja) * 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法
JP6228508B2 (ja) * 2014-05-01 2017-11-08 東京エレクトロン株式会社 洗浄装置、剥離システム、洗浄方法、プログラム及びコンピュータ記憶媒体
JP6153886B2 (ja) * 2014-05-09 2017-06-28 東京エレクトロン株式会社 洗浄装置、剥離システム、洗浄方法、プログラム及びコンピュータ記憶媒体
US10759660B2 (en) * 2014-05-13 2020-09-01 Qorvo Us, Inc. Method for processing product wafers using carrier substrates
USD785057S1 (en) * 2014-05-21 2017-04-25 Brewer Science Inc. Bake plate
TWI661935B (zh) 2014-06-13 2019-06-11 日商富士軟片股份有限公司 暫時接著用積層體、暫時接著用積層體的製造方法以及帶有元件晶圓的積層體
TW201601918A (zh) 2014-06-13 2016-01-16 Fujifilm Corp 暫時接著用積層體、暫時接著用積層體的製造方法以及帶有元件晶圓的積層體
TWI667311B (zh) 2014-06-13 2019-08-01 日商富士軟片股份有限公司 Temporary fixing of the adhesive, adhesive film, adhesive support, laminate and adhesive kit
CN104157577B (zh) * 2014-08-26 2016-11-02 上海华虹宏力半导体制造有限公司 半导体器件的形成方法
JP2016076543A (ja) * 2014-10-03 2016-05-12 株式会社東芝 固体撮像装置の製造方法
CN104485294A (zh) 2014-12-12 2015-04-01 浙江中纳晶微电子科技有限公司 一种晶圆临时键合及分离方法
US9991150B2 (en) 2014-12-12 2018-06-05 Micro Materials Inc. Procedure of processing a workpiece and an apparatus designed for the procedure
JP6225894B2 (ja) * 2014-12-24 2017-11-08 信越化学工業株式会社 ウエハの仮接着方法及び薄型ウエハの製造方法
JP2016146429A (ja) * 2015-02-09 2016-08-12 トヨタ自動車株式会社 半導体装置の製造方法
US9644118B2 (en) 2015-03-03 2017-05-09 Dow Global Technologies Llc Method of releasably attaching a semiconductor substrate to a carrier
CN107258012B (zh) * 2015-03-20 2021-04-16 应用材料公司 以高温聚合物接合剂接合至金属基底的陶瓷静电夹盘
US9296915B1 (en) * 2015-04-10 2016-03-29 Dow Global Technologies Llc Toughened arylcyclobutene polymers
JP6481050B2 (ja) * 2015-05-08 2019-03-13 富士フイルム株式会社 デバイス基板及び半導体デバイスの製造方法
CN104979262B (zh) * 2015-05-14 2020-09-22 浙江中纳晶微电子科技有限公司 一种晶圆分离的方法
CN107635769B (zh) 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
CN105280541A (zh) * 2015-09-16 2016-01-27 中国电子科技集团公司第五十五研究所 一种用于超薄半导体圆片的临时键合方法及去键合方法
US10050012B2 (en) * 2015-11-25 2018-08-14 International Business Machines Corporation Method for semiconductor die removal rework
US9704820B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing method and associated semiconductor manufacturing system
DE102016106351A1 (de) * 2016-04-07 2017-10-12 Ev Group E. Thallner Gmbh Verfahren und Vorrichtung zum Bonden zweier Substrate
DE102016114949B4 (de) * 2016-08-11 2023-08-24 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
KR101877897B1 (ko) * 2017-03-06 2018-07-12 엘비세미콘 주식회사 범프 구조체의 제조방법
WO2018169762A1 (en) * 2017-03-15 2018-09-20 Didrew Technology (Bvi) Limited Method and system for debonding temporarily adhesive-bonded carrier-workpiece pair
CN106847718A (zh) * 2017-03-28 2017-06-13 深圳市化讯半导体材料有限公司 一种器件晶圆的临时键合与拆键合工艺
KR20180124198A (ko) * 2017-05-10 2018-11-21 코닝 인코포레이티드 기판 처리 방법들
US10403598B2 (en) 2017-08-11 2019-09-03 Micron Technology, Inc. Methods and system for processing semiconductor device structures
US10910535B2 (en) * 2017-11-08 2021-02-02 SemiLEDs Optoelectronics Co., Ltd. Method for making light emitting device LED arrays
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
KR102228537B1 (ko) 2018-03-23 2021-03-15 주식회사 엘지화학 백 그라인딩 테이프
WO2019202067A1 (en) * 2018-04-20 2019-10-24 Aveni Method for temporary or permanent wafer bonding
US11502106B2 (en) 2020-02-11 2022-11-15 Globalfoundries U.S. Inc. Multi-layered substrates of semiconductor devices
CN112689886B (zh) * 2020-06-16 2022-11-18 福建晶安光电有限公司 一种衬底加工方法及半导体器件制造方法
FR3113771B1 (fr) * 2020-08-27 2022-10-21 Commissariat Energie Atomique Procédé de fabrication d'un substrat-poignée destiné au collage temporaire d'un substrat.
CN113714650A (zh) * 2021-08-25 2021-11-30 大族激光科技产业集团股份有限公司 晶片的制造方法

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3970494A (en) 1975-04-18 1976-07-20 Western Electric Co., Inc. Method for adhering one surface to another
US4474942A (en) 1982-06-28 1984-10-02 Takeda Chemical Industries, Ltd. Cross-linked polyesteramide from bis(2-oxazoline)
GB8320270D0 (en) 1983-07-27 1983-09-01 Raychem Ltd Polymer composition
DE3405540A1 (de) 1984-02-16 1985-08-22 Robert Bosch Gmbh, 7000 Stuttgart Drehzahlregler fuer kraftstoffeinspritzpumpen
US4558114A (en) 1985-01-23 1985-12-10 Ashland Oil, Inc. Polymers derived from polyisocyanates, bicyclic amide acetals and oxazolines
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4710542A (en) 1986-05-16 1987-12-01 American Cyanamid Company Alkylcarbamylmethylated amino-triazine crosslinking agents and curable compositions containing the same
US4855170A (en) 1986-08-21 1989-08-08 Minnesota Mining And Manufacturing Company Pressure-sensitive tape construction incorporating resilient polymeric microspheres
JPH0645436Y2 (ja) 1988-10-18 1994-11-24 ダイハツデイーゼル株式会社 舶用機関の操縦装置
NL8902683A (nl) 1989-10-31 1991-05-16 Stamicarbon Meerkomponentensysteem op basis van een oxazoline en een fosfor bevattende verbinding.
JPH0474794A (ja) 1990-07-12 1992-03-10 Mitsui Mining & Smelting Co Ltd 基板ホルダおよび基板の装着方法
US5043250A (en) 1990-07-17 1991-08-27 Eastman Kodak Company Radiation-sensitive composition containing a poly (N-acyl-alkyleneimine) and use thereof in lithographic printing plates
US5195729A (en) 1991-05-17 1993-03-23 National Semiconductor Corporation Wafer carrier
JPH0645436A (ja) 1992-07-22 1994-02-18 Nec Corp 半導体基板の貼付方法
JPH0697017A (ja) 1992-09-16 1994-04-08 Fujitsu Ltd 半導体装置の製造方法
JP3656254B2 (ja) 1994-02-28 2005-06-08 三菱住友シリコン株式会社 接着ウエーハの剥離方法及び剥離装置
US5654226A (en) 1994-09-07 1997-08-05 Harris Corporation Wafer bonding for power devices
US6342434B1 (en) 1995-12-04 2002-01-29 Hitachi, Ltd. Methods of processing semiconductor wafer, and producing IC card, and carrier
JPH09263500A (ja) 1996-01-22 1997-10-07 Komatsu Electron Metals Co Ltd 貼り合わせsoiウェーハの剥がし治具
DE19628393A1 (de) 1996-07-13 1998-01-15 Bosch Gmbh Robert Vorrichtung zum Schutz des Rands eines Wafers vor einer ätzenden Flüssigkeit und Verfahren zur Montage der Vorrichtung
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
SG67458A1 (en) 1996-12-18 1999-09-21 Canon Kk Process for producing semiconductor article
CN1104480C (zh) 1997-01-30 2003-04-02 三井化学株式会社 热熔粘合剂组合物
AU9105298A (en) 1997-08-22 1999-03-16 Cubic Memory, Inc. Vertical interconnect process for silicon segments with thermally conductive epoxy preform
US6110999A (en) 1998-03-06 2000-08-29 Denovus Llc Reusable adhesive composition and method of making the same
KR100304197B1 (ko) 1998-03-30 2001-11-30 윤종용 소이제조방법
KR100509059B1 (ko) 1998-09-12 2005-11-22 엘지전자 주식회사 플렉시블인쇄회로기판의제조방법및그방법으로생산한플렉시블인쇄회로기판
FR2783970B1 (fr) 1998-09-25 2000-11-03 Commissariat Energie Atomique Dispositif autorisant le traitement d'un substrat dans une machine prevue pour traiter de plus grands substrats et systeme de montage d'un substrat dans ce dispositif
FR2785217B1 (fr) 1998-10-30 2001-01-19 Soitec Silicon On Insulator Procede et dispositif pour separer en deux tranches une plaque de materiau notamment semi-conducteur
TW484184B (en) * 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
JP2002531942A (ja) 1998-12-02 2002-09-24 ニューポート・コーポレーション 試料を保持するロボットアーム端部エフェクタ
JP2000208252A (ja) 1999-01-14 2000-07-28 Tdk Corp 有機el素子
KR20000019536U (ko) 1999-04-14 2000-11-15 민병락 고무 풍선을 이용한 주먹볼
FR2796491B1 (fr) 1999-07-12 2001-08-31 Commissariat Energie Atomique Procede de decollement de deux elements et dispositif pour sa mise en oeuvre
US6350664B1 (en) 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
WO2001079304A1 (fr) 2000-04-13 2001-10-25 Idemitsu Petrochemical Co., Ltd. Procede de production de copolymere de vinyle $g(a)-olefinique/aromatique
JP3768069B2 (ja) * 2000-05-16 2006-04-19 信越半導体株式会社 半導体ウエーハの薄型化方法
US20030168158A1 (en) 2000-08-22 2003-09-11 Takeyoshi Kato Method of film laminating
JP2002237516A (ja) 2001-02-07 2002-08-23 Seiko Epson Corp ウェハ保護ケース
US20020115263A1 (en) 2001-02-16 2002-08-22 Worth Thomas Michael Method and related apparatus of processing a substrate
US6660330B2 (en) 2001-04-10 2003-12-09 International Business Machines Corporation Method for depositing metal films onto substrate surfaces utilizing a chamfered ring support
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
DE10121115A1 (de) 2001-04-28 2002-10-31 Leica Microsystems Haltevorrichtung für Wafer
US6543808B1 (en) 2001-07-05 2003-04-08 Translucent Technologies, Llc Direct thermal printable pull tabs
DE10137375A1 (de) 2001-07-31 2003-02-27 Infineon Technologies Ag Verwendung von Polybenzoxazolen (PBO) zum Kleben
EP1295926A1 (en) 2001-09-19 2003-03-26 ExxonMobil Chemical Patents Inc. Components for adhesive compositions and process for manufacture
JP3957506B2 (ja) 2001-12-26 2007-08-15 Necエレクトロニクス株式会社 基板表面保護シート貼り付け装置および貼り付け方法
KR20050006120A (ko) 2002-05-13 2005-01-15 제이에스알 가부시끼가이샤 고체의 일시적 고정을 위한 조성물 및 방법
CN1639869B (zh) 2002-05-20 2010-05-26 三菱住友硅晶株式会社 粘合基片的制造方法、以及其中使用的晶片外周加压用夹具类
JP4565804B2 (ja) 2002-06-03 2010-10-20 スリーエム イノベイティブ プロパティズ カンパニー 被研削基材を含む積層体、その製造方法並びに積層体を用いた極薄基材の製造方法及びそのための装置
US7534498B2 (en) * 2002-06-03 2009-05-19 3M Innovative Properties Company Laminate body, method, and apparatus for manufacturing ultrathin substrate using the laminate body
JP4074794B2 (ja) 2002-08-30 2008-04-09 ソタジャパン有限会社 ゲルマニウム合金−シリカ複合体を用いた装身具
US7608336B2 (en) 2002-11-28 2009-10-27 Nippon Kayaku Kabushiki Kaisha Flame-retardant epoxy resin composition and cured product obtained therefrom
JP4593068B2 (ja) 2002-11-29 2010-12-08 古河電気工業株式会社 半導体ウエハー固定用粘着テープ
AU2003299296A1 (en) * 2002-11-29 2004-06-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
US7187162B2 (en) 2002-12-16 2007-03-06 S.O.I.Tec Silicon On Insulator Technologies S.A. Tools and methods for disuniting semiconductor wafers
US6869894B2 (en) 2002-12-20 2005-03-22 General Chemical Corporation Spin-on adhesive for temporary wafer coating and mounting to support wafer thinning and backside processing
JP4514409B2 (ja) 2003-02-20 2010-07-28 日東電工株式会社 半導体ウエハの仮固定方法及び電子部品、回路基板
JP4082242B2 (ja) 2003-03-06 2008-04-30 ソニー株式会社 素子転写方法
JP4171898B2 (ja) 2003-04-25 2008-10-29 信越化学工業株式会社 ダイシング・ダイボンド用接着テープ
DE10320375B3 (de) 2003-05-07 2004-12-16 Süss Micro Tec Laboratory Equipment GmbH Verfahren zum temporären Fixieren zweier flächiger Werksücke
AU2003235243A1 (en) 2003-05-13 2004-12-03 Mimasu Semiconductor Industry Co., Ltd. Wafer demounting method, wafer demounting device, and wafer demounting and transferring machine
JP4170839B2 (ja) 2003-07-11 2008-10-22 日東電工株式会社 積層シート
DE10334576B4 (de) 2003-07-28 2007-04-05 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiterbauelements mit einem Kunststoffgehäuse
JP3912350B2 (ja) * 2003-09-22 2007-05-09 住友電気工業株式会社 半導体装置の製造方法
JP4462997B2 (ja) 2003-09-26 2010-05-12 株式会社ディスコ ウェーハの加工方法
JP4447280B2 (ja) * 2003-10-16 2010-04-07 リンテック株式会社 表面保護用シートおよび半導体ウエハの研削方法
US7084201B2 (en) 2003-11-14 2006-08-01 Wall-Guard Corporation Of Ohio Non-flammable waterproofing composition
EP1700896A1 (en) 2003-11-27 2006-09-13 JSR Corporation Hot melt adhesive composition
JP2006135272A (ja) 2003-12-01 2006-05-25 Tokyo Ohka Kogyo Co Ltd 基板のサポートプレート及びサポートプレートの剥離方法
JP2005191550A (ja) * 2003-12-01 2005-07-14 Tokyo Ohka Kogyo Co Ltd 基板の貼り付け方法
US20050150597A1 (en) 2004-01-09 2005-07-14 Silicon Genesis Corporation Apparatus and method for controlled cleaving
US7279063B2 (en) 2004-01-16 2007-10-09 Eastman Kodak Company Method of making an OLED display device with enhanced optical and mechanical properties
RU2273075C2 (ru) 2004-01-28 2006-03-27 Открытое акционерное общество "Научно-исследовательский институт полупроводникового машиностроения" (ОАО "НИИПМ") Устройство для обработки полупроводниковых пластин
KR100696287B1 (ko) 2004-01-28 2007-03-19 미쓰이 가가쿠 가부시키가이샤 반도체 웨이퍼의 보호방법
DE102004007060B3 (de) 2004-02-13 2005-07-07 Thallner, Erich, Dipl.-Ing. Vorrichtung und Verfahren zum Verbinden von Wafern
FR2866983B1 (fr) 2004-03-01 2006-05-26 Soitec Silicon On Insulator Realisation d'une entite en materiau semiconducteur sur substrat
JP2005268690A (ja) 2004-03-22 2005-09-29 Sumitomo Bakelite Co Ltd 多層回路基板の製造方法
US7226812B2 (en) 2004-03-31 2007-06-05 Intel Corporation Wafer support and release in wafer processing
DE102004018249B3 (de) 2004-04-15 2006-03-16 Infineon Technologies Ag Verfahren zum Bearbeiten eines Werkstücks an einem Werkstückträger
US7825006B2 (en) * 2004-05-06 2010-11-02 Cree, Inc. Lift-off process for GaN films formed on SiC substrates and devices fabricated using the method
JP2006000361A (ja) * 2004-06-17 2006-01-05 Osada Res Inst Ltd 学習用歯ブラシ及び歯磨き学習装置
US7553684B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
FR2878076B1 (fr) 2004-11-17 2007-02-23 St Microelectronics Sa Amincissement d'une plaquette semiconductrice
JP2006201332A (ja) 2005-01-19 2006-08-03 Nippon Zeon Co Ltd リフトオフ用レジスト除去剤組成物
JP4539368B2 (ja) 2005-02-24 2010-09-08 ソニー株式会社 表示装置の製造方法
US7541264B2 (en) 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
JP4721828B2 (ja) 2005-08-31 2011-07-13 東京応化工業株式会社 サポートプレートの剥離方法
US7545042B2 (en) 2005-12-22 2009-06-09 Princo Corp. Structure combining an IC integrated substrate and a carrier, and method of manufacturing such structure
DE102006000687B4 (de) 2006-01-03 2010-09-09 Thallner, Erich, Dipl.-Ing. Kombination aus einem Träger und einem Wafer, Vorrichtung zum Trennen der Kombination und Verfahren zur Handhabung eines Trägers und eines Wafers
US8268449B2 (en) 2006-02-06 2012-09-18 Brewer Science Inc. Thermal- and chemical-resistant acid protection coating material and spin-on thermoplastic adhesive
JP4682883B2 (ja) 2006-03-10 2011-05-11 株式会社豊田自動織機 貼り合わせ基板の分断方法
JP5332120B2 (ja) 2006-03-15 2013-11-06 富士電機株式会社 半導体装置の製造方法
JP2007311683A (ja) * 2006-05-22 2007-11-29 Matsushita Electric Ind Co Ltd 貼り合せ方法及びその装置
US20070267972A1 (en) 2006-05-22 2007-11-22 Menegus Harry E Method for forming a temporary hermetic seal for an OLED display device
US20070274871A1 (en) 2006-05-23 2007-11-29 Genetix Limited Well plate
RU2380305C2 (ru) 2006-07-06 2010-01-27 Рена Зондермашинен Гмбх Устройство и способ разъединения и транспортировки подложек
JP2008021929A (ja) 2006-07-14 2008-01-31 Tokyo Ohka Kogyo Co Ltd サポートプレート、搬送装置、剥離装置及び剥離方法
JP5027460B2 (ja) * 2006-07-28 2012-09-19 東京応化工業株式会社 ウエハの接着方法、薄板化方法、及び剥離方法
JP4847255B2 (ja) * 2006-08-30 2011-12-28 株式会社テオス 半導体ウエーハの加工方法
JP2008060361A (ja) 2006-08-31 2008-03-13 Nitto Denko Corp 半導体ウェハの加工方法、及びそれに用いる半導体ウェハ加工用粘着シート
US7713835B2 (en) 2006-10-06 2010-05-11 Brewer Science Inc. Thermally decomposable spin-on bonding compositions for temporary wafer bonding
US20080200011A1 (en) * 2006-10-06 2008-08-21 Pillalamarri Sunil K High-temperature, spin-on, bonding compositions for temporary wafer bonding using sliding approach
US7795113B2 (en) 2006-12-21 2010-09-14 Imec Method for bonding a die or substrate to a carrier
JP2008171934A (ja) 2007-01-10 2008-07-24 Lintec Corp 脆質部材の保護構造および脆質部材の処理方法
JP4125776B1 (ja) 2007-01-31 2008-07-30 信越エンジニアリング株式会社 粘着チャック装置
JP4729003B2 (ja) 2007-06-08 2011-07-20 リンテック株式会社 脆質部材の処理方法
US7935780B2 (en) 2007-06-25 2011-05-03 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
EP2174189B1 (en) 2007-07-30 2024-02-07 Brewer Science Inc. Non-covalently crosslinkable materials for photolithography processes
JP2009154407A (ja) 2007-12-27 2009-07-16 Tdk Corp 剥離装置、剥離方法および情報記録媒体製造方法
JP2009168913A (ja) 2008-01-11 2009-07-30 Canon Inc 膜パターンの形成方法
KR101096142B1 (ko) 2008-01-24 2011-12-19 브레우어 사이언스 인코포레이션 캐리어 기판에 디바이스 웨이퍼를 가역적으로 장착하는 방법
US9060419B2 (en) * 2008-02-08 2015-06-16 Carestream Health, Inc. Substrate formed on carrier having retaining features and resultant electronic device
US7859000B2 (en) * 2008-04-10 2010-12-28 Cree, Inc. LEDs using single crystalline phosphor and methods of fabricating same
US7727808B2 (en) 2008-06-13 2010-06-01 General Electric Company Ultra thin die electronic package
JP2010010207A (ja) 2008-06-24 2010-01-14 Tokyo Ohka Kogyo Co Ltd 剥離装置および剥離方法
JP5224111B2 (ja) 2008-08-29 2013-07-03 日立化成株式会社 半導体ウェハ加工用接着フィルム
JP5476046B2 (ja) 2008-10-03 2014-04-23 東京応化工業株式会社 剥離方法、基板の接着剤、および基板を含む積層体
US8092628B2 (en) 2008-10-31 2012-01-10 Brewer Science Inc. Cyclic olefin compositions for temporary wafer bonding
US7867876B2 (en) 2008-12-23 2011-01-11 International Business Machines Corporation Method of thinning a semiconductor substrate
US8866018B2 (en) 2009-01-12 2014-10-21 Oak-Mitsui Technologies Llc Passive electrical devices and methods of fabricating passive electrical devices
US7883991B1 (en) 2010-02-18 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Temporary carrier bonding and detaching processes
US8232117B2 (en) * 2010-04-30 2012-07-31 Koninklijke Philips Electronics N.V. LED wafer with laminated phosphor layer
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107481953A (zh) * 2016-06-08 2017-12-15 日本特殊陶业株式会社 层叠发热体
CN113223975A (zh) * 2020-02-05 2021-08-06 英飞凌科技股份有限公司 在半导体裸片的背侧金属化部上使用牺牲层的烧结方法

Also Published As

Publication number Publication date
TWI604520B (zh) 2017-11-01
TW201545227A (zh) 2015-12-01
WO2012057893A2 (en) 2012-05-03
EP2601676A4 (en) 2014-08-06
EP2601676A2 (en) 2013-06-12
SG2014014922A (en) 2014-07-30
EP2733734A2 (en) 2014-05-21
EP2601676B1 (en) 2020-03-25
US9224631B2 (en) 2015-12-29
CN104022016B (zh) 2018-04-27
SG10201509693YA (en) 2015-12-30
KR101900517B1 (ko) 2018-11-02
JP6066105B2 (ja) 2017-01-25
CN103155100B (zh) 2016-08-03
KR20140039330A (ko) 2014-04-01
JP2014158035A (ja) 2014-08-28
KR20130136975A (ko) 2013-12-13
JP5972874B2 (ja) 2016-08-17
US20150122426A1 (en) 2015-05-07
JP2013535838A (ja) 2013-09-12
TW201216342A (en) 2012-04-16
EP2996140A3 (en) 2016-06-08
CN103155100A (zh) 2013-06-12
EP2733734B1 (en) 2016-02-03
US20140162034A2 (en) 2014-06-12
EP2733734A3 (en) 2014-08-06
US20140239453A1 (en) 2014-08-28
SG187739A1 (en) 2013-03-28
US9263314B2 (en) 2016-02-16
SG10201506168WA (en) 2015-09-29
WO2012057893A3 (en) 2012-07-12
US20140174627A1 (en) 2014-06-26
JP2016106403A (ja) 2016-06-16
EP2996140A2 (en) 2016-03-16
TWI505347B (zh) 2015-10-21
TW201440136A (zh) 2014-10-16
US9472436B2 (en) 2016-10-18
JP2016225662A (ja) 2016-12-28
US20120034437A1 (en) 2012-02-09
KR101913522B1 (ko) 2018-10-30
KR20170051530A (ko) 2017-05-11

Similar Documents

Publication Publication Date Title
CN104022016B (zh) 薄晶片处理的多粘合层
CN101925996B (zh) 将器件晶片可逆地安装在载体基片上的方法
JP7362612B2 (ja) 3-d ic用途用レーザー離型性接着材料
Zoschke et al. Polyimide based temporary wafer bonding technology for high temperature compliant TSV backside processing and thin device handling
TW201518112A (zh) 接合晶圓系統及其用於接合及去接合之方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant