US20140144593A1 - Wafer debonding using long-wavelength infrared radiation ablation - Google Patents

Wafer debonding using long-wavelength infrared radiation ablation Download PDF

Info

Publication number
US20140144593A1
US20140144593A1 US13/687,531 US201213687531A US2014144593A1 US 20140144593 A1 US20140144593 A1 US 20140144593A1 US 201213687531 A US201213687531 A US 201213687531A US 2014144593 A1 US2014144593 A1 US 2014144593A1
Authority
US
United States
Prior art keywords
wafer
layer
adhesive layer
stack structure
device wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/687,531
Inventor
Bing Dang
John U. Knickerbocker
Cornelia Kang-I Tsang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/687,531 priority Critical patent/US20140144593A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DANG, BING, KNICKERBOCKER, JOHN U., TSANG, CORNELIA KANG-I
Priority to US13/746,359 priority patent/US9269561B2/en
Priority to CN201310624785.5A priority patent/CN103854973B/en
Priority to US14/226,953 priority patent/US9586291B2/en
Priority to US14/226,966 priority patent/US9636782B2/en
Publication of US20140144593A1 publication Critical patent/US20140144593A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/32Holders for supporting the complete device in operation, i.e. detachable fixtures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1153Temperature change for delamination [e.g., heating during delaminating, etc.]
    • Y10T156/1158Electromagnetic radiation applied to work for delamination [e.g., microwave, uv, ir, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1911Heating or cooling delaminating means [e.g., melting means, freezing means, etc.]
    • Y10T156/1917Electromagnetic radiation delaminating means [e.g., microwave, uv, ir, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Definitions

  • the field generally relates to wafer handling techniques and, in particular, to structures and methods for temporarily bonding handler wafers to device wafers using bonding structures that include one or more releasable layers that absorb infrared radiation to achieve wafer debonding by infrared radiation ablation.
  • Si wafers are backside grinded and polished down to a thickness of 50 ⁇ m or thinner.
  • TSV through-silicon via
  • a mechanical handler wafer (or carrier wafer) is usually attached to the device wafer to enhance the mechanical integrity of the device wafer during processing.
  • the handler wafer needs to be released from the device wafer.
  • the most common approach to handling a device wafer is to laminate the handler wafer with the device wafer using specially developed adhesives.
  • various techniques have been used or proposed to debond or separate a thinned device wafer from a mechanical handler wafer, including thermal release, chemical dissolving, and laser ablation techniques.
  • a typical laser-assisted debonding process uses a polymeric adhesive (which is capable of sufficient absorption of energy in the UV (ultra violet) spectrum) to bond a device wafer to a UV transparent glass handler wafer.
  • a laser ablation process is performed to ablate the polymeric adhesive and achieve debonding between the glass handler wafer and the device wafer.
  • the use of a glass handler in the UV laser ablation process has several drawback including poor thermal conductivity, incompatibility with certain semiconductor processing equipment, as well as high cost.
  • Si wafer handlers can potentially overcome these drawbacks, silicon is not transparent to UV spectrum and therefore is not compatible with previously developed UV laser release technology.
  • embodiments of the invention include structures and methods for temporarily bonding handler wafers to device wafers using bonding structures which include one or more releasable layers that absorb infrared radiation to achieve wafer debonding by infrared radiation ablation.
  • a stack structure in one embodiment, includes a device wafer, a handler wafer, and a bonding structure disposed between the device wafer and the handler wafer to bond the device and handler wafers together.
  • the bonding structure includes an adhesive layer, and a metallic layer.
  • the metallic layer serves as a releasable layer of the bonding structure by infrared ablation of the metallic layer.
  • a stack structure in another embodiment, includes a device wafer, a handler wafer, and a bonding structure disposed between the device wafer and the handler wafer to bond the device and handler wafers together.
  • the bonding structure comprises an adhesive layer having infrared energy absorbing nanoparticles.
  • the adhesive layer serves as a releasable layer by infrared ablation of the adhesive layer.
  • a method for handling a device wafer.
  • the method includes providing a stack structure having a device wafer, a handler wafer, and a bonding structure disposed between the device wafer and handler wafer, and irradiating the bonding structure with long-wavelength infrared energy to ablate the bonding structure.
  • FIG. 1 is flow diagram of a method for processing and handling a semiconductor wafer according to an embodiment of the invention.
  • FIG. 2 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to an embodiment of the invention.
  • FIG. 3 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 4 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 5 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 6 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 7 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 8 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 9 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIGS. 10A , 10 B and 10 C schematically depict an apparatus to perform a debonding process to separate a device wafer and handler wafer, according to an embodiment of the invention.
  • FIG. 1 is flow diagram that illustrates a method for processing and handling a semiconductor wafer according to an embodiment of the invention.
  • the method includes performing a wafer bonding process by bonding a handler wafer (or handler substrate) to a device wafer (or chip) using a bonding structure that comprises an adhesive layer and a thin metallic layer (step 10 ).
  • the handler wafer is a Si handler wafer (or substrate) which is bonded to a Si device wafer, as the use of a mechanical Si handler wafer enables compatibility with standard CMOS silicon wafer processing technologies.
  • the handler wafer can be formed of other suitable materials that are transparent or semi-transparent (e.g., 50% transparent) to certain wavelength in the infrared (IR) spectrums that are used for IR laser ablation.
  • bonding structures utilize one or more adhesive layers and thin metallic layers that serve as releasable layers that are ablated using IR radiation to debond the device and handler wafers.
  • a bonding structure comprises one or more thin metallic layers that are configured to strongly absorb IR energy emitted from a pulsed IR laser and improve the ablation efficiency, and reduce an ablation energy threshold for bonding structures.
  • an ultra-short pulse of IR energy from the IR laser can be readily absorbed by the thin metallic layers (constrained in a very shallow depth within the bonding structure) to thereby quickly and efficiently vaporize at least a portion of the thin metallic layer and at least a portion of the adhesive layer at an interface between the adhesive layer and the thin metallic layer, and release the device wafer from the handler wafer.
  • Various bonding structures according to alternative embodiments of the invention will be described in further detail below with reference to FIGS. 2-9 .
  • standard wafer processing steps can be performed with the handler wafer attached to the device wafer (step 11 ).
  • the handler wafer is bonded to a BEOL (back-end-of-line) structure formed on an active surface of the device wafer.
  • BEOL back-end-of-line
  • standard wafer processing steps such as grinding/polishing the backside (inactive) surface of the device wafer to thin the device wafer can be performed.
  • Other wafer processing steps include forming through-silicon-vias through the backside of the device wafer to the integrated circuits formed on the active side of the device wafer.
  • the device wafer may be subject to a wafer dicing process with the handler wafer attached such that an individual die, or multiple dies, can be held by the temporary handler wafer for die assembly or other processes where the dies are assembled to a substrate or another full thickness die, and then released in subsequent operations such as post assembly or post underfill.
  • the handler wafer will impart some structural strength and stability to the device wafer, as is readily understood by those of ordinary skill in the art.
  • a next step in the illustrative process of FIG. 1 involves performing a laser ablation wafer debonding process to release the device wafer from the handler wafer (step 12 ).
  • this process involves irradiating the bonding structure through the handler wafer using long-wavelength IR energy to laser ablate the bonding structure and release the device wafer. More specifically, in one embodiment, the process involves directing a pulsed IR laser beam at the handler wafer, and scanning the pulsed IR laser beam across at least a portion of the stack structure to laser ablate at least a portion of the bonding structure.
  • ablation of the bonding structure comprise vaporizing at least a portion of the thin metallic layer and/or vaporizing at least a portion of the thin metallic layer and adhesive layer at an interface between the adhesive layer and the thin metallic layer, which enables release of the device wafer from the handler wafer.
  • Various embodiments of an IR laser ablation process will be described in further detail below with reference to FIGS. 2-10 .
  • a post debonding cleaning process can be performed to remove any remaining adhesive material or other residue (resulting from the ablation of the bonding structure) from the device wafer (step 13 ).
  • cleaning process can be implemented using a chemical cleaning process to remove any polymer based adhesive material, or other known cleaning methods to remove residue of the ablated bonding structure.
  • FIG. 2 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to an embodiment of the invention. More specifically, FIG. 2 is a schematic side view of a stack structure 20 comprising a silicon device wafer 21 , a silicon handler wafer 22 , and a bonding structure 23 .
  • the bonding structure 23 comprises an adhesive layer 24 and a thin metallic layer 25 .
  • FIG. 2 further illustrates an IR laser 14 that emits an IR laser beam at the handler wafer 22 to irradiate a portion of the bonding structure 23 resulting in a laser-ablated region 16 .
  • IR laser 14 emits a pulsed infrared laser beam to laser ablate the bonding structure 23 , wherein the IR laser 14 emits a long wavelength infrared laser beam with an output wavelength that is greater than about 5 ⁇ m.
  • the IR laser 14 is a far infrared (FIR) laser having an output wavelength in a far IR portion of the electromagnetic spectrum between about 5 ⁇ m and 30 ⁇ m.
  • the silicon handler wafer 22 is approximately 50% transparent at these frequencies so that the laser beam will penetrate the handler wafer 22 and irradiate the bonding structure 23 .
  • the adhesive layer 24 may be formed of any suitable polymer adhesive material that may or may not be capable of sufficiently absorbing the IR energy output from the IR laser 14 .
  • the thin metallic layer 25 is configured (in material composition and thickness) to intensely absorb the IR energy and serve as a primary releasable layer of the bonding structure 23 , which is ablated by the IR laser energy.
  • the thin metallic layer 25 improves the laser ablation efficiency and thus, reduces the ablation threshold of the bonding structure 23 (as compared to a bonding structure that uses an adhesive layer alone).
  • the bonding structure 23 is irradiated with infrared energy sufficient to fully vaporize (ablate) at least a portion of the thin metallic layer 25 that is exposed to the IR energy.
  • the bonding structure 23 is irradiated with infrared energy sufficient to fully vaporize (ablate) at least a portion of the thin metallic layer 25 that is exposed to the IR energy, as well as vaporize, denature, carbonize, or otherwise ablate and at least a portion of the adhesive layer 24 at an interface between the adhesive layer 24 and the portion of the thin metallic layer 25 that is irradiated and ablated.
  • infrared energy sufficient to fully vaporize (ablate) at least a portion of the thin metallic layer 25 that is exposed to the IR energy, as well as vaporize, denature, carbonize, or otherwise ablate and at least a portion of the adhesive layer 24 at an interface between the adhesive layer 24 and the portion of the thin metallic layer 25 that is irradiated and ablated.
  • the portion of the thin metallic layer 25 that is irradiated by the IR laser 14 is heated and vaporized, and this heating and ablation of the thin metallic layer 25 results in heating of the surrounding material of the adhesive layer 24 (at the interface between the irradiated thin metallic layer 25 and adhesive layer 24 ), which causes ablation of the adhesive layer.
  • ablation of the adhesive layer 24 is further achieved by any additional heating that is due to absorption of the IR energy by the adhesive layer 24 .
  • the thin metallic layer 25 is formed of a metallic material having properties such as being reactive (not inert), soft, and having a relatively low melting point.
  • the thin metallic layer 25 may be formed of materials such as aluminum (Al), tin (Sn) or zinc (Zn).
  • the thin metallic layer 25 is formed with a thickness in a range of about 5 nanometers to about 100 nanometers.
  • the thin metallic layer 25 is formed on the handler wafer 22 using one of various standard techniques such as chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD).
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • the ablation threshold of IR laser irradiation (level of exposure and time of exposure) will vary depending on the thickness and type of metallic material used to form the thin metallic layer 25 .
  • the thin metallic layer 25 is configured to substantially absorb (and not reflect) the IR laser energy, so that ablation of the thin metallic layer 25 occurs.
  • the adhesive layer 24 can be formed using known materials and deposition techniques.
  • the adhesive layer 24 can be formed of any suitable polymeric adhesive material, and the adhesive material can be spin-coated either on the thin metal layer 25 , or on a surface of the Si device wafer 21 . Thereafter, a standard bonding process is implemented to bond the device and handler wafers 21 and 22 .
  • FIG. 3 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 3 is a schematic side view of a stack structure 30 which is similar to the stack structure 20 of FIG. 2 , except that a bonding structure 33 shown in FIG. 3 comprises a first adhesive layer 34 , a second adhesive layer 36 , and a thin metallic layer 35 disposed between the first and second adhesive layers 34 , 36 .
  • the bonding structure 33 further reduces an ablation threshold by having two metal-adhesive material interfaces which increases the IR absorption and heating of the bonding structure 33 and, thus, increases the efficiency of the ablation process.
  • the first and second adhesive layers 34 and 36 , and the thin metallic layer 35 may be formed of the same or similar materials as discussed above with reference to FIG. 2 .
  • the second adhesive layer 36 can be spin-coated onto the surface of the handler wafer 22 and then cured using a known curing process. The curing process results in formation of a polymer passivation layer upon which the thin metallic film 35 may be deposited using metallic materials and methods as discussed above.
  • the first adhesive layer 34 can be spin-coated onto the thin metal layer 35 or onto the surface of the device wafer 21 . Thereafter, the device and handler wafers 21 and 22 are bonded together using known bonding techniques.
  • FIG. 4 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 4 is a schematic side view of a stack structure 40 which is similar to the stack structure 20 of FIG. 2 , except that a bonding structure 43 shown in FIG. 4 comprises an adhesive layer 44 in contact with a thin metallic layer 45 having a roughed, non-planar surface (as depicted illustratively, by the cross-hatching of the layer 45 ).
  • the adhesive layer 44 and the thin metallic layer 45 may be formed of the same or similar materials as discussed above with reference to FIG. 2 .
  • the roughed surface topography of the thin metallic layer 45 serves to increase the contact area of the interface between the adhesive layer 44 and the thin metallic layer 45 .
  • the increased contact area reduces the ablation threshold by enabling more heat transfer from the thin metal layer 45 to the surrounding material of the adhesive layer 44 as the thin metallic layer 45 is heated and ablated by IR irradiation.
  • the thin metallic layer 45 with a rough surface topography can be formed by first etching (dry etch or wet etch) the surface of the handler wafer 22 to roughen the silicon surface of the handler wafer 22 .
  • a metallic material is then conformally deposited on the roughened surface of the Si wafer handler 22 (using suitable metallic materials and deposition methods as discussed above). This deposition process naturally forms a rough-surface thin metallic material 45 as the deposition of the metallic material conformally follows the topography of the roughened surface of the handler wafer 22 .
  • FIG. 5 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 5 is a schematic side view of a stack structure 50 which is similar to the stack structure 30 of FIG. 3 , except that a bonding structure 53 shown in FIG. 5 comprises a rough surface thin metallic layer 55 disposed between a first adhesive layer 54 and a second adhesive layer 56 .
  • the adhesive layers 54 , 56 and the roughened surface thin metallic layer 55 can be formed of the same or similar materials as discussed above.
  • FIG. 5 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 5 is a schematic side view of a stack structure 50 which is similar to the stack structure 30 of FIG. 3 , except that a bonding structure 53 shown in FIG. 5 comprises a rough surface thin metallic layer 55 disposed between a first adhesive layer 54 and
  • the roughened surface of the thin metallic layer 55 serves to increase the contact area of the interface between the first adhesive layer 54 and the thin metallic layer 55 , as well as increase the contact area of the interface between the second adhesive layer 56 and the thin metallic layer 55 .
  • This bonding structure 53 further reduces the ablation threshold by enabling more heat transfer from the thin metal layer 55 to the surrounding materials of the first and second adhesive layers 54 , 56 , thereby enhancing the ablation efficiency of the irradiated materials in the laser-ablated region 16 .
  • the stack structure 50 of FIG. 5 can be fabricated by spin coating a polymeric adhesive material onto the handler wafer 22 , followed by an adhesive cure process to form the second adhesive layer 56 .
  • the second adhesive layer 56 is then etched using a dry etch process (e.g., plasma etch) to roughen the surface topography of the adhesive layer 56 .
  • a metallic material is then conformally deposited on the roughened surface of the first adhesive layer 56 (using suitable metallic materials and deposition methods as discussed above). This deposition process naturally forms a rough-surface thin metallic material 55 as the deposition of the metallic material conformally follows the topography of the roughened surface of the etched adhesive layer 56 .
  • the first adhesive layer 54 can be spin-coated onto the thin metallic layer 55 or onto the surface of the device wafer 21 using known techniques, followed by a bonding process to bond the device and handler wafers 21 and 22 together.
  • FIG. 6 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. More specifically, FIG. 6 is a schematic side view of a stack structure 60 comprising a silicon device wafer 21 , a silicon handler wafer 22 , and a bonding structure 63 .
  • the bonding structure 63 comprises a protective metal layer 61 and an adhesive layer 64 .
  • the protective metal layer 61 is disposed between the adhesive layer 64 (of the bonding structure 63 ) and the device wafer 21 to protect the device wafer 21 from being irradiated with the infrared energy emitted from the IR laser 14 during a laser ablation process.
  • the protective metal layer 61 is configured (in material composition and thickness) to reflect incident IR laser energy away from the device layer 21 back into the adhesive layer 64 .
  • a thin metallic layer is not used in the bonding structure 63 as a primary releasable layer for IR laser ablation, the reflection of the IR laser energy from the protective metal layer 61 back into the adhesive layer 64 increases the IR absorption (and thus heat generation) in the irradiated portion of the adhesive layer 64 , which enhances the ablation efficiency of the irradiated adhesive material in the laser-ablated region 16 .
  • the protective metallic layer 61 may be formed using an inert metallic material such as titanium, gold or copper, with a thickness that is sufficient to reflect the IR energy (thicker than a skin depth of the protective metal layer 61 at the given IR laser wavelength).
  • FIG. 7 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. More specifically, FIG. 7 is a schematic side view of a stack structure 70 which is similar to the stack structures 20 (of FIG. 2) and 60 (of FIG. 6 ,) wherein a bonding structure 73 shown in FIG. 7 comprises a combination of a protective metal layer 61 , an adhesive layer 74 and a thin metallic layer 75 that serves as the primary releasable layer for IR laser ablation.
  • the adhesive layer 74 and the thin metallic layer 75 may be formed of the same or similar materials as discussed above with reference to FIG.
  • the protective metal layer 61 may be formed of the same materials discussed above with reference to FIG. 6 .
  • the ablation efficiency of the irradiated adhesive material and metallic layer 75 in the laser-ablated region 16 is further enhanced by the additional IR irradiation reflected back from the protective metal layer 61 .
  • FIG. 8 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 8 is a schematic side view of a stack structure 80 which is similar to the stack structure 20 of FIG. 2 , except that a bonding structure 83 shown in FIG. 8 comprises an adhesive layer 84 , and a thin metallic layer 85 , wherein the adhesive layer 84 comprises infrared energy absorbing nanoparticles (schematically illustrated by the dotted fill of layer 84 ).
  • the IR energy absorbing nanoparticles enhances the IR energy absorption of the adhesive layer 84 and, thus, reduces the overall ablation threshold of the bonding structure 83 .
  • the adhesive layer 84 is formed of a polymer adhesive material that is premixed with metallic nanoparticles that improve the IR absorption of the adhesive material.
  • the nanoparticles may be formed of Sn, Zn, Al, carbon nanotubes or graphene, or a combination thereof.
  • the adhesive layer 84 may be formed by spin coating the polymer adhesive material with the premixed with metallic nanoparticles onto the surface of the thin metallic layer 85 or onto the surface of the device wafer 21 .
  • FIG. 9 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 9 is a schematic side view of a stack structure 90 which is similar to the stack structure 60 of FIG. 6 , except that a bonding structure 93 shown in FIG. 9 comprises an adhesive layer 94 which comprises infrared energy absorbing nanoparticles (schematically illustrated by the dotted fill of layer 94 ), to enhance IR energy absorption of the adhesive layer 94 and reduce the ablation threshold of the bonding structure 93 .
  • the reflection of the IR laser energy from the protective metal layer 61 back into the nanoparticle adhesive layer 94 further increases the IR absorption and heat generation in the irradiated portion of the nanoparticle adhesive layer 94 to thereby even further enhance the ablation efficiency of the irradiated material in the laser-ablated region 16 of the bonding structure 93 .
  • the protective metal layer 61 and nanoparticle adhesive layer 94 may be formed of the same or similar materials discussed above.
  • a bonding structure may include a nanoparticle adhesive layer alone, with no laser-ablated thin metallic layer or protective metal layer.
  • a stack structure can be formed by bonding a silicon device wafer and a silicon handler wafer together with an adhesive layer having infrared energy absorbing nanoparticles, wherein the adhesive layer serves as a releasable layer by infrared ablation of the adhesive layer.
  • the adhesive layers shown in FIGS. 3 , 4 , 5 , and 7 can be formed with nanoparticle adhesive layers.
  • FIGS. 10A , 10 B and 10 C schematically depict an apparatus to perform a debonding process to separate a device wafer and handler wafer, according to an embodiment of the invention.
  • FIGS. 10A , 10 B and 10 C schematically illustrate an apparatus 100 for processing a stack structure comprising a device wafer 21 , a handler wafer 22 , and a bonding structure 123 disposed between the device wafer 21 and the handler wafer 22 .
  • the bonding structure 123 may be any one of the bonding structure depicted in FIG. 2 , 3 , 4 , 5 , 6 , 7 , 8 or 9 , for example.
  • the apparatus 100 comprises a vacuum system comprising a first vacuum chuck 110 and a second vacuum chuck 120 , as well as an infrared laser scan system 115 , 117 .
  • the vacuum system applies a vacuum suction force through the first vacuum chuck 110 to hold the stack structure 21 / 123 / 22 in place with the device wafer 21 in contact with the first vacuum chuck 110 .
  • the infrared laser scan system 115 , 117 applies a pulsed infrared laser 115 at the backside of the handler wafer 22 to irradiate the bonding structure 123 with infrared energy and ablate the bonding structure 123 to release the handler wafer from the device wafer.
  • a scan system 117 is used to scan the IR laser 115 back and forth across the stack structure 22 / 123 / 21 , wherein the infrared laser scan system 115 , 117 controls the laser ablation scan process by controlling the power (energy density beam), the scan speed, and the pulse rate, for example, in a manner that is sufficient to effectively ablate the bonding structure 123 , or a portion of the bonding structure 123 at desired target regions of the stack structure.
  • the parameters of the IR laser scan can vary depending on the bonding structure framework.
  • FIG. 10B illustrates a state of the apparatus 100 in which the IR laser scan is complete and the entire bonding structure is sufficiently ablated to release the handler wafer 22 from the device wafer 21 .
  • FIG. 10B schematically illustrates a state in which a completely ablated bonding structure 123 A exists between the handler wafer 22 and the device wafer 21 (as schematically illustrated by cross-hatching of the layer 123 A shown in FIG. 10B ).
  • the IR laser scan process can be controlled such as certain regions of the bonding structure are laser ablated (e.g., diced die regions), while other regions of the bonding structure are not.
  • the vacuum system places the second vacuum chuck 120 in contact with the handler wafer 22 , and applies a vacuum suction force through the second vacuum chuck 120 , and the second vacuum chuck 120 is lifted up with a lifting device 122 to pull the handler wafer 22 from the device wafer 21 .
  • the device wafer 21 can be transferred to a chemical station to etch or otherwise remove the residual temporary adhesive layer 123 A that remains on the surface of the device wafer 21 after the debonding process shown in FIG. 10C .
  • the apparatus 100 may further comprise an air handler, filtration/condensation system or exhaust system to remove and trap debris and exhaust excess gases that are generated during the debonding process.
  • FIGS. 10A , 10 B and 10 C generically illustrate a high-level structural depiction of a standard wafer-processing machine that can be implemented or retrofitted for IR laser ablation and wafer debonding, as discussed herein.

Abstract

Structures and methods are provided for temporarily bonding handler wafers to device wafers using bonding structures that include one or more releasable layers that absorb long-wavelength infrared radiation to achieve wafer debonding by infrared radiation ablation.

Description

    TECHNICAL FIELD
  • The field generally relates to wafer handling techniques and, in particular, to structures and methods for temporarily bonding handler wafers to device wafers using bonding structures that include one or more releasable layers that absorb infrared radiation to achieve wafer debonding by infrared radiation ablation.
  • BACKGROUND
  • In the field of semiconductor wafer processing, increasing demands for large-scale integration, high density silicon packages has resulted in making semiconductor dies very thin. For example, for some applications, silicon (Si) wafers are backside grinded and polished down to a thickness of 50 μm or thinner. Although single crystal Si has very high mechanical strength, Si wafers and/or chips can become fragile as they are thinned. Defects can also be introduced by processing steps such as through-silicon via (TSV) processing, polishing, and dicing, which further reduces the mechanical strength of a thinned wafer or chip. Therefore, handling thinned Si wafers presents a significant challenge to most automation equipment.
  • In order to facilitate the processing of a device wafer, a mechanical handler wafer (or carrier wafer) is usually attached to the device wafer to enhance the mechanical integrity of the device wafer during processing. When processing of the device wafer is complete, the handler wafer needs to be released from the device wafer. The most common approach to handling a device wafer is to laminate the handler wafer with the device wafer using specially developed adhesives. Depending on factors such as the processing steps, the product requirements, and the type of the adhesive, various techniques have been used or proposed to debond or separate a thinned device wafer from a mechanical handler wafer, including thermal release, chemical dissolving, and laser ablation techniques.
  • A typical laser-assisted debonding process uses a polymeric adhesive (which is capable of sufficient absorption of energy in the UV (ultra violet) spectrum) to bond a device wafer to a UV transparent glass handler wafer. A laser ablation process is performed to ablate the polymeric adhesive and achieve debonding between the glass handler wafer and the device wafer. The use of a glass handler in the UV laser ablation process has several drawback including poor thermal conductivity, incompatibility with certain semiconductor processing equipment, as well as high cost. Although the use of Si wafer handlers can potentially overcome these drawbacks, silicon is not transparent to UV spectrum and therefore is not compatible with previously developed UV laser release technology.
  • SUMMARY
  • In general, embodiments of the invention include structures and methods for temporarily bonding handler wafers to device wafers using bonding structures which include one or more releasable layers that absorb infrared radiation to achieve wafer debonding by infrared radiation ablation.
  • In one embodiment of the invention, a stack structure includes a device wafer, a handler wafer, and a bonding structure disposed between the device wafer and the handler wafer to bond the device and handler wafers together. The bonding structure includes an adhesive layer, and a metallic layer. The metallic layer serves as a releasable layer of the bonding structure by infrared ablation of the metallic layer.
  • In another embodiment of the invention, a stack structure includes a device wafer, a handler wafer, and a bonding structure disposed between the device wafer and the handler wafer to bond the device and handler wafers together. The bonding structure comprises an adhesive layer having infrared energy absorbing nanoparticles. The adhesive layer serves as a releasable layer by infrared ablation of the adhesive layer.
  • In another embodiment of the invention, a method is provided for handling a device wafer. The method includes providing a stack structure having a device wafer, a handler wafer, and a bonding structure disposed between the device wafer and handler wafer, and irradiating the bonding structure with long-wavelength infrared energy to ablate the bonding structure.
  • These and other embodiments of the invention will be described or become apparent from the following detailed description of embodiments, which is to be read in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is flow diagram of a method for processing and handling a semiconductor wafer according to an embodiment of the invention.
  • FIG. 2 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to an embodiment of the invention.
  • FIG. 3 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 4 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 5 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 6 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 7 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 8 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIG. 9 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention.
  • FIGS. 10A, 10B and 10C schematically depict an apparatus to perform a debonding process to separate a device wafer and handler wafer, according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • Embodiments of the invention will now be discussed in further detail with regard to structures and methods for temporarily bonding silicon handler wafers to device wafers using bonding structures that include one or more releasable layers that absorb infrared radiation to achieve wafer debonding by infrared radiation ablation. For example, FIG. 1 is flow diagram that illustrates a method for processing and handling a semiconductor wafer according to an embodiment of the invention. Referring to FIG. 1, the method includes performing a wafer bonding process by bonding a handler wafer (or handler substrate) to a device wafer (or chip) using a bonding structure that comprises an adhesive layer and a thin metallic layer (step 10). In one embodiment of the invention, the handler wafer is a Si handler wafer (or substrate) which is bonded to a Si device wafer, as the use of a mechanical Si handler wafer enables compatibility with standard CMOS silicon wafer processing technologies. In other embodiments of the invention, the handler wafer can be formed of other suitable materials that are transparent or semi-transparent (e.g., 50% transparent) to certain wavelength in the infrared (IR) spectrums that are used for IR laser ablation.
  • Moreover, bonding structures according to embodiments of the invention utilize one or more adhesive layers and thin metallic layers that serve as releasable layers that are ablated using IR radiation to debond the device and handler wafers. In particular, in one embodiment, a bonding structure comprises one or more thin metallic layers that are configured to strongly absorb IR energy emitted from a pulsed IR laser and improve the ablation efficiency, and reduce an ablation energy threshold for bonding structures. Indeed, with these bonding structures, an ultra-short pulse of IR energy from the IR laser can be readily absorbed by the thin metallic layers (constrained in a very shallow depth within the bonding structure) to thereby quickly and efficiently vaporize at least a portion of the thin metallic layer and at least a portion of the adhesive layer at an interface between the adhesive layer and the thin metallic layer, and release the device wafer from the handler wafer. Various bonding structures according to alternative embodiments of the invention will be described in further detail below with reference to FIGS. 2-9.
  • Referring again to FIG. 1, once the wafer bonding process is complete, standard wafer processing steps can be performed with the handler wafer attached to the device wafer (step 11). For instance, in one embodiment of the invention, the handler wafer is bonded to a BEOL (back-end-of-line) structure formed on an active surface of the device wafer. In this instance, standard wafer processing steps such as grinding/polishing the backside (inactive) surface of the device wafer to thin the device wafer can be performed. Other wafer processing steps include forming through-silicon-vias through the backside of the device wafer to the integrated circuits formed on the active side of the device wafer. In other embodiments, the device wafer may be subject to a wafer dicing process with the handler wafer attached such that an individual die, or multiple dies, can be held by the temporary handler wafer for die assembly or other processes where the dies are assembled to a substrate or another full thickness die, and then released in subsequent operations such as post assembly or post underfill. During these processing steps, the handler wafer will impart some structural strength and stability to the device wafer, as is readily understood by those of ordinary skill in the art.
  • A next step in the illustrative process of FIG. 1 involves performing a laser ablation wafer debonding process to release the device wafer from the handler wafer (step 12). In one embodiment, this process involves irradiating the bonding structure through the handler wafer using long-wavelength IR energy to laser ablate the bonding structure and release the device wafer. More specifically, in one embodiment, the process involves directing a pulsed IR laser beam at the handler wafer, and scanning the pulsed IR laser beam across at least a portion of the stack structure to laser ablate at least a portion of the bonding structure. As noted above, ablation of the bonding structure comprise vaporizing at least a portion of the thin metallic layer and/or vaporizing at least a portion of the thin metallic layer and adhesive layer at an interface between the adhesive layer and the thin metallic layer, which enables release of the device wafer from the handler wafer. Various embodiments of an IR laser ablation process will be described in further detail below with reference to FIGS. 2-10.
  • Once the IR laser ablation process is complete and the device wafer is released from the handler wafer, a post debonding cleaning process can be performed to remove any remaining adhesive material or other residue (resulting from the ablation of the bonding structure) from the device wafer (step 13). For example, cleaning process can be implemented using a chemical cleaning process to remove any polymer based adhesive material, or other known cleaning methods to remove residue of the ablated bonding structure.
  • FIG. 2 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to an embodiment of the invention. More specifically, FIG. 2 is a schematic side view of a stack structure 20 comprising a silicon device wafer 21, a silicon handler wafer 22, and a bonding structure 23. The bonding structure 23 comprises an adhesive layer 24 and a thin metallic layer 25. FIG. 2 further illustrates an IR laser 14 that emits an IR laser beam at the handler wafer 22 to irradiate a portion of the bonding structure 23 resulting in a laser-ablated region 16.
  • In one embodiment of the invention, IR laser 14 emits a pulsed infrared laser beam to laser ablate the bonding structure 23, wherein the IR laser 14 emits a long wavelength infrared laser beam with an output wavelength that is greater than about 5 μm. In one alternative embodiment, the IR laser 14 is a far infrared (FIR) laser having an output wavelength in a far IR portion of the electromagnetic spectrum between about 5 μm and 30 μm. The silicon handler wafer 22 is approximately 50% transparent at these frequencies so that the laser beam will penetrate the handler wafer 22 and irradiate the bonding structure 23.
  • In one embodiment, the adhesive layer 24 may be formed of any suitable polymer adhesive material that may or may not be capable of sufficiently absorbing the IR energy output from the IR laser 14. Irrespective of the IR absorption ability of the adhesive layer 24, in one embodiment of the invention, the thin metallic layer 25 is configured (in material composition and thickness) to intensely absorb the IR energy and serve as a primary releasable layer of the bonding structure 23, which is ablated by the IR laser energy. The thin metallic layer 25 improves the laser ablation efficiency and thus, reduces the ablation threshold of the bonding structure 23 (as compared to a bonding structure that uses an adhesive layer alone). In one embodiment of the invention, the bonding structure 23 is irradiated with infrared energy sufficient to fully vaporize (ablate) at least a portion of the thin metallic layer 25 that is exposed to the IR energy.
  • Moreover, in an alternate embodiment of the invention, the bonding structure 23 is irradiated with infrared energy sufficient to fully vaporize (ablate) at least a portion of the thin metallic layer 25 that is exposed to the IR energy, as well as vaporize, denature, carbonize, or otherwise ablate and at least a portion of the adhesive layer 24 at an interface between the adhesive layer 24 and the portion of the thin metallic layer 25 that is irradiated and ablated. In other words, in the bonding structure 23 shown in FIG. 2, the portion of the thin metallic layer 25 that is irradiated by the IR laser 14 is heated and vaporized, and this heating and ablation of the thin metallic layer 25 results in heating of the surrounding material of the adhesive layer 24 (at the interface between the irradiated thin metallic layer 25 and adhesive layer 24), which causes ablation of the adhesive layer. In addition, depending on the IR absorption properties of the material used to form the adhesive layer 24, ablation of the adhesive layer 24 is further achieved by any additional heating that is due to absorption of the IR energy by the adhesive layer 24.
  • In one embodiment of the invention, the thin metallic layer 25 is formed of a metallic material having properties such as being reactive (not inert), soft, and having a relatively low melting point. For example, the thin metallic layer 25 may be formed of materials such as aluminum (Al), tin (Sn) or zinc (Zn). Moreover, in one embodiment of the invention, the thin metallic layer 25 is formed with a thickness in a range of about 5 nanometers to about 100 nanometers. The thin metallic layer 25 is formed on the handler wafer 22 using one of various standard techniques such as chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD). The ablation threshold of IR laser irradiation (level of exposure and time of exposure) will vary depending on the thickness and type of metallic material used to form the thin metallic layer 25. In all instances, the thin metallic layer 25 is configured to substantially absorb (and not reflect) the IR laser energy, so that ablation of the thin metallic layer 25 occurs.
  • The adhesive layer 24 can be formed using known materials and deposition techniques. For instance, the adhesive layer 24 can be formed of any suitable polymeric adhesive material, and the adhesive material can be spin-coated either on the thin metal layer 25, or on a surface of the Si device wafer 21. Thereafter, a standard bonding process is implemented to bond the device and handler wafers 21 and 22.
  • FIG. 3 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. In particular, FIG. 3 is a schematic side view of a stack structure 30 which is similar to the stack structure 20 of FIG. 2, except that a bonding structure 33 shown in FIG. 3 comprises a first adhesive layer 34, a second adhesive layer 36, and a thin metallic layer 35 disposed between the first and second adhesive layers 34, 36. In the embodiment of FIG. 3, the bonding structure 33 further reduces an ablation threshold by having two metal-adhesive material interfaces which increases the IR absorption and heating of the bonding structure 33 and, thus, increases the efficiency of the ablation process.
  • The first and second adhesive layers 34 and 36, and the thin metallic layer 35 may be formed of the same or similar materials as discussed above with reference to FIG. 2. In the embodiment of FIG. 3, the second adhesive layer 36 can be spin-coated onto the surface of the handler wafer 22 and then cured using a known curing process. The curing process results in formation of a polymer passivation layer upon which the thin metallic film 35 may be deposited using metallic materials and methods as discussed above. The first adhesive layer 34 can be spin-coated onto the thin metal layer 35 or onto the surface of the device wafer 21. Thereafter, the device and handler wafers 21 and 22 are bonded together using known bonding techniques.
  • FIG. 4 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. In particular, FIG. 4 is a schematic side view of a stack structure 40 which is similar to the stack structure 20 of FIG. 2, except that a bonding structure 43 shown in FIG. 4 comprises an adhesive layer 44 in contact with a thin metallic layer 45 having a roughed, non-planar surface (as depicted illustratively, by the cross-hatching of the layer 45). The adhesive layer 44 and the thin metallic layer 45 may be formed of the same or similar materials as discussed above with reference to FIG. 2.
  • In the embodiment of FIG. 4, the roughed surface topography of the thin metallic layer 45 serves to increase the contact area of the interface between the adhesive layer 44 and the thin metallic layer 45. The increased contact area reduces the ablation threshold by enabling more heat transfer from the thin metal layer 45 to the surrounding material of the adhesive layer 44 as the thin metallic layer 45 is heated and ablated by IR irradiation. In one embodiment of the invention, the thin metallic layer 45 with a rough surface topography can be formed by first etching (dry etch or wet etch) the surface of the handler wafer 22 to roughen the silicon surface of the handler wafer 22. A metallic material is then conformally deposited on the roughened surface of the Si wafer handler 22 (using suitable metallic materials and deposition methods as discussed above). This deposition process naturally forms a rough-surface thin metallic material 45 as the deposition of the metallic material conformally follows the topography of the roughened surface of the handler wafer 22.
  • FIG. 5 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. In particular, FIG. 5 is a schematic side view of a stack structure 50 which is similar to the stack structure 30 of FIG. 3, except that a bonding structure 53 shown in FIG. 5 comprises a rough surface thin metallic layer 55 disposed between a first adhesive layer 54 and a second adhesive layer 56. The adhesive layers 54, 56 and the roughened surface thin metallic layer 55 can be formed of the same or similar materials as discussed above. In the embodiment of FIG. 5, the roughened surface of the thin metallic layer 55 serves to increase the contact area of the interface between the first adhesive layer 54 and the thin metallic layer 55, as well as increase the contact area of the interface between the second adhesive layer 56 and the thin metallic layer 55. This bonding structure 53 further reduces the ablation threshold by enabling more heat transfer from the thin metal layer 55 to the surrounding materials of the first and second adhesive layers 54, 56, thereby enhancing the ablation efficiency of the irradiated materials in the laser-ablated region 16.
  • The stack structure 50 of FIG. 5 can be fabricated by spin coating a polymeric adhesive material onto the handler wafer 22, followed by an adhesive cure process to form the second adhesive layer 56. The second adhesive layer 56 is then etched using a dry etch process (e.g., plasma etch) to roughen the surface topography of the adhesive layer 56. A metallic material is then conformally deposited on the roughened surface of the first adhesive layer 56 (using suitable metallic materials and deposition methods as discussed above). This deposition process naturally forms a rough-surface thin metallic material 55 as the deposition of the metallic material conformally follows the topography of the roughened surface of the etched adhesive layer 56. The first adhesive layer 54 can be spin-coated onto the thin metallic layer 55 or onto the surface of the device wafer 21 using known techniques, followed by a bonding process to bond the device and handler wafers 21 and 22 together.
  • FIG. 6 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. More specifically, FIG. 6 is a schematic side view of a stack structure 60 comprising a silicon device wafer 21, a silicon handler wafer 22, and a bonding structure 63. The bonding structure 63 comprises a protective metal layer 61 and an adhesive layer 64. In the embodiment of FIG. 6, the protective metal layer 61 is disposed between the adhesive layer 64 (of the bonding structure 63) and the device wafer 21 to protect the device wafer 21 from being irradiated with the infrared energy emitted from the IR laser 14 during a laser ablation process.
  • In the embodiment of FIG. 6, the protective metal layer 61 is configured (in material composition and thickness) to reflect incident IR laser energy away from the device layer 21 back into the adhesive layer 64. In this embodiment, although a thin metallic layer is not used in the bonding structure 63 as a primary releasable layer for IR laser ablation, the reflection of the IR laser energy from the protective metal layer 61 back into the adhesive layer 64 increases the IR absorption (and thus heat generation) in the irradiated portion of the adhesive layer 64, which enhances the ablation efficiency of the irradiated adhesive material in the laser-ablated region 16. The protective metallic layer 61 may be formed using an inert metallic material such as titanium, gold or copper, with a thickness that is sufficient to reflect the IR energy (thicker than a skin depth of the protective metal layer 61 at the given IR laser wavelength).
  • FIG. 7 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. More specifically, FIG. 7 is a schematic side view of a stack structure 70 which is similar to the stack structures 20 (of FIG. 2) and 60 (of FIG. 6,) wherein a bonding structure 73 shown in FIG. 7 comprises a combination of a protective metal layer 61, an adhesive layer 74 and a thin metallic layer 75 that serves as the primary releasable layer for IR laser ablation. The adhesive layer 74 and the thin metallic layer 75 may be formed of the same or similar materials as discussed above with reference to FIG. 2, and the protective metal layer 61 may be formed of the same materials discussed above with reference to FIG. 6. In the embodiment of FIG. 7, the ablation efficiency of the irradiated adhesive material and metallic layer 75 in the laser-ablated region 16 is further enhanced by the additional IR irradiation reflected back from the protective metal layer 61.
  • FIG. 8 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. In particular, FIG. 8 is a schematic side view of a stack structure 80 which is similar to the stack structure 20 of FIG. 2, except that a bonding structure 83 shown in FIG. 8 comprises an adhesive layer 84, and a thin metallic layer 85, wherein the adhesive layer 84 comprises infrared energy absorbing nanoparticles (schematically illustrated by the dotted fill of layer 84). The IR energy absorbing nanoparticles enhances the IR energy absorption of the adhesive layer 84 and, thus, reduces the overall ablation threshold of the bonding structure 83.
  • In one embodiment of the invention, the adhesive layer 84 is formed of a polymer adhesive material that is premixed with metallic nanoparticles that improve the IR absorption of the adhesive material. For example, the nanoparticles may be formed of Sn, Zn, Al, carbon nanotubes or graphene, or a combination thereof. The adhesive layer 84 may be formed by spin coating the polymer adhesive material with the premixed with metallic nanoparticles onto the surface of the thin metallic layer 85 or onto the surface of the device wafer 21.
  • FIG. 9 schematically depicts a stack structure comprising a bonding structure for temporarily bonding a device wafer to a handler wafer, according to another embodiment of the invention. In particular, FIG. 9 is a schematic side view of a stack structure 90 which is similar to the stack structure 60 of FIG. 6, except that a bonding structure 93 shown in FIG. 9 comprises an adhesive layer 94 which comprises infrared energy absorbing nanoparticles (schematically illustrated by the dotted fill of layer 94), to enhance IR energy absorption of the adhesive layer 94 and reduce the ablation threshold of the bonding structure 93. The reflection of the IR laser energy from the protective metal layer 61 back into the nanoparticle adhesive layer 94 further increases the IR absorption and heat generation in the irradiated portion of the nanoparticle adhesive layer 94 to thereby even further enhance the ablation efficiency of the irradiated material in the laser-ablated region 16 of the bonding structure 93. The protective metal layer 61 and nanoparticle adhesive layer 94 may be formed of the same or similar materials discussed above.
  • In other embodiments of the invention, a bonding structure may include a nanoparticle adhesive layer alone, with no laser-ablated thin metallic layer or protective metal layer. In particular, a stack structure can be formed by bonding a silicon device wafer and a silicon handler wafer together with an adhesive layer having infrared energy absorbing nanoparticles, wherein the adhesive layer serves as a releasable layer by infrared ablation of the adhesive layer. In other alternative embodiments, the adhesive layers shown in FIGS. 3, 4, 5, and 7 can be formed with nanoparticle adhesive layers.
  • FIGS. 10A, 10B and 10C schematically depict an apparatus to perform a debonding process to separate a device wafer and handler wafer, according to an embodiment of the invention. In particular, FIGS. 10A, 10B and 10C schematically illustrate an apparatus 100 for processing a stack structure comprising a device wafer 21, a handler wafer 22, and a bonding structure 123 disposed between the device wafer 21 and the handler wafer 22. The bonding structure 123 may be any one of the bonding structure depicted in FIG. 2, 3, 4, 5, 6, 7, 8 or 9, for example. The apparatus 100 comprises a vacuum system comprising a first vacuum chuck 110 and a second vacuum chuck 120, as well as an infrared laser scan system 115, 117. The vacuum system applies a vacuum suction force through the first vacuum chuck 110 to hold the stack structure 21/123/22 in place with the device wafer 21 in contact with the first vacuum chuck 110.
  • The infrared laser scan system 115,117 applies a pulsed infrared laser 115 at the backside of the handler wafer 22 to irradiate the bonding structure 123 with infrared energy and ablate the bonding structure 123 to release the handler wafer from the device wafer. A scan system 117 is used to scan the IR laser 115 back and forth across the stack structure 22/123/21, wherein the infrared laser scan system 115, 117 controls the laser ablation scan process by controlling the power (energy density beam), the scan speed, and the pulse rate, for example, in a manner that is sufficient to effectively ablate the bonding structure 123, or a portion of the bonding structure 123 at desired target regions of the stack structure. The parameters of the IR laser scan can vary depending on the bonding structure framework.
  • FIG. 10B illustrates a state of the apparatus 100 in which the IR laser scan is complete and the entire bonding structure is sufficiently ablated to release the handler wafer 22 from the device wafer 21. In particular, FIG. 10B schematically illustrates a state in which a completely ablated bonding structure 123A exists between the handler wafer 22 and the device wafer 21 (as schematically illustrated by cross-hatching of the layer 123A shown in FIG. 10B). In other embodiments of the invention, the IR laser scan process can be controlled such as certain regions of the bonding structure are laser ablated (e.g., diced die regions), while other regions of the bonding structure are not.
  • After IR laser ablation of the bonding structure 123, referring to FIG. 10C, the vacuum system places the second vacuum chuck 120 in contact with the handler wafer 22, and applies a vacuum suction force through the second vacuum chuck 120, and the second vacuum chuck 120 is lifted up with a lifting device 122 to pull the handler wafer 22 from the device wafer 21.
  • Thereafter, the device wafer 21 can be transferred to a chemical station to etch or otherwise remove the residual temporary adhesive layer 123A that remains on the surface of the device wafer 21 after the debonding process shown in FIG. 10C. Although not shown in FIGS. 10A, 10B and 10C, the apparatus 100 may further comprise an air handler, filtration/condensation system or exhaust system to remove and trap debris and exhaust excess gases that are generated during the debonding process. It is to be understood that FIGS. 10A, 10B and 10C generically illustrate a high-level structural depiction of a standard wafer-processing machine that can be implemented or retrofitted for IR laser ablation and wafer debonding, as discussed herein.
  • Although embodiments have been described herein with reference to the accompanying drawings for purposes of illustration, it is to be understood that the present invention is not limited to those precise embodiments, and that various other changes and modifications may be affected herein by one skilled in the art without departing from the scope of the invention.

Claims (19)

111. (canceled)
12. A stack structure, comprising:
a device wafer;
a silicon handler wafer; and
a bonding structure disposed between the device wafer and the silicon handler wafer, wherein the bonding structure bonds the device and silicon handler wafers together, wherein the bonding structure comprises:
an adhesive layer; and
a metallic layer that is vaporizable by infrared ablation to serve as a releasable layer of the bonding structure by infrared exposure of the bonding structure through the silicon handler wafer, wherein the metallic layer has a thickness in a range of about 5 nanometers to less than 100 nanometers which is configured to be substantially or completely vaporized by infrared ablation to cause the release of the device wafer from the silicon handler wafer as a direct result of the infrared ablation of the metallic layer.
13. The stack structure of claim 12, wherein the adhesive layer further serves as a releasable layer by infrared ablation of at least a portion of the adhesive layer at an interface between the adhesive layer and the metallic layer due, in part to, absorption of infrared energy by the metallic layer.
14. The stack structure of claim 12, wherein the metallic layer is ablated by irradiation of infrared energy having a wavelength in a range of about 5 μm to about 30 μm.
15. (canceled)
16. The stack structure of claim 12, wherein the thin metallic layer is formed of at least one of Al, Sn, and Zn.
17. The stack structure of claim 12, wherein the metallic layer is directly deposited on a surface of the silicon handler wafer.
18. The stack structure of claim 12, wherein the metallic layer is formed with a rough surface to increase a contact area between the metallic layer and the adhesive layer.
19. The stack structure of claim 12, wherein the adhesive layer comprise a first adhesive layer and a second adhesive layer, wherein the metallic layer is disposed between the first and second adhesive layers.
20. The stack structure of claim 12, further comprising a protective metallic layer disposed between the releasable layer and the device wafer to protect the device wafer from being irradiated with the infrared energy.
21. The stack structure of claim 20, wherein the protective metallic layer is formed of at least one of titanium, gold or copper.
22. The stack structure of claim 12, wherein the adhesive layer comprises a polymer material having infrared energy absorbing nanoparticles.
23. The stack structure of claim 22, wherein the nanoparticles are formed of at least one of Sn, Zn, Al, carbon nanotubes and graphene.
24. The stack structure of claim 12, wherein the device wafer is a silicon wafer.
25. A stack structure, comprising:
a device wafer;
a silicon handler wafer; and
a bonding structure disposed between the device wafer and the silicon handler wafer, wherein the bonding structure bonds the device and silicon handler wafers together, wherein the bonding structure comprises an adhesive layer, wherein the adhesive layer comprises a layer of adhesive material comprising infrared energy absorbing nanoparticles that are vaporizable by infrared ablation so that the adhesive layer serves as a releasable layer by vaporization of the nanoparticles when infrared radiation is directed at the bonding structure through the silicon handler wafer, wherein the adhesive layer comprises a concentration of infrared energy absorbing nanoparticles which is configured to be substantially or completely vaporized by infrared ablation to cause release of the device wafer and the silicon handler wafer as a direct result of the infrared ablation of the infrared energy absorbing nanoparticles.
26. The stack structure of claim 25, wherein the nanoparticles are formed of at least one of Sn, Zn, Al, carbon nanotubes and graphene.
27. (canceled)
28. (canceled)
29. The stack structure of claim 25, further comprising a non-ablating protective metallic layer disposed between the bonding structure and the device wafer to protect the device wafer from being irradiated with the infrared energy and to reflect the infrared energy away from the device wafer.
US13/687,531 2012-11-28 2012-11-28 Wafer debonding using long-wavelength infrared radiation ablation Abandoned US20140144593A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US13/687,531 US20140144593A1 (en) 2012-11-28 2012-11-28 Wafer debonding using long-wavelength infrared radiation ablation
US13/746,359 US9269561B2 (en) 2012-11-28 2013-01-22 Wafer debonding using long-wavelength infrared radiation ablation
CN201310624785.5A CN103854973B (en) 2012-11-28 2013-11-28 The method of operated device chip and laminated construction and the device processing laminated construction
US14/226,953 US9586291B2 (en) 2012-11-28 2014-03-27 Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
US14/226,966 US9636782B2 (en) 2012-11-28 2014-03-27 Wafer debonding using mid-wavelength infrared radiation ablation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/687,531 US20140144593A1 (en) 2012-11-28 2012-11-28 Wafer debonding using long-wavelength infrared radiation ablation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/746,359 Continuation US9269561B2 (en) 2012-11-28 2013-01-22 Wafer debonding using long-wavelength infrared radiation ablation

Publications (1)

Publication Number Publication Date
US20140144593A1 true US20140144593A1 (en) 2014-05-29

Family

ID=50772232

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/687,531 Abandoned US20140144593A1 (en) 2012-11-28 2012-11-28 Wafer debonding using long-wavelength infrared radiation ablation
US13/746,359 Active US9269561B2 (en) 2012-11-28 2013-01-22 Wafer debonding using long-wavelength infrared radiation ablation

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/746,359 Active US9269561B2 (en) 2012-11-28 2013-01-22 Wafer debonding using long-wavelength infrared radiation ablation

Country Status (2)

Country Link
US (2) US20140144593A1 (en)
CN (1) CN103854973B (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140220765A1 (en) * 2011-10-18 2014-08-07 Fuji Electric Co., Ltd. Method for separating support substrate from solid-phase bonded wafer and method for manufacturing semiconductor device
WO2015014266A1 (en) * 2013-08-01 2015-02-05 International Business Machines Corporation Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
US20150053337A1 (en) * 2013-08-22 2015-02-26 Massachusetts Institute Of Technology Carrier-Substrate Adhesive System
US20150118826A1 (en) * 2013-10-25 2015-04-30 Strasbaugh Method of grinding wafer stacks to provide uniform residual silicon thickness
WO2016071793A1 (en) * 2014-11-07 2016-05-12 International Business Machines Corporation Double layer release temporary bond and debond processes and systems
DE102014118017A1 (en) * 2014-12-05 2016-06-09 Ev Group E. Thallner Gmbh Substrate stack holder, container and method for separating a substrate stack
US9586291B2 (en) 2012-11-28 2017-03-07 Globalfoundries Inc Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
WO2017076682A1 (en) * 2015-11-02 2017-05-11 Ev Group E. Thallner Gmbh Method for bonding and releasing substrates
WO2017115175A1 (en) * 2015-12-30 2017-07-06 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US9875918B2 (en) 2014-09-29 2018-01-23 Samsung Electronics Co., Ltd. Initiator and method for debonding wafer supporting system
US9935009B2 (en) 2016-03-30 2018-04-03 International Business Machines Corporation IR assisted fan-out wafer level packaging using silicon handler
US9947567B2 (en) 2015-03-25 2018-04-17 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
US10046550B2 (en) 2013-08-22 2018-08-14 Massachusetts Institute Of Technology Carrier-substrate adhesive system
US10224219B2 (en) 2015-12-30 2019-03-05 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US20200251442A1 (en) * 2019-02-01 2020-08-06 Laserssel Co., Ltd. Multi-beam laser de-bonding apparatus and method thereof
US11127595B2 (en) * 2019-09-19 2021-09-21 Microsoft Technology Licensing, Llc Method for bonding a semiconductor substrate to a carrier
US11462432B2 (en) * 2018-03-15 2022-10-04 Intel Corporation Dual side de-bonding in component carriers using photoablation
US20230178404A1 (en) * 2021-12-03 2023-06-08 International Business Machines Corporation Silicon handler with laser-release layers
EP4138116A4 (en) * 2020-04-13 2023-07-19 Mitsubishi Electric Corporation Method for manufacturing semiconductor element

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012109538A (en) * 2010-10-29 2012-06-07 Tokyo Ohka Kogyo Co Ltd Laminate and method for separating the same
US9412702B2 (en) * 2013-03-14 2016-08-09 Intel Corporation Laser die backside film removal for integrated circuit (IC) packaging
JP6214273B2 (en) * 2013-08-08 2017-10-18 三菱電機株式会社 Bonding structure using metal nanoparticles and bonding method using metal nanoparticles
US9576836B2 (en) * 2014-11-07 2017-02-21 International Business Machines Corporation Damage-free self-limiting through-substrate laser ablation
JP6486735B2 (en) * 2015-03-17 2019-03-20 東芝メモリ株式会社 Semiconductor manufacturing method and semiconductor manufacturing apparatus
US9994741B2 (en) * 2015-12-13 2018-06-12 International Business Machines Corporation Enhanced adhesive materials and processes for 3D applications
DE102016101842A1 (en) * 2016-02-03 2017-08-03 Helmut Fischer GmbH Institut für Elektronik und Messtechnik Vacuum clamping device for clamping workpieces, measuring devices and methods for testing workpieces, in particular wafers
DE102016202174A1 (en) * 2016-02-12 2017-08-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Adhesive method for joining two wafers
CN107611075A (en) * 2017-09-04 2018-01-19 华进半导体封装先导技术研发中心有限公司 A kind of interim bonding structure and interim bonding method
US10118250B1 (en) 2017-09-15 2018-11-06 International Business Machines Corporation In-situ laser beam position and spot size sensor and high speed scanner calibration, wafer debonding method
US10431519B1 (en) * 2018-05-03 2019-10-01 Micron Technology, Inc. Carrier removal by use of multilayer foil
US11195740B2 (en) 2019-04-17 2021-12-07 Micron Technology, Inc. Methods and apparatus for wafer handling and processing
US20210183803A1 (en) * 2019-12-17 2021-06-17 Micron Technology, Inc. Reconstructed wafer to wafer bonding using a permanent bond with laser release
US11355379B1 (en) * 2020-11-24 2022-06-07 International Business Machines Corporation Oxide-bonded wafer pair separation using laser debonding
CN113838777B (en) * 2021-09-03 2023-08-25 北京中科镭特电子有限公司 Detection control system for laser de-bonding
WO2023232264A1 (en) 2022-06-03 2023-12-07 Ev Group E. Thallner Gmbh Multi-layer system from thin layers for temporary bonding

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4567432A (en) 1983-06-09 1986-01-28 Texas Instruments Incorporated Apparatus for testing integrated circuits
JP3727652B2 (en) * 1992-11-18 2005-12-14 ラトラン テクノロジーズ エルエルシー On-demand production of LAT imaging film
KR100481994B1 (en) * 1996-08-27 2005-12-01 세이코 엡슨 가부시키가이샤 Stripping method, transfer method of thin film device, and thin film device, thin film integrated circuit device and liquid crystal display device manufactured using the same
USRE38466E1 (en) * 1996-11-12 2004-03-16 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US6375159B2 (en) * 1999-04-30 2002-04-23 International Business Machines Corporation High laser absorption copper fuse and method for making the same
US6284425B1 (en) * 1999-12-28 2001-09-04 3M Innovative Properties Thermal transfer donor element having a heat management underlayer
TW548860B (en) * 2001-06-20 2003-08-21 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
TW554398B (en) * 2001-08-10 2003-09-21 Semiconductor Energy Lab Method of peeling off and method of manufacturing semiconductor device
CN1703773B (en) * 2002-06-03 2011-11-16 3M创新有限公司 Laminate body, method, and apparatus for manufacturing ultrathin substrate using the laminate body
US7534498B2 (en) * 2002-06-03 2009-05-19 3M Innovative Properties Company Laminate body, method, and apparatus for manufacturing ultrathin substrate using the laminate body
JP4565804B2 (en) * 2002-06-03 2010-10-20 スリーエム イノベイティブ プロパティズ カンパニー Laminate including ground substrate, method for producing the same, method for producing ultrathin substrate using laminate, and apparatus therefor
TWI272641B (en) * 2002-07-16 2007-02-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
KR20040032733A (en) * 2002-07-16 2004-04-17 토요 보세키 가부시기가이샤 Laminate for IR ablation
CN100391004C (en) * 2002-10-30 2008-05-28 株式会社半导体能源研究所 Semiconductor device and manufacturing method thereof
US20050140033A1 (en) 2003-12-31 2005-06-30 Essilor International Compagnie Generale D'optique Process for making a coated optical article free of visible fining lines
US7414313B2 (en) * 2004-12-22 2008-08-19 Eastman Kodak Company Polymeric conductor donor and transfer method
JP5432452B2 (en) * 2004-12-30 2014-03-05 アトダイン インコーポレーテッド Laser selective cutting with impulse heat accumulation in the IR wavelength range for direct drive ablation
US8030132B2 (en) * 2005-05-31 2011-10-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device including peeling step
US7279409B2 (en) 2005-10-31 2007-10-09 Freescale Semiconductor, Inc Method for forming multi-layer bumps on a substrate
EP2029475B1 (en) * 2006-05-18 2016-10-12 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of microstructure and micromachine
KR20080047990A (en) 2006-11-27 2008-05-30 린텍 가부시키가이샤 Adhesive composition, adhesive sheet and production process for semiconductor device
US7635617B2 (en) * 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
KR101526475B1 (en) * 2007-06-29 2015-06-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Display device and driving method thereof
US20090029152A1 (en) * 2007-07-25 2009-01-29 Analog Devices, Inc. Wafer Bonding Using Nanoparticle Material
JP2009135448A (en) * 2007-11-01 2009-06-18 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor substrate, and method for manufacturing semiconductor device
US8003483B2 (en) * 2008-03-18 2011-08-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
WO2009145526A2 (en) 2008-05-29 2009-12-03 Dongwoo Fine-Chem. Co., Ltd. Protective film composition for wafer dicing
TWI607670B (en) * 2009-01-08 2017-12-01 半導體能源研究所股份有限公司 Light emitting device and electronic device
JP2010222233A (en) 2009-02-27 2010-10-07 Central Glass Co Ltd Heat insulating laminated glass
US8267143B2 (en) 2009-04-16 2012-09-18 Suss Microtec Lithography, Gmbh Apparatus for mechanically debonding temporary bonded semiconductor wafers
US8871609B2 (en) 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
JP5257314B2 (en) * 2009-09-29 2013-08-07 大日本印刷株式会社 LAMINATE, PREPARATION SUPPORT, LAMINATE MANUFACTURING METHOD, AND DEVICE MANUFACTURING METHOD
EP2501247A4 (en) * 2009-11-20 2017-01-18 Warwick Mills, Inc. Pathogen protection garment with both rapid and persistent rechargable self-sterilization
CN102753636B (en) 2010-02-12 2014-02-12 道康宁公司 Temporary wafer bonding method for semiconductor processing
KR101104187B1 (en) 2010-03-12 2012-01-09 주식회사 프로텍 Led wafer de-bonder and led wafer de-bonding method
US8758553B2 (en) 2010-10-05 2014-06-24 Skyworks Solutions, Inc. Fixtures and methods for unbonding wafers by shear force
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5802106B2 (en) * 2010-11-15 2015-10-28 東京応化工業株式会社 Laminate and separation method
US8593727B2 (en) * 2011-04-25 2013-11-26 Vladimir G. Kozlov Single-shot laser ablation of a metal film on a polymer membrane
US9636782B2 (en) * 2012-11-28 2017-05-02 International Business Machines Corporation Wafer debonding using mid-wavelength infrared radiation ablation

Cited By (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9147599B2 (en) * 2011-10-18 2015-09-29 Fuji Electric Co., Ltd. Wafer support system and method for separating support substrate from solid-phase bonded wafer and method for manufacturing semiconductor device
US20140220765A1 (en) * 2011-10-18 2014-08-07 Fuji Electric Co., Ltd. Method for separating support substrate from solid-phase bonded wafer and method for manufacturing semiconductor device
US9586291B2 (en) 2012-11-28 2017-03-07 Globalfoundries Inc Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
US9636782B2 (en) 2012-11-28 2017-05-02 International Business Machines Corporation Wafer debonding using mid-wavelength infrared radiation ablation
WO2015014265A1 (en) * 2013-08-01 2015-02-05 International Business Machines Corporation Wafer debonding using mid-wavelength infrared radiation ablation
WO2015014266A1 (en) * 2013-08-01 2015-02-05 International Business Machines Corporation Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
CN105659356A (en) * 2013-08-01 2016-06-08 国际商业机器公司 Wafer debonding using mid-wavelength infrared radiation ablation
TWI576190B (en) * 2013-08-01 2017-04-01 Ibm Wafer debonding using mid-wavelength infrared radiation ablation
US10297479B2 (en) 2013-08-01 2019-05-21 International Business Machines Corporation Wafer debonding using mid-wavelength infrared radiation ablation
US9359198B2 (en) * 2013-08-22 2016-06-07 Massachusetts Institute Of Technology Carrier-substrate adhesive system
US10046550B2 (en) 2013-08-22 2018-08-14 Massachusetts Institute Of Technology Carrier-substrate adhesive system
US20150053337A1 (en) * 2013-08-22 2015-02-26 Massachusetts Institute Of Technology Carrier-Substrate Adhesive System
US20150118826A1 (en) * 2013-10-25 2015-04-30 Strasbaugh Method of grinding wafer stacks to provide uniform residual silicon thickness
US9082713B2 (en) * 2013-10-25 2015-07-14 Strasbaugh Method of grinding wafer stacks to provide uniform residual silicon thickness
US9875918B2 (en) 2014-09-29 2018-01-23 Samsung Electronics Co., Ltd. Initiator and method for debonding wafer supporting system
US10224229B2 (en) * 2014-11-07 2019-03-05 International Business Machines Corporation Double layer release temporary bond and debond processes and systems
US10381255B2 (en) 2014-11-07 2019-08-13 International Business Machines Corporation Double layer release temporary bond and debond processes and systems
US20160329233A1 (en) * 2014-11-07 2016-11-10 International Business Machines Corporation Double Layer Release Temporary Bond and Debond Processes and Systems
WO2016071793A1 (en) * 2014-11-07 2016-05-12 International Business Machines Corporation Double layer release temporary bond and debond processes and systems
US10049902B2 (en) 2014-12-05 2018-08-14 Ev Group E. Thallner Gmbh Substrate stack holder, container and method for parting a substrate stack
DE102014118017A1 (en) * 2014-12-05 2016-06-09 Ev Group E. Thallner Gmbh Substrate stack holder, container and method for separating a substrate stack
US11574835B2 (en) 2015-03-25 2023-02-07 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
US10998217B2 (en) * 2015-03-25 2021-05-04 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
US10522383B2 (en) 2015-03-25 2019-12-31 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
US9947567B2 (en) 2015-03-25 2018-04-17 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
US20180174882A1 (en) * 2015-03-25 2018-06-21 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
JP2019501790A (en) * 2015-11-02 2019-01-24 エーファウ・グループ・エー・タルナー・ゲーエムベーハー Method for bonding and peeling substrates
US11024530B2 (en) 2015-11-02 2021-06-01 Ev Group E. Thallner Gmbh Method for the bonding and debonding of substrates
CN108352351A (en) * 2015-11-02 2018-07-31 Ev 集团 E·索尔纳有限责任公司 Method for engaging and separating substrate
KR102653627B1 (en) 2015-11-02 2024-04-01 에베 그룹 에. 탈너 게엠베하 Methods for joining and separating boards
TWI797064B (en) * 2015-11-02 2023-04-01 奧地利商Ev集團E塔那有限公司 Methods for the bonding and debonding of product and carrier substrates and a product-substrate-to-carrier-substrate bond
KR20180075477A (en) * 2015-11-02 2018-07-04 에베 그룹 에. 탈너 게엠베하 Method for joining and separating substrates
WO2017076682A1 (en) * 2015-11-02 2017-05-11 Ev Group E. Thallner Gmbh Method for bonding and releasing substrates
JP7193339B2 (en) 2015-11-02 2022-12-20 エーファウ・グループ・エー・タルナー・ゲーエムベーハー Methods for bonding and debonding substrates
US10468286B2 (en) 2015-11-02 2019-11-05 Ev Group E. Thallner Gmbh Method for the bonding and debonding of substrates
GB2562941B (en) * 2015-12-30 2020-12-16 Ibm Handler bonding and debonding for semiconductor dies
US10325785B2 (en) 2015-12-30 2019-06-18 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US10573538B2 (en) 2015-12-30 2020-02-25 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US10586726B2 (en) 2015-12-30 2020-03-10 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US10679887B2 (en) 2015-12-30 2020-06-09 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US10224219B2 (en) 2015-12-30 2019-03-05 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
GB2562941A (en) * 2015-12-30 2018-11-28 Ibm Handler bonding and debonding for semiconductor dies
DE112016004442B4 (en) * 2015-12-30 2021-03-25 International Business Machines Corporation Process for bonding and debonding of handling devices for semiconductor dies and bonded semiconductor packages
WO2017115175A1 (en) * 2015-12-30 2017-07-06 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
JP2019510363A (en) * 2015-12-30 2019-04-11 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Method, system and computer program product for processing semiconductor devices and bonded semiconductor packages
US11121005B2 (en) 2015-12-30 2021-09-14 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US9947570B2 (en) 2015-12-30 2018-04-17 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US11424152B2 (en) 2015-12-30 2022-08-23 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US11348833B2 (en) 2016-03-30 2022-05-31 International Business Machines Corporation IR assisted fan-out wafer level packaging using silicon handler
US9935009B2 (en) 2016-03-30 2018-04-03 International Business Machines Corporation IR assisted fan-out wafer level packaging using silicon handler
US10522406B2 (en) 2016-03-30 2019-12-31 International Busniess Machines Corporation IR assisted fan-out wafer level packaging using silicon handler
US11462432B2 (en) * 2018-03-15 2022-10-04 Intel Corporation Dual side de-bonding in component carriers using photoablation
US11699676B2 (en) * 2019-02-01 2023-07-11 Laserssel Co., Ltd. Multi-beam laser de-bonding apparatus and method thereof
US20200251442A1 (en) * 2019-02-01 2020-08-06 Laserssel Co., Ltd. Multi-beam laser de-bonding apparatus and method thereof
US11127595B2 (en) * 2019-09-19 2021-09-21 Microsoft Technology Licensing, Llc Method for bonding a semiconductor substrate to a carrier
EP4138116A4 (en) * 2020-04-13 2023-07-19 Mitsubishi Electric Corporation Method for manufacturing semiconductor element
US20230178404A1 (en) * 2021-12-03 2023-06-08 International Business Machines Corporation Silicon handler with laser-release layers
US11908723B2 (en) * 2021-12-03 2024-02-20 International Business Machines Corporation Silicon handler with laser-release layers

Also Published As

Publication number Publication date
CN103854973A (en) 2014-06-11
CN103854973B (en) 2017-03-01
US20140147986A1 (en) 2014-05-29
US9269561B2 (en) 2016-02-23

Similar Documents

Publication Publication Date Title
US9269561B2 (en) Wafer debonding using long-wavelength infrared radiation ablation
US10297479B2 (en) Wafer debonding using mid-wavelength infrared radiation ablation
US9636782B2 (en) Wafer debonding using mid-wavelength infrared radiation ablation
TWI240965B (en) Semiconductor wafer dividing method and apparatus
WO2022212595A1 (en) Direct bonding and debonding of carrier
US8980726B2 (en) Substrate dicing by laser ablation and plasma etch damage removal for ultra-thin wafers
KR101534229B1 (en) Method for substrate dicing
JP5667942B2 (en) Manufacturing method of semiconductor device
JP5967211B2 (en) Manufacturing method of semiconductor device
JP5661928B2 (en) LAMINATE MANUFACTURING METHOD, SUBSTRATE TREATMENT METHOD, AND LAMINATE
JP2015532008A (en) Mask residue removal for substrate dicing by laser and plasma etching
US8679280B2 (en) Laser ablation of adhesive for integrated circuit fabrication
US10679967B2 (en) Systems enabling lower-stress processing of semiconductor device structures and related structures
US20160133497A1 (en) Multi-layer laser debonding structure with tunable absorption
US7524736B2 (en) Process for manufacturing wafers usable in the semiconductor industry
JPWO2020174529A1 (en) Manufacturing method of semiconductor element
KR102270457B1 (en) Wafer dicing method for improving die packaging quality
JP2012038932A (en) Semiconductor wafer thinning method and bonded wafer manufacturing method
Turkani et al. Photonic Debonding for Wafer-Level Packaging
JP2013084866A (en) Silicon semiconductor device substrate and manufacturing equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DANG, BING;KNICKERBOCKER, JOHN U.;TSANG, CORNELIA KANG-I;REEL/FRAME:029365/0608

Effective date: 20121128

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910