JP2010519596A - 三層構造物のパターニング・アプリケーションのための組成物、コーティング、及びフィルム、並びに、これらの製造方法 - Google Patents

三層構造物のパターニング・アプリケーションのための組成物、コーティング、及びフィルム、並びに、これらの製造方法 Download PDF

Info

Publication number
JP2010519596A
JP2010519596A JP2009551053A JP2009551053A JP2010519596A JP 2010519596 A JP2010519596 A JP 2010519596A JP 2009551053 A JP2009551053 A JP 2009551053A JP 2009551053 A JP2009551053 A JP 2009551053A JP 2010519596 A JP2010519596 A JP 2010519596A
Authority
JP
Japan
Prior art keywords
composition
polymer
layer
film
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009551053A
Other languages
English (en)
Inventor
ケネディ,ジョセフ
シー,ソンギュアン
ドゥー,キム
ムヒパドヒャイ,スディプ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of JP2010519596A publication Critical patent/JP2010519596A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • C08G77/18Polysiloxanes containing silicon bound to oxygen-containing groups to alkoxy or aryloxy groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/70Siloxanes defined by use of the MDTQ nomenclature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Manufacturing & Machinery (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)

Abstract

本明細書中には三層アプリケーションにおいて使用するための組成物が開示されており、ここで前記組成物は、マトリックスを有しており、そしてポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、ポリマーのマトリックスに結合した複数のビニル基、及びポリマーのマトリックスに結合した複数のフェニル基を含むポリマー配合物;少なくとも1種の縮合触媒;並びに、少なくとも1種の溶媒;を含む。本明細書中には、さらに、有機基層(第1の層)、反射防止組成物及び/又は本発明にて意図するフィルム(第2の層)、並びに、互いに結合したフォトレジスト材料(第3の層)を含む三層構造物も意図されている。三層パターニング・アプリケーションのための組成物を製造する方法は、ポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、ポリマーのマトリックスに結合した複数のビニル基、及びポリマーのマトリックスに結合した複数のフェニル基を含むポリマー配合物を供給すること;少なくとも1種の縮合触媒を供給すること;少なくとも1種の溶媒を供給すること;少なくとも1種のpH調整剤を供給すること;前記ポリマー配合物と前記少なくとも1種の溶媒の一部とを反応器中で混合して、反応性混合物を生成させること;並びに、前記少なくとも1種のpH調整剤、前記少なくとも1種の縮合触媒、及び前記少なくとも1種の溶媒の残部を反応混合物中に加えて、組成物を得ること;を含む。
【選択図】図1

Description

本特許出願は、2007年2月26日付け出願の米国仮出願第60/903466号及び2007年7月12日付け出願の米国仮出願第60/949392号(所有者が共通であり、これら出願の全開示内容は参照により本明細書に援用される)に対する優先権を主張する米国特許出願である。
本発明は、概して三層パターニング・アプリケーションに使用するための組成物、コーティング、及びフィルム、並びにこれら材料の製造方法に関する。
高速の動作性能に対する要求を満たすために、集積回路デバイスのフィーチャサイズは縮小し続けている。より小さなフィーチャサイズを有するデバイスを製造するためには、半導体製造に従来使用されているプロセスの多くに新たな課題が生じる。これら製造プロセスの中で最も重要なプロセスの1つはフォトリソグラフィーである。
効果的なフォトリソグラフィーは、基板上への直接のパターン画像形成の観点だけではなく、該画像形成に通常使用されるマスクの作成の観点からも、微細構造の製造に影響を及ぼす。一般的なリソグラフィー・プロセスは、イメージング用放射線で放射線感受性レジストをパターン露光することによって、パターン形成されたレジスト層を作製することを含む。次いで、レジスト層のいくつかの部分を選択的に除去して所望のパターンが現われるように、露光されたレジスト層とある物質(一般にはアルカリ水溶液現像剤)とを接触させることによって画像を現像する。引き続き、パターン形成されたレジスト層の欠落部分(openings)において材料をエッチングすることによって、このパターンを下地材料に転写する。転写が完了した後に、残留しているレジスト層を除去する。
幾つかのリソグラフィー・イメージング・プロセスの場合、使用されるレジストは、レジストの下側にある層への所望のパターンの効果的な転写を可能にするほど十分には後続エッチング工程に対する耐性を示さない。多くの場合(例えば、極薄のレジスト層が要求される場合、エッチングしようとする下地材料が厚い場合、実質的なエッチング深さが要求される場合、及び/又は所定の下地材料に対して特定のエッチング剤を使用することが要求される場合)、ハードマスク層を、パターン形成されたレジストからの転写によってパターン形成しようとする下地材料とレジスト層との間の中間層として使用することができる。ハードマスク層は、パターン形成されたレジスト層からパターンを受け取り、パターンを下地材料に転写するのに必要とされるエッチング工程に耐えることができなければならない。
さらに、下地材料層が、レジスト層にパターン形成するために使用されるイメージング用放射線を過剰に反射する場合は、一般には、下地層とレジスト層との間に薄い反射防止コーティングを施す。場合によっては、反射防止/吸収機能とハードマスク機能は、同一の材料によって提供されてもよい。しかしながら、場合によっては、反射防止層とハードマスク層の化学が相当に異なっている必要があり、したがって該層を下部有機層と上部フォトレジストとの間に組み込むことが困難なことがあり得る。
さらに、次世代チップの場合、デバイスの製造は90nmノード以下に移行している。画像崩壊の問題、高NAツールからの焦点許容度が低いこと、及び193nmと157nmのリソグラフィーにおけるレジスト配合物のODが高いという理由で、レジストの厚さは300nm未満でなければならない。従来の薄いレジストフィルムは、エッチング工程に対して十分とは言えない。下地層にパターン形成するために必要とされるエッチング工程に対しては耐性を有しつつ、上側に積層するフォトレジストに対して選択的に容易にエッチングすることができるハードマスク組成物を得ることが望ましい。従来の多層レジスト法では、厚い有機材料フィルムからなる最下層フィルム(bottom layer film)が、平坦な表面を形成するように加工されているフィルム上にコーティングすることによって作製され、また、薄い無機材料フィルムからなるマスクパターンが、通常のフォトパターニング技術(例えば、先行技術の図1に示す)によってこのフラット表面上に形成される。最下層フィルムの露光部分が異方性エッチング(例えば反応性スパッタエッチング等)によって除去され、エッチングによって露出された部分のうちの加工すべきフィルムがエッチングされ、これによりパターンが形成される。
良好な精度を有するパターンを形成するためには、高い精度を有する中間フィルムからなるマスクパターンを形成する必要がある。このため、上記のフォトパターニング工程では、最下層フィルムと中間フィルムにおいて異なった高さ部分などで加工されているフィルムの表面から反射した光を吸収すること、そしてこれによって反射光が最上層フィルム(top layer film)に到達するのを防止することが重要である。
しかしながら、前述のとおり、中間フィルムは、厚い最下層フィルムをエッチングするためのマスクとして使用されるので、異方性エッチング(例えば反応性スパッタエッチング等)に対して中間フィルムが十分な耐性を有することが要求される。従来の中間フィルムでは、ドライエッチング耐性だけが真剣に検討されているが、光の吸収に関しては考慮されていない。
他方、従来の多層レジスト法においては、最下層フィルムによる光の吸収を増大させることによって処理されたフィルムの表面から反射する光を減少させることだけが真剣に検討されており、最下層フィルムの光減衰係数がより大きいことがさらに好ましい。
しかしながら、最下層フィルムの光減衰係数が大きすぎると、最下層フィルムの表面から反射される光の量の増大を引き起こし、したがって、最下層フィルムを通過する、被加工層の表面からの反射光と、最下層フィルムの表面によって反射される光との合計は、反対に増大する。したがって、結果的に得られるパターンのサイズの精度が低下する、ということが分かった。さらに、最下層フィルムの表面からの反射光だけでなく、中間フィルムの表面からの反射光も、パターンサイズの精度低下の原因になる、ということが分かった。
他の一般的な三層アプローチでは、最初に、従来の蒸着法(例えば、化学蒸着法、スピンオンコーティング法、エバポレーション法、プラズマ化学蒸着法、又は物理蒸着法等)を使用して基板の表面に基層を施す。基層の厚さは、一般には約80nm〜約8000nmである。次に、従来の蒸着法(例えば、スピンオンコーティング法、エバポレーション法、化学蒸着法、プラズマ化学蒸着法、又は物理蒸着法等)を使用して、基層の上面に反射防止コーティング(BARC)/ハードマスクを施す。反射防止コーティング(BARC)/ハードマスクの厚さは、一般には約10nm〜約500nmであり、約20nm〜約200nmの厚さがより一般的である。
三層構造物にパターン形成を行うために、反射防止コーティング(BARC)/ハードマスクの上面に従来のフォトレジストを施し、次いでこのフォトレジストを、フォトレジストを放射線のパターンに露光する工程、及び従来のレジスト現像剤を使用して露光されたフォトレジスト中にパターンを現像する工程を含む、従来のリソグラフィーにかける。リソグラフィー工程の後に、パターンをレジストから反射防止コーティング/ハードマスクに転写し、そして反射防止コーティング/ハードマスクから基層への、次いで基板へのパターンの転写を続けることによって、パターンを三層構造物中に転写する。
最初のパターン転写工程は、一般には、ドライエッチングプロセス(例えば、反応性イオンエッチング、イオンビームエッチング、プラズマエッチング、又はレーザーアブレーション等)を使用することを含む。反応性イオンエッチングは、パターンを、パターン形成されたフォトレジストから反射防止コーティング/ハードマスクに転写するための好ましいエッチング方法である。
前述したように、最初のパターン転写工程の後、パターンを、残留レジストと反射防止コーティング/ハードマスクから基層に、次いで1つ以上のエッチング工程(例えば、反応性イオンエッチング、イオンビームエッチング、プラズマエッチング、又はレーザーアブレーション等)を使用して基板に転写する。さらに、基板に電気メッキするか、金属デポジットを施すか、あるいはイオン注入を施して、パターン形成された構造を形成することができる。基層は、酸素をエッチャント・ガスまたはエッチャント・プラズマとして使用することによってエッチングするのが好ましい。基板中へのパターンの転写時または転写後に、層を除去することができる1つ以上のパターニング/エッチングプロセスを使用して、反射防止コーティング/ハードマスクと基層を除去する。このプロセスの結果、パターン形成された基板が得られる。
デバイス/回路およびパターニング/エッチングプロセスが目指す目標に加え、層状アプリケーションに使用される材料の化学が異なっている点を考慮すれば、有機平坦化最下層およびフォトレジスト最上層の双方に対して相容性のある中間材料を製造し、これをことは、当初考えられていたよりも困難である、ということは明らかである。
したがって、(a)紫外線スペクトル領域において均一に吸収し、(b)集束マトリックスと露光寛容度を拡大することによって、改良されたフォトレジストパターニングに寄与し、(c)三層アプリケーション及び/又は三層パターニングのプロセスにおいて、反射防止コーティング層と有機平坦化層との間に改善された接着力をもたらし、(d)高いエッチング選択性を有し、(e)安定であって良好な保存寿命を有する溶液を形成し、(f)任意の適切なアプリケーション法(例えば、スピン・オン・コーティングや化学蒸着(CVD))によって表面に施すことができ、且つ(g)ロジックアプリケーションやフラッシュアプリケーションを含めた多くのアプリケーション、部材、及び材料において使用することができる、吸収性/反射防止コーティングとリソグラフィー材料を開発する必要がある。本発明で意図されている反射防止コーティング/ハードマスクの組み合わせ、添加剤、コーティング、及び/又は材料は、反射防止コーティングと有機平坦化層との間にある中間無機層に取って代わるように、及び/又は、排除するように設計されている。
本明細書中には、三層アプリケーションに使用するための組成物が開示されており、前記組成物は、マトリックスを有し、また、以下の成分を含有する。ポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、ポリマーのマトリックスに結合した複数のビニル基、及びポリマーのマトリックスに結合した複数のフェニル基を含むポリマー配合物;少なくとも1種の縮合触媒;並びに、少なくとも1種の溶媒。
本発明においては、有機基層(第1の層)、本発明にて意図されている反射防止組成物及び/又は反射防止フィルム(第2の層)、並びに、互いに結合しているフォトレジスト材料(第3の層)を含む三層構造物も意図されている。
三層パターニング・アプリケーションのための組成物を製造する方法は、ポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、ポリマーのマトリックスに結合した複数のビニル基、及びポリマーのマトリックスに結合した複数のフェニル基を含有するポリマー配合物を供給すること;少なくとも1種の縮合触媒を供給すること;少なくとも1種の溶媒を供給すること;少なくとも1種のpH調整剤を供給すること;ポリマー配合物と前記少なくとも1種の溶媒の一部とを反応器中で混合して、反応性混合物を形成すること;並びに、前記少なくとも1種のpH調整剤、前記少なくとも1種の縮合触媒、及び前記少なくとも1種の溶媒の残部を反応混合物中に組み込んで組成物を形成すること、を含む。
先行技術の図1は、三層フォトリソグラフィー及びパターニングを用いて意図するトレンチを作製することを示す。 図2は、本発明の組成物を製造するための本発明の方法を示す。 図3は、本発明の反応容器の配置を示す。 図4は、ノボラック樹脂を有機基層として含む三層部材から収集された、模擬実験反射率データを示す。 図5は、経過時間と本発明のフィルムに対するフィルム厚さとの関係を示す。 図6は、経過時間と本発明の組成物に対する分子量との関係を示す。 図7は、経過時間と本発明のフィルムに対するフィルム厚さとの関係を示す。
3段構成のフォトレジスト・パターニング・アプリケーションとこれに関連したアプリケーションは、高開口数のパターニングを達成するのに重要である。フィーチャー分解能を増大させるためには、波長を下げなければならない(193nmリソグラフィーに移すことによって)、及び/又は、開口数を増やさなければならない。さらに、2段構成のボトム反射防止コーティング(BARC)は、基板の反射率またはフォトレジスト中に反射される光を減少させる。さらに3段構成または3層のパターニングスキームを使用すると、二重パターニングスキーム(DPS)(アグレッシブなフィーチャーを有するパターニングを2つの別個のパターニング工程に分ける)が容易になる。このDPSは、より高い開口数の(すなわち次世代の)波長システムへの移行に代わるものである。さらに、これらの3層パターニングプロセスによって、より薄いArFフォトレジストの使用が容易になる(現在、中間層と有機基層にプラズマエッチング・ロード(plasma etch load)が配置されている)。ArFフォトレジストは、性能をパターン形成するように、そしてプラズマ・エッチング・レジリアンス(plasma etch resiliance)と競合しないように設計することができる。
先行技術の図1は、三層フォトリソグラフィーとパターニングを用いた本発明のトレンチの形成を示す。このスキームでは、工程110において、有機平坦化層105(紫外線吸収性でもある)を、かなり粗い表面(すなわち基板103)上にスピンコーティングを行う。参照用に、後続の工程においてつくり出されるトレンチ・フィーチャー180が描いてある。工程120においては、薄いシリコン系層125を有機層105上にスピンコーティングを行う。このシリコン系層125は、パターンの転写を支援するように設計され、幾つかの吸収特性を有する。工程130では、層状材料にフォトレジスト135を施す。次いで、該フォトレジストにパターンを形成して現像する137。工程140において、シリコン系層中にパターン145をエッチングする。工程150において、エッチング工程(O/NエッチングもしくはN/H化学を含んでよい酸化化学または還元化学)によりパターン155を有機基層中に転写する。工程160において、基板中にパターン165をエッチングし、シリコン系層を除去する。最後に工程170において、基板103から有機充填材料を洗い落として、表面と新たなトレンチ・フィーチャーを完全に露出させる。薄いシリコン系層(工程120において施される)は、形成及び層化・トレンチ形成プロセスへの組み込みが最も困難な部材である。なぜなら、前述したように、薄いシリコン系層は、望ましいエッチング選択性を有すると同時に、有機平坦化層とフォトレジストの両方に対して相容性でなければならないからである。
前述の目標、及び従来の多層もしくは三層パターニング・アプリケーションの説明に基づいて、(a)紫外線スペクトル領域において均一に吸収し、(b)フォーカス・マトリックスと露光寛容度を拡大することによって、改良されたフォトレジストパターニングに寄与し、(c)三層アプリケーション及び/又は三層パターニングのプロセスにおいて、反射防止コーティング層と有機平坦化層との間に改善された接着力をもたし、(d)高いエッチング選択性を有し、(e)安定であって良好な保存寿命を有する溶液を形成し、(f)任意の適切なアプリケーション法(例えば、スピンオンコーティングや化学蒸着(CVD))によって表面に施すことができ、且つ(g)ロジックアプリケーションやフラッシュアプリケーションを含めた多くのアプリケーション、部材、及び材料において使用することができる、これらアプリケーションのための組成物、吸収性/反射防止コーティング、及びリソグラフィー材料が開発された。これらの添加剤、コーティング、及び/又は材料は、反射防止コーティングと有機平坦化層との間にある中間無機層に取って代わるように、及び/又は、排除するように設計されている。
本明細書には、三層アプリケーションに使用するための組成物が開示されている。本発明の組成物は、マトリックスを有し、また、以下の成分を含有する。ポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、ポリマーのマトリックスに結合した複数のビニル基、及びポリマーのマトリックスに結合した複数のフェニル基を含むポリマー配合物;少なくとも1種の縮合触媒;並びに、少なくとも1種の溶媒。
本発明は、有機基層(第1の層)、本発明において意図されている反射防止組成物及び/又は反射防止フィルム(第2の層)、並びに、互いに結合しているフォトレジスト材料(第3の層)を含む三層構造物も包含するものと考えられている。
本明細書中に使用される「結合している(coupled)」という用語は、複数のモノマー、部分、または構成要素、あるいは表面、層、または2つの層が、物理的または化学的に互いに結びついている、あるいは物質もしくは部材の2つの部分間に、共有結合力、イオン結合力、非結合力(例えば、ファンデルワールス力、静電気力、クーロン引力、水素結合力、及び/又は磁力)等の結合力を含めた物理的引力が存在する、ということを意味している。本明細書中に使用される「結合している(coupled)」という用語はさらに、マトリックスに物理的に引き込まれた、マトリックスに物理的に結びついた、マトリックス中に物理的に捕捉された、またはマトリックスに化学的に結合した部分もしくは置換基を表わしている。本明細書中に使用される「結合している(coupled)」という用語はさらに、2つの層または材料が互いに直接結びついている状態を含むことを意味しているが、該用語はさらに、2つの層または材料が互いに間接的に結合している状態(例えば、他の2つの層間に接着促進剤層が存在するという場合)を含むことを意味する。
三層パターニング・アプリケーション用組成物を製造する方法は、ポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、ポリマーのマトリックスに結合した複数のビニル基、及びポリマーのマトリックスに結合した複数のフェニル基を含むポリマー配合物を供給すること;少なくとも1種の縮合触媒を供給すること;少なくとも1種の溶媒を供給すること;少なくとも1種のpH調整剤を供給すること;ポリマー配合物と前記少なくとも1種の溶媒の一部とを反応容器内で混合して、反応性混合物を形成すること;並びに、前記少なくとも1種のpH調整剤、前記少なくとも1種の縮合触媒、及び前記少なくとも1種の溶媒の残部を反応混合物中に組み込んで組成物を形成すること;を含む。
「高比率(high ratio)」無機材料
高比率無機材料及び/又は無機化合物が、本発明の組成物やコーティング中の成分として考えられており、少なくとも1種の溶媒以外に、どの成分についてもできるだけ高い濃度で組成物や材料中に存在している。本発明の実施態様では、これらの無機化合物及び/又は無機材料が、他の成分もしくは原子に対する高モル比(「高比率」)の無機原子−酸素結合を有し、その結果として、より多くの炭素原子を含有する化合物と比較して、化合物の「無機的特性」が増大する。これらの無機材料は、一般には、同一の波長では非吸収性又はわずかに吸収性であり、組成物又はこれにより得られるコーティングもしくはフィルムの吸収特性や接着特性に影響を及ぼすことなく、組成物に無機的特性を付与するように設計されている。幾つかの実施態様においては、高比率無機材料及び/又は無機化合物がケイ素を含む。組成物中により多くのケイ素を組み込んだ場合、ケイ素が最終的な組成物の無機的特性の増大に寄与する。
高比率無機化合物及び/又は無機材料の具体的な例としては、組成物または材料中により多くのSi−O結合をもたらすケイ素ベース成分(例えば、ポリマー、化合物、部分、置換基、及び/又はモノマー)がある。本発明のケイ素ベースの化合物及び/又はモノマーとしては、アルコキシシラン化合物(例えば、テトラメトキシシラン、テトラエトキシシラン、又はテトラブトキシシラン)、シロキサン化合物(例えばメチルシロキサン)、メチルシルセスキオキサン、ある種のシラザンポリマー、ジメチルシロキサン、ケイ酸塩重合体、ケイ酸誘導体、アセトキシ系モノマー、及びこれらの混合物がある。本発明のシラザンポリマーはペルヒドロシラザンであり、この化合物は「透明」なポリマー主鎖を有する。
吸収性材料
本発明の組成物、材料、コーティング、及びフィルムはさらに、少なくとも1種の吸収性化合物を含む。上記の高比率無機化合物、及び本明細書に開示の成分とは異なり、これらの本発明の吸収性化合物は、特定波長の光を吸収する、そして場合によっては、特定波長の光を非常に強く吸収する部分を化合物中に含む。多くのナフタレン系化合物、フェナントレン系化合物、及びアントラセン系化合物は、248nm以下に顕著な吸収を有する。ベンゼン系化合物(ここではフェニル系化合物と同等)は、200nmより短い波長で顕著な吸収を有する。これらのナフタレン系化合物、アントラセン系化合物、フェナントレン系化合物、及びフェニル系化合物は色素と呼ばれることが多いが、ここでは吸収性化合物という用語を使用する。なぜなら、これら化合物の吸収は、スペクトルの可視領域の波長に限定されないからである。
しかしながら、このような吸収性化合物の全てが、反射防止コーティング材料として使用するための無機系の材料中に組み込めるわけではない。使用するのが適切な本発明の吸収性化合物は、248nm、193nm、又は157nm等の波長の前後に、あるいは365nm等の紫外線波長の前後に限定可能な吸収ピークを有する(これらの波長はフォトリソグラフィーに使用することができる)。適切な「特定可能な吸収ピーク」は、幅が少なくとも0.5nmのピークであると考えられ、ここで幅は、フォトリソグラフィー分野において周知の方法によって算出される。別の実施態様では、特定可能な吸収ピークは、幅が少なくとも1nmである。さらに別の実施態様では、特定可能な吸収ピークは、幅が少なくとも5nmである。幾つかの本発明の実施態様では、特定可能な吸収ピークは、幅が少なくとも10nmである。
適切な吸収性化合物の発色団は、一般には少なくとも1つのベンゼン環を有しており、2つ以上のベンゼン環が存在してよく、環は縮合していても、縮合していなくてもよい。他の発色団は、「芳香族型」の結合(例えば、末端での、あるいは化合物内でのビニル基)を有し、これらの結合により、該化合物が吸収性化合物に転化する。組み込み可能な吸収性化合物は、発色団に結合した利用可能な反応性基を有しており、反応性基としては、ヒドロキシル基、アミン基、カルボン酸基、及び1つ、2つ、もしくは3つの「離脱基」(例えば、アルコキシ基、アセトキシ基、又はハロゲン原子)に結合したケイ素を有する置換シリル基などがある。エトキシ基、メトキシ基、又は塩素原子は、しばしば離脱基として使用される。本発明の反応性基としては、ケイ素アルコキシ基、ケイ素ジアルコキシ基、及びケイ素トリアルコキシ基(例えば、ケイ素エトキシ基、ケイ素ジエトキシ基、ケイ素トリエトキシ基、ケイ素メトキシ基、ケイ素ジメトキシ基、及びケイ素トリメトキシ基等);ハロシリル基(例えば、クロロシリル基、ジクロロシリル基、及びトリクロロシリル基等);及びアセトキシ基(例えば、メチルトリアセトキシシラン基やテトラアセトキシシラン基);がある。
反応性基は、例えばフェニルトリエトキシシランの場合のように、発色団に直接結合していてもよいし、あるいは反応性基は、例えば9−アントラセンカルボキシ−アルキルトリアルコキシシランの場合のように、エステル結合、ケトン結合、及び/又は酸素結合、あるいは炭化水素ブリッジを介して発色団に結合していてもよい。発色団にケイ素トリアルコキシ基を組み込むことが、吸収性のスピン・オン・ガラス(“SOG”)フィルムの安定性を高めるのに特に有利であることが分かった。他の有用な吸収性化合物は、アゾ基(−N=N−)と利用可能な反応性基とを含有する化合物、特に、特定のアプリケーションのために365nm付近の吸収が要求される場合には、ベンゼン環に結合しているアゾ基を含有する化合物である。アゾ基は、直鎖分子、環状分子、またはハイブリッドの直鎖/環状分子の一部として組み込まれる。
吸収性化合物は、無機系材料のマトリックスの隙間に組み込むことができる。吸収性化合物はさらに、架橋反応によって無機系材料もしくはポリマーに化学的と結合していてよい。幾つかの本発明の実施態様においては、組み込み可能な吸収性化合物は、利用可能な反応性基を介して、無機系材料の主鎖またはポリマー主鎖との結合を形成する。
使用する上で適切な吸収性化合物の例としては、約375nm未満の波長(例えば、365nm、248nm、193nm、及び157nm)付近に特定可能な吸収ピークを有する吸収性化合物があり、アントラフラビン酸(1)、9−アントラセンカルボン酸(2)、9−アントラセンメタノール(3)、9−アントラセンエタノール(4)、9−アントラセンプロパノール(5)、9−アントラセンブタノール(6)、アリザリン(7)、キニザリン(8)、プリムリン(9)、2−ヒドロキシ−4−(3−トリエトキシシリルプロポキシ)−ジフェニルケトン(10)、2−ヒドロキシ−4−(3−トリメトキシシリルプロポキシ)−ジフェニルケトン(11)、2−ヒドロキシ−4−(3−トリブトキシシリルプロポキシ)−ジフェニルケトン(12)、2−ヒドロキシ−4−(3−トリプロポキシシリルプロポキシ)−ジフェニルケトン(13)、ロゾール酸(14)、トリエトキシシリルプロピル−1,8−ナフタルイミド(15)、トリメトキシシリルプロピル−1,8−ナフタルイミド(16)、トリプロポキシシリルプロピル−1,8−ナフタルイミド(17)、9−アントラセンカルボキシ−メチルトリエトキシシラン(18)、9−アントラセンカルボキシ−エチルトリエトキシシラン(19)、9−アントラセンカルボキシ−ブチルトリエトキシシラン(20)、9−アントラセンカルボキシ−プロピルトリエトキシシラン(21)、9−アントラセンカルボキシ−メチルトリメトキシシラン(22)、9−アントラセンカルボキシ−エチルトリブトキシシラン(23)、9−アントラセンカルボキシ−メチルトリプロポキシシラン(24)、9−アントラセンカルボキシ−プロピルトリメトキシシラン(25)、フェニルトリエトキシシラン(26)、フェニルトリメトキシシラン(27)、フェニルトリプロポキシシラン(28)、10−フェナントレンカルボキシ−メチルトリエトキシシラン(29)、10−フェナントレンカルボキシ−エチルトリエトキシシラン(30)、10−フェナントレンカルボキシ−メチルトリメトキシシラン(31)、10−フェナントレンカルボキシ−プロピルトリエトキシシラン(32)、4−フェニルアゾフェノール(33)、4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン(34)、4−メトキシフェニルアゾベンゼン−4−カルボキシ−エチルトリエトキシシラン(35)、4−エトキシフェニルアゾベンゼン−4−カルボキシ−プロピルトリエトキシシラン(36)、4−ブトキシフェニルアゾベンゼン−4−カルボキシ−プロピルトリエトキシシラン(37)、4−メトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン(38)、4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン(39)、4−メトキシフェニルアゾベンゼン−4−カルボキシ−エチルトリエトキシシラン(40)、4−メトキシフェニルアゾベンゼン−4−カルボキシ−プロピルトリエトキシシラン(41)、及びビニルトリエトキシシラン(42)等の化合物、並びに、これらの組み合わせ物が挙げられる。しかしながら、この特定化合物リストは網羅的なリストではなく、これらの具体的な化合物を含むより広範囲の種類の化合物から本発明の化合物を選択できることは言うまでもない。
吸収性化合物1〜25と29〜41は、例えばアルドリッチ・ケミカル社(ウィスコンシン州ミルウォーキー)から市販されている。9−アントラセンカルボキシ−アルキルトリアルコキシシランは、所有者が共通する、全ての関連外国取得特許、国内取得特許、及び特許出願を含めて、2002年11月12日付け出願のPCT特許出願であるPCT/US02/36327(所有者は共通であり、全開示内容が参照により本明細書に援用される)に記載のエステル化法を使用して合成される。吸収性化合物26〜28は、ゲレスト社(ペンシルベニア州タリータウン)から市販されている。吸収性化合物26〜28のほかのフェニル系吸収性化合物(これらの多くも、ゲレスト社から市販されている)の例としては、フェニル環もしくは置換フェニルに結合したケイ素系反応性基を有する構造体(例えば、メチルフェニル、クロロフェニル、及びクロロメチルフェニル)がある。具体的なフェニルベース吸収性化合物としては、フェニルトリメトキシシラン、ベンジルトリクロロシラン、クロロメチルフェニルトリメトキシシラン、及びフェニルトリフロロシランなど(これらはほんのわずかな例にすぎない)がある。1つ又は2つの「離脱基」を有するジフェニルシラン〔例えば、ジフェニルメチルエトキシシラン、ジフェニルジエトキシシラン、及びジフェニルジクロロシラン等(これらはほんのわずかな例にすぎない)〕も、組み込むことのできる適切な吸収性化合物である。メトキシ安息香酸を含めたアルコキシ安息香酸も、吸収性化合物として使用することができる。
幾つかの本発明の実施態様においては、少なくとも1種の接着促進剤は、下記の特性の少なくとも1つを含む:(a)電子部品や半導体部品の製造において一般的に使用される温度での熱処理(例えばベーキング)後において熱的に安定である;(b)促進剤が加えられる組成物に対してドナーが著しい架橋作用を引き起こさない、という点において比較的低い触媒活性を有する;(c)比較的中性であり、従って組成物は低いpHを保持する;(d)組成物のpHを下げるために酸性である;(e)促進剤が加えられる組成物中の化学種の分子量を増大させる反応を開始または促進しない;(f)驚くべきことに、従来理解されているファンデルワールス相互作用とは対照的に、材料の層間の静電相互作用とクーロン相互作用を促進することによって接着促進剤として機能し得る。
紫外線に対する吸収率が低くなるよう設計された有機レジストポリマーへの接着は、このようなレジストが、極性が低くなるように、そして接着的に相互作用する官能基がほとんど持たないように設計されているので本質的に困難である。特にこれら有機レジストポリマーに対するシリカ系配合物の接着メカニズムは、下記2つの経路のうちの1つに従う:(a)シラノール含量の減少とファンデルワールス相互作用の増大による接着促進;及び(b)イオン作用(例えば、静電相互作用やクーロン相互作用)の寄与の増大による接着促進。
幾つかの実施態様においては、接着促進剤は、ポリジメチルシロキサン物質、エトキシもしくはヒドロキシ含有シランモノマー、ビニル含有シランモノマー〔例えば、ビニルトリエトキシシラン(VTEOS)〕、アクリル化シランモノマー、又は水素化シリルを含んでよい。例えばVTEOSは、コーティングや組成物において接着力の向上をもたらすことが示された(実施例の欄に記載)。VTEOSは、接着促進剤としても、吸収性化合物としても作用することができる。驚くべきことに、実施例欄に記載のように、VTEOSを加えるとさらに、フィルムに対する露光寛容度とフォーカスの深さが改善された。換言すると、VTEOSを存在させることで、フォトレジストの接着力とパターニング・プロセス・マージンが大幅に向上した。
本発明の実施態様においては、少なくとも1種の接着促進剤(例えば、少なくとも1種の弱酸/弱塩基、少なくとも1種の弱酸/強塩基、少なくとも1種の強酸/強塩基、少なくとも1種の強酸/弱塩基、少なくとも1種のアミン塩基、少なくとも1種のアミン塩、又はこれらの組み合わせ)を加えると、静電相互作用とクーロン相互作用が増大する。モデル化した結果と実験結果の両方から、アミン塩と中性(非イオン性)形態のアミンが、リソグラフィーで定められたレジストラインの崩壊を防ぐようにレジストとの接着力を十分に高める、ということがわかる。この接着力の増大は、より高いpHのアミン配合物(例えば、pHが5.5の配合物)を首尾よく使用した場合に実現される(この場合、硝酸APTEOSが形成される)。このメカニズムはさらに、酢酸APTEOS、スルホン酸APTEOS、メタンスルホン酸APTEOS、トリフルオロメタンスルホン酸APTEOS、トルエンスルホン酸APTEOS、ノナフルオロブタン−1−スルホン酸(nfbs)APTEOS、水酸化テトラメチルアンモニウム、酢酸テトラメチルアンモニウム、硝酸テトラメチルアンモニウム、硫酸テトラメチルアンモニウム、メタンスルホン酸テトラメチルアンモニウム、トリフルオロメタンスルホン酸テトラメチルアンモニウム、トルエンスルホン酸テトラメチルアンモニウム、nfbsテトラメチルアンモニウム、トリフルオロメタンスルホン酸テトラメチルアンモニウム、硝酸アンモニウム、酢酸アンモニウム、トリフルオロメタンスルホン酸アンモニウム、トルエンスルホン酸アンモニウム、スルホン酸アンモニウム、メタンスルホン酸アンモニウム、他のあらゆるアミン塩、又はアミン塩の組み合わせ物等の、他のアミン塩を使用する場合にも見出すことができる。好適なアミン塩基としては、アンモニア、ピリジン、アニリン、TMAH、CTAH、TBAH、APTEOS、又はこれらの組み合わせがある。接着エネルギーをモデル化すると、高イオン性塩(より高い電荷中心を有する)のほうが、電荷がより一層分散されている塩(例えば、大きなR基を有するアンモニウム中心)よりも良好に接着力を増大させる、ということが分かる(下記の表1を参照)。メカニズムとモデル化実験において使用される装置が、Nancy Iwamotoによる米国特許第6,544,650号;米国特許出願第09/543,628号、第10/113,461号、及び10/326,233号;並びに、関連したPCT出願(例えばPCT/US03/07607)や外国出願;に記載されている(これらの特許文献は全て、ハネウエル・インターナショナル社が所有しており、全開示内容が参照により本明細書に援用される)。
Figure 2010519596
本明細書中に使用される「接着促進剤」とは、標的組成物と一緒に使用すると、標的組成物を単独で使用した場合と比較して、基板及び/又は表面に対する標的組成物の接着力が向上するあらゆる成分もしくは成分の組み合わせを意味している。標的組成物は、ポリマー配合物、反射防止組成物、コーティング材料、及び/又は熱分解可能なポリマーを含めて、基板、表面、層状表面、電子部品、又は半導体部品に施すことが可能な任意の組成物を含んでよい。接着促進剤は、熱分解可能なポリマー前駆体と反応するコモノマーであってもよいし、あるいは熱分解可能なポリマー前駆体への添加剤であってもよい。幾つかの有用な接着促進剤の例が、2002年5月30日付け出願の、所有者が共通する係属中の米国特許出願第10/158513号に開示されている。
幾つかの実施態様においては、接着力の増大は濃度で制御され、従って、隣接層の界面(例えば、シリカ−レジスト)において接着促進剤(例えばアミン塩)を濃縮するのに役立つ任意の方法は接着を促進する。単純な解決策は、配合物中に導入される塩化学種の量を増やすことである。別の方法としては、溶媒を調整することによって溶媒和を制御する;スピンコーティングやベーキング時に溶媒の蒸発を制御する;塩の溶解性を制御する溶解性制御剤を加える;及びレジストにアンモニウム化学種を加える;というものがある。
モデル化により、塩の混合物を、単一成分と同じ有効性となるように使用することができる。混合塩によるこれらの接着促進スキームは、溶媒の相溶性の点で有機アミンの増大が必要となるときに使用することができる。この場合には、置換アンモニウム中心により大きなR基を使用することができるが、接着力の低下は、より電荷を帯びた中心(例えばアンモニウム)を加えることによって補償することができる。
前述したように、本発明の接着促進剤は、窒素、リン、又は類似の特性を有する他のいかなる原子を含んでもよい。本発明の接着促進剤は、中性もしくは酸性の化合物または分子〔例えば、アミン塩、硝酸メチルアンモニウム、酢酸テトラメチルアンモニウム(TMAA)、酢酸テトラブチルアンモニウム(TBAA)、酢酸セチルトリメチルアンモニウム(CTAA)、及び硝酸テトラメチルアンモニウム(TMAN)〕を含んでよい。TMANは、TMANを水中に溶解することによって、あるいは硝酸を使用してTMAAもしくはTMAHをTMANに転化することによって得ることができる。本発明の塩は、強酸と第一アミン、第二アミン、第三アミン、もしくはテトラアミンとの塩を含む。
本発明の別の好適な接着促進剤は、長いテールもしくはバルキーな基を有する少なくとも1種の酸〔例えば、ノナフルオロブタン−1−スルホン酸(nfbs)やドデシルベンゼンスルホン酸(dbs)〕を使用して、あるいは反応性官能基を有するシランに結合した酸(例えば、酸−TEOS)を使用して合成されるアミン塩(例えば、本明細書に既に開示したアミン塩)である。
さらに、接着力の増大は、硝酸APTEOS塩が形成されているより高いpHのアミン配合物を首尾よく使用した場合に実現される。このメカニズムはさらに、酢酸APTEOS、スルホン酸APTEOS、メタンスルホン酸APTEOS、トリフルオロメタンスルホン酸APTEOS、トルエンスルホン酸APTEOS、ノナフルオロブタン−1−スルホン酸(nfbs)APTEOS、水酸化テトラメチルアンモニウム、酢酸テトラメチルアンモニウム、硝酸テトラメチルアンモニウム、硫酸テトラメチルアンモニウム、メタンスルホン酸テトラメチルアンモニウム、トリフルオロメタンスルホン酸テトラメチルアンモニウム、トルエンスルホン酸テトラメチルアンモニウム、nfbsテトラメチルアンモニウム、トリフルオロメタンスルホン酸テトラメチルアンモニウム、硝酸アンモニウム、酢酸アンモニウム、トリフルオロメタンスルホン酸アンモニウム、トルエンスルホン酸アンモニウム、スルホン酸アンモニウム、メタンスルホン酸アンモニウム、他のあらゆるアミン塩、又はアミン塩の組み合わせ等の、他のアミン塩を使用するときにも見出すことができる。適切なアミン塩基としては、アンモニア、ピリジン、アニリン、TMAH、CTAH、TBAH、APTEOS、又はこれらの組み合わせがある。
幾つかの実施態様においては、窒素を含有する吸収性組成物及び/又はコーティング材料中の「[窒素のモル数]/[Si化合物の重量(ppm)]」の比が約0.01より大きい。他の実施態様においては、窒素を含有する吸収性組成物及び/又はコーティング材料中の「[窒素のモル数]/[Si化合物の重量(ppm)]」の比が約3より大きい。さらに他の実施態様においては、窒素を含有する吸収性組成物及び/又はコーティング材料中の「[窒素のモル数]/[Si化合物の重量(ppm)]」の比が約4より大きい。最適比は、コーティング材料/コーティング組成物の当業者による幾つかの特性の評価(例えば、材料/組成物中に存在する有機成分の量、材料/組成物中に存在する架橋の程度、及び材料/組成物のpH)に依存する。しかしながら、理解しておかねばならないことは、この比が、窒素含有組成物に関して従来説明されている他のいかなる材料/組成物特性よりも強く、リソグラフィー特性とフィルム緻密化特性に影響を及ぼす、という点である。さらに理解しておかねばならないことは、存在する有機成分の量、存在する架橋の程度、及び/又は材料/組成物のpHに従って適切なモル/重量比を認めることができ、これを使用して、本発明において意図する吸収性組成物及び/又はコーティング材料を得ることができる、という点である。前述したように、留意すべきことは、少なくとも1種の接着促進剤も、架橋剤または触媒として機能し得る、という点である。
本発明に係る接着促進剤はさらに、少なくとも二官能価を有する化合物を含んでよく、ここで二官能価は、同一であっても、異なっていてもよく、第1の官能価と第2の官能価の少なくとも一方が、ケイ素含有基;窒素含有基;炭素−酸素結合を含有する基;ヒドロキシル基;及び炭素−炭素二重結合を含有する基;からなる群から選択される。本明細書中に使用される「少なくとも二官能価を有する化合物」という語句は、相互作用もしくは反応することができる、又は下記のように結合を形成することができる、少なくとも2つの官能基を有する任意の化合物を意味している。官能基は、付加反応、求核置換反応、求核脱離反応、求電子置換反応、求電子脱離反応、及びラジカル反応等を含めた多くの様式で反応する。さらに代替的な反応としては、非共有結合(例えば、ファンデルワールス結合、静電結合、イオン結合、及び水素結合)の形成などがある。
少なくとも1種の接着促進剤の幾つかの実施態様においては、第1の官能価と第2の官能価の少なくとも一方が、ケイ素含有基;窒素含有基;炭素−酸素結合を含有する基;ヒドロキシル基;及び炭素−炭素二重結合を含有する基;から選択されることが好ましい。ケイ素含有基は、Si−H、Si−O、及びSi−Nから選択されることが好ましく;窒素含有基は、C−NH、第二アミン基、第三アミン基、イミン基、アミド基、及びイミド基から選択されることが好ましく;炭素−酸素結合を含有する基は、=CO、カルボニル基(例えば、ケトンやアルデヒド)、エステル基、−COOH基、1〜5個の炭素原子を有するアルコキシル基、エーテル基、グリシジルエーテル基、及びエポキシ基から選択されることが好ましく;ヒドロキシル基はフェノール基であることが好ましく;そして炭素−炭素二重結合を含有する基は、アリル基とビニル基から選択されることが好ましい。半導体用途の場合、より好ましい官能基としては、ケイ素含有基、炭素−酸素結合を含有する基、ヒドロキシル基、及びビニル基がある。
本発明の接着促進剤はさらに、フェノール樹脂、ノボラック樹脂〔例えば、CRJ−406やHRJ−11040(どちらもシェネクタディ・インターナショナル社から入手可能)〕、有機アクリレート樹脂、及び/又はスチレン樹脂をさらに含む、有機樹脂系の物質を含んでよい。別の接着促進剤は、ポリジメチルシロキサン物質、エトキシ基含有シランモノマー、ヒドロキシ基含有シランモノマー、ビニル基含有シランモノマー、アクリレート化シランモノマー、又は水素化シリルを含んでよい。
ケイ素含有基を有する本発明の接着促進剤の例としては、(R14(R15Si(R16(R17(式I)で示されるシランが挙げられ、ここでR14、R15、R16、及びR17は、それぞれ独立して、水素、ヒドロキシル、不飽和アルキル、飽和アルキル、置換アルキル、又は非置換アルキルであり;ここで置換基は、アミノ基、エトキシ基、飽和アルコキシル基、不飽和アルコキシル基、不飽和カルボン酸基、飽和カルボン酸基、又はアリール基であり;R14、R15、R16、及びR17の少なくとも2つが、水素、ヒドロキシル基、飽和アルコキシル基、不飽和アルコキシル基、不飽和アルキル基、又は不飽和カルボン酸基であり;そしてk+l+m+n≦4である。例としては、HC=CHSi(CHHやHC=CHSi(R18〔式中、R18は、CHO、CO、AcO、HC=CH、又はHC=C(CH)O−である(R18=アルコキシ基、アセトキシ基)〕等のビニルシランやビニルフェニルメチルシラン;HC=CHCH−Si(OCやHC=CHCH−Si(H)(OCHのアリルシラン;(3−グリシドキシプロピル)メチルジエトキシシランや(3−グリシドキシプロピル)トリメトキシシラン等のグリシドキシプロピルシラン;HC=(CH)COO(CH−Si(OR19(式中、R19はアルキルであって、好ましくはメチル又はエチルである);並びに、HN(CHSi(OCHCH、HN(CHSi(OH)、及びHN(CHOC(CHCH=CHSi(OCHを含むアミノプロピルシラン誘導体;などがある。上記のシランは、ゲレスト社から市販されている。
炭素−酸素結合を含有する基を有する本発明の接着促進剤の例としては、トリクエスト社から市販の1,1,1−トリス(ヒドロキシフェニル)エタントリグリシジルエーテル(これに限定されない)を含むグリシジルエーテルが挙げられる。炭素−酸素結合を含有する基を有する好ましい接着促進剤の例としては、少なくとも1つのカルボン酸基を含有する不飽和カルボン酸のエステルが挙げられる。例えば、三官能メタクリレートエステル、三官能アクリレートエステル、トリメチロールプロパントリアクリレート、ジペンタエリスリトールペンタアクリレート、及びグリシジルメタクリレートなどがある。上記の接着促進剤は、サルトマー社から市販されている。
ビニル基を有する本発明の接着促進剤は、環状基が、ピリジン基、芳香族基、もしくはヘテロ芳香族基である場合のビニル環状ピリジンオリゴマー又はビニル環状ピリジンポリマーである。有用な例としては、レイリー社から市販されている2−ビニルピリジンや4−ビニルピリジン(これらに限定されない);ビニル芳香族化合物;並びに、ビニルキノリン、ビニルカルバゾール、ビニルイミダゾール、及びビニルオキサゾール(これらに限定されない)を含むビニルヘテロ芳香族化合物;などがある。
ケイ素含有基を有する好ましい接着促進剤の例としては、1999年12月23日付け出願の、所有者共通の係属中の米国特許出願第09/471299号(該特許出願の全開示内容は参照により本明細書に援用される)に開示のポリカルボシランが挙げられる。ポリカルボシランは、式II
Figure 2010519596
で示される化合物であり、ここでR20、R26、及びR29は、それぞれ独立して、置換もしくは非置換のアルキレン、シクロアルキレン、ビニレン、アリレン、又はアリーレンであり;R21、R22、R23、R24、R27、及びR28は、それぞれ独立して、水素原子、又はアルキル、アルキレン、ビニル、シクロアルキル、アリル、もしくはアリールを含む有機基であって、直鎖状であっても、分岐鎖状であってもよく;R25は、有機ケイ素、シラニル、シロキシル、又は有機基であり;そしてp、q、r、及びsは、[4≦p+q+r+s≦100,000]という条件を満たし、qとrとsは、合計して又は独立してゼロであってよい。有機基は、最大で18個の炭素原子を含有してよいが、一般的には約1〜約10個の炭素原子を含有する。有用なアルキル基の例としては、−CH−と−(CH−(式中、t>1である)がある。
本発明のポリカルボシランは、R20が置換もしくは非置換のアルキレン又はフェニルであり、R21基が水素原子であり、ポリカルボシラン鎖中にペンダント基が存在しない(すなわち、q、r、及びsが全てゼロである)、という場合のジヒドリドポリカルボシランを含む。ポリカルボシランの別の好ましい群は、式IIのR21基、R22基、R23基、R24基、R25基、及びR28基が、2〜10個の炭素原子を有する、置換された若しくは非置換のアルケニル基である、という場合のポリカルボシランである。アルケニル基は、エテニル基、プロペニル基、アリル基、ブテニル基、又は最大で10個の炭素原子を有する他のあらゆる不飽和有機主鎖基であってよい。アルケニル基は、本質的にジエニルであってよく、アルキル又は不飽和の有機ポリマー主鎖上に付加もしくは置換された不飽和アルケニル基を含む。好ましいポリカルボシランの例としては、ジヒドリド置換もしくはアルケニル置換されたポリカルボシラン(例えば、ポリジヒドリドカルボシラン、ポリアリルヒドリドカルボシラン、及びポリジヒドリドカルボシランとポリアリルヒドリドカルボシランとのランダムコポリマー)がある。
本発明の別のポリカルボシランにおいては、式IIのR21基が水素原子であり、R21がメチレンであり、ペンダント基のq、r、及びsがゼロである。本発明の別の好ましいポリカルボシラン化合物は、R21とR27が水素であり、R20とR29がメチレンであり、R28がアルケニルであり、そしてペンダント基のqとrがゼロである、という場合の式IIのポリカルボシランである。ポリカルボシランは、よく知られている先行技術の方法によって製造することもできるし、あるいはポリカルボシラン組成物の製造によって供給することもできる。最も好ましいポリカルボシランにおいては、式IIのR21基が水素原子であり;R24が−CH−であり;そしてq、r、及びsがゼロであって、pが5〜25である。これらのポリカルボシランは、スターファイヤー・システムズ社から入手することができる。
式IIにおいて観察できるように、使用されるポリカルボシランは、r>0であるときは、酸化基をシロキシル基の形で含有してよい。したがって、r>0であるとき、R25は、有機ケイ素、シラニル、シロキシル、又は有機基を表わす。理解しておかねばならないことは、ポリカルボシランの酸化形(r>0)は、本発明において極めて効率的に作用し、充分に本発明の範囲内に含まれる、という点である。同様に明らかなことであるが、rは、p、q、及びsとは独立にゼロであってよく、唯一の条件は、式IIのポリカルボシランの基p、q、r、及びsが、[4<p+q+r+s<100,000]という条件を満たさなければならないということであり、qとrは、合計して又は独立してゼロであってよい。
ポリカルボシランは、現在多くのメーカーから市販されている出発物質から、従来の重合法を使用することによって製造することができる。ポリカルボシランは、例えば、ポリシランとポリボロシロキサンとの混合物を不活性雰囲気中で加熱し、これにより対応するポリマーを生成させることによって、あるいはポリシランと低分子量のカルボシランとの混合物を不活性雰囲気中にて加熱し、これにより対応するポリマーを生成させることによって、あるいはポリシランと低分子量のカルボシランとの混合物を不活性雰囲気中にて触媒(例えばポリボロジフェニルシロキサン)の存在下で加熱し、これにより対応するポリマーを生成させることによって、出発物質である一般的な有機シラン化合物又はポリシランから合成することができる。ポリカルボシランはさらに、米国特許第5,153,295号(該特許の全開示内容は参照により本明細書に援用される)に記載のグリニャール反応によって合成することもできる。
ヒドロキシル基を有する好ましい接着促進剤の例としては、式III:−[R30(OH)(R31)]−(式中、R30は、置換された若しくは非置換のアルキレン、シクロアルキレン、ビニル、アリル、又はアリールであり;R31は、アルキル、アルキレン、ビニレン、シクロアルキレン、アリレン、又はアリールであり;u=3〜100である)で示されるフェノールホルムアルデヒド樹脂又はフェノールホルムアルデヒドオリゴマーが挙げられる。有用なアルキル基の例としては、−CH−と−(CH)v−(式中、v>1)がある。特に有用なフェノールホルムアルデヒド樹脂オリゴマーは1500の分子量を有し、シェネクタディ・インターナショナル社から市販されている。
触媒
前述したように、幾つかの本発明の組成物は、少なくとも1種の縮合触媒と少なくとも1種の酸触媒を含む。本明細書中に使用される「触媒」という用語は、化学反応に対する活性化エネルギーを低下させることによって化学反応の速度に影響を及ぼすあらゆる物質を意味している。場合によっては、触媒は、それ自体が消費されることなく、あるいは化学変化を受けることなく、化学反応の活性化エネルギーを低下させる。
縮合触媒は、これらの実施態様において架橋剤として作用する。本明細書中に使用される「架橋」という用語は、少なくとも2つの分子あるいは長い分子の2つの部分が、化学的相互作用によって連結される、というプロセスを表わしている。このような相互作用は、共有結合の形成、水素結合の形成、疎水性相互作用、親水性相互作用、イオン相互作用、及び静電相互作用を含めた多くの異なった様式で生じる。さらに、分子相互作用は、ある分子とそれ自体の間、あるいは2つ以上の分子間における、少なくとも一時的な物理的結合であることを特徴とする。
縮合触媒は一般に、ある特定の温度(例えば高温)において活性化される。したがって、ある温度(例えば室温)では、本発明の組成物は、低分子量を保持し、したがって水及び/又は基板のトポグラフィーに対する良好な平坦化能力を発揮することができる。温度が上昇すると(例えば50℃より高く)、縮合触媒がSi−OHの縮合反応を触媒し、この結果、構造がより緻密になり、場合によっては全体としてのフォトリソグラフィー性能が向上する。
本発明の縮合触媒はさらに、安定なシリケート溶液を保持するのに役立つ触媒を含む。金属イオンを含有しないこの触媒は、オニウム化合物及び求核試剤からなる群から選択される。この触媒は、例えば、アンモニウム化合物、アミン、ホスホニウム化合物、又はホスフィン化合物であってよい。このような化合物の例としては、酢酸テトラメチルアンモニウム(TMAA)、水酸化テトラメチルアンモニウム(TMAH)、酢酸テトラブチルアンモニウム(TBAA)、酢酸セチルトリメチルアンモニウム(CTAA)、硝酸テトラメチルアンモニウム(TMAN)、トリフェニルアミン、トリオクチルアミン、トリドデシルアミン、トリエタノールアミン、酢酸テトラメチルホスホニウム、水酸化テトラメチルホスホニウム、トリフェニルホスフィン、トリメチルホスフィン、トリオクチルホスフィン、及びこれらの組み合わせ物を含めたテトラ有機アンモニウム化合物とテトラ有機ホスホニウム化合物があるが、これらに限定されない。
幾つかの実施態様においては、TMANが使用され、TMANは、TMANを水中に溶解することによって、あるいは硝酸を使用してTMAA又はTMAHをTMANに転化することによって得ることができる。組成物はさらに、該組成物の架橋を促進する非金属の求核性添加剤を含んでよい。こうした添加剤としては、ジメチルスルホン、ジメチルホルムアミド、ヘキサメチルリン酸トリアミド(HMTP)、アミン、及びこれらの組み合わせがある。幾つかの有用な架橋剤の例が、所有者共通の係属中のPCT出願:PCT/US02/15256(公開番号WO03/088344)(該特許出願の全開示内容は参照により本明細書に援用される)に開示されている。幾つかの実施態様においては、TMANは、窒素/酸素RIEプラズマに対する堅牢性を改善すべく架橋密度を増大させるのに、及びフォトレジスト接着のためのアミン源として使用される。
他の本発明の実施態様では、少なくとも1種の酸触媒も加えることができる。本発明の酸触媒としては、HNO、HCl、乳酸、酢酸、シュウ酸、コハク酸、マレイン酸、及びこれらの組み合わせがある。少なくとも1種の酸触媒は、組成物の安定性と保存寿命を増大させるとともに、選定された任意のレジスト材料(365nm、248nm、193nm、及び157nm付近に吸収ピークを有する材料を含む)に対する最終物質の相容性を有するる(又は相溶性が改善される)よう最終物質のpHを「最適化する」又は調整するために組成物に加えられる。本発明の酸触媒はさらに、2001年11月15日付け出願の、所有者共通のPCT出願:PCT/US01/45306(該特許出願の全開示内容は参照により本明細書に援用される)に記載の触媒であってよい。幾つかの実施態様では、還流反応下で組成物中に硝酸が加えられ、別の実施態様でいては、組成物の保存寿命を改善すべく、縮合触媒を加えた後にpHを調整するために、硝酸を2回加える。
溶媒
前述したように、少なくとも1種の溶媒を組成物に加えることができる。溶媒は、コーティング組成物の成分と混合する溶媒、あるいはコーティング組成物の成分を溶媒和する溶媒が必要とする特性以外に、極性及び/又は官能基に基づいて、特定のコーティング組成物に対して具体的に選択することができる。代表的な溶媒は、本発明に使用されるべき非無機物質及び吸収性化合物と溶媒和することができる溶媒であり、したがってこれらの溶媒は、コーティング組成物、コーティング材料、及びコーティングフィルムとして使用することができる。本発明の溶媒としては、有機分子、有機金属分子、及び無機分子の、所望の温度で蒸発除去される、任意の単独物質あるいは任意の適切な混合物がある。溶媒はさらに、極性化合物及び無極性化合物の、任意の単独物質あるいは任意の適切な混合物を含んでよい。幾つかの実施態様においては、溶媒は、水、エタノール、プロパノール、アセトン、トルエン、エーテル、シクロヘキサノン、ブチロラクトン、メチルエチルケトン、メチルイソブチルケトン、N−メチルピロリドン、ポリエチレングリコールメチルエーテル、メシチレン、乳酸エチル、PGMEA、アニソール、及びポリエーテル系列の溶媒〔例えば、カルビトール(ヒドロキシ基、アルコキシ基、又はカルボキシ基でキャップされたエチレングリコールエーテルの系列を構成する)や類似のプロピレングリコールエーテル〕を含む。
幾つかの実施態様においては、反射防止組成物から形成されるコーティング又はフィルムの架橋を増加させるために、少なくとも1種の溶媒のほかに水を加える。したがって幾つかの実施態様では、水は単なる溶媒として機能するのではなくて(あるいは全く溶媒として機能せずに)、架橋剤として機能してよい。実施例に記載のように、水は、組成物全体の約10重量%までの量で加える。別の実施態様においては、水は、組成物全体の約8重量%までの量で加える。さらに別の実施態様においては、組成物全体の約5重量%までの量で加える。さらに別の実施態様においては、組成物全体の約3重量%までの量で加える。組成物に水を加える実施態様においては、架橋密度が増加し、窒素/酸素RIEプラズマに対する堅牢性が改善される。
溶媒と溶媒混合物は、約99.5重量%未満の量で溶液中に存在してよい。幾つかの実施態様においては、溶媒もしくは溶媒混合物は、約30重量%〜約99.5重量%の量で溶液中に存在してよい。
本発明において使用される溶媒は、不純物を任意の適切なレベルで含んでよい(例えば、約1ppm未満、約100ppb未満、約10ppb未満、約1ppb未満、約100ppt未満、約10ppt未満、そして場合によっては約1ppt未満)。これらの本発明のアプリケーションにおいて使用するのに適した不純物レベルを有する溶媒は購入することもできるし、あるいは不純物を除去するために、そして約10ppb未満、約1ppb未満、約100ppt未満、又はフォトリソグラフィーとエッチングの分野でより望ましくなるより低いレベルに達するように、さらに精製の必要があり得る。
製造方法
本明細書において意図する組成物及び/又はコーティング材料を製造する1つの本発明の方法は、少なくとも1種の高比率無機化合物、少なくとも1種の吸収性化合物、少なくとも1種の縮合触媒、少なくとも1種の酸触媒、酸/水混合物(例えば、硝酸/水混合物)、及び反応混合物を生成させるための少なくとも1種の溶媒;並びに、前記反応混合物を約40℃以上の温度に加熱するか、又は前記反応混合物を還流して反射防止組成物を得ること;を含む。次いで、生成した吸収性組成物を少なくとも1種の溶媒で希釈して、種々の厚さのフィルムを形成するコーティング溶液を得る。
エタノール、PGMEA、TEOS、ビニルトリエトキシシラン(VTEOS)、及びPTEOSを、水/0.1N硝酸混合物及びブタノールとともに、ガラス反応器中に個別に加える。PTEOS、VTEOS、及びTEOSが溶液中で重合し、ポリマー/溶液混合物を別の容器にポンプで送り込み、この容器中にPGMEA、TMAN、及び5N硝酸(それぞれを個別に加える)で希釈する。ニートのポリマーは固体であるが、このポリマーは、溶液状態で製造、使用、及び/又は販売され、固体ポリマーの状態でさらされることはない。溶液中のポリマーの量は、一般には1.4〜5.1重量%である。最終溶液が濾過ユニット(これは任意的である)を介してポンプ送りされ、他のポリマーの製造における中間体としてその場で使用されるか、あるいは商業販売用に貯蔵/包装される。図2と図3は、本発明の方法と反応器の配置を示す。図2においては、エタノール、PGMEA、TEOS、VTEOS、PTEOS、水/0.1N硝酸、及びブタノールを、水と0.1N硝酸を一緒に加えながら、ガラス反応器中に個別に加える(工程210の一部として)。工程220においては、PTEOS、VTEOS、及びTEOSの重合の後に、ポリマー(溶液状態である)を別の容器にポンプで送り、PGMEA、TMAN、及び5N硝酸で希釈する。PGMEA、TMAN、及び5N硝酸は、この順序で個別に加える。工程230においては、ニートのポリマーは固体であるが、このポリマーは、溶液状態で製造、使用、及び/又は販売され、固体ポリマーの状態でさらされることはない。溶液中のポリマーの量は、一般には1.4〜5.1重量%である。工程240においては、最終溶液が濾過ユニット(これは任意的なもの)を介してポンプ送りされ、他のポリマーの製造における中間体としてその場で使用されるか、あるいは商業販売用に貯蔵/包装される。図3は、第1の組の成分が315を介して反応器320に加えられ、攪拌機322で攪拌される、という反応器の配置300を示す。反応器320を加熱する。反応混合物を、ポンプ330を介して希釈容器340中に340中にポンプ移送し、そこで第2の組の成分350を、355を介して容器340に加える。攪拌機342を使用して混合物を攪拌し、次いで第2のポンプ360を介して濾過ユニット370を通してポンプ移送して、貯蔵されるか、その場で使用されるか、又は商品流通用に包装される。表2は、本明細書中に記載の組成物において使用することのできる、本発明での成分の量を示す。
Figure 2010519596
アプリケーション
本明細書中に開示のコーティング材料とコーティング溶液は、一般には、三層アプリケーション、三層構造物、及び/又は三層パターニングプロセスにおいて適用可能であると考えられる。本明細書では、有機基層(第1の層)、反射防止組成物、及び/又は本明細書にて意図するフィルム(第2の層)、並びに、互いに結合したフォトレジスト材料(第3の層)を含む三層構造物も意図されている。本発明で意図されているコーティング、組成物、及び溶液を、特定の製造プロセスにしたがって(一般には、従来のスピンオン付着法、蒸着、又は化学蒸着によって)種々の基板及び/又は表面に施して、犠牲層、層状材料、半導体プロセシングにおいて使用される層、又は電子部品において使用される層を形成することができる。これらの技法は、無機コーティングを得るためのディスペンススピン(a dispense spin)、シックネススピン(a thickness spin)、及び熱ベーキング工程(thermal bake steps)を含む。代表的な方法は、1000〜4000rpmでの約20秒間のシックネススピン、及び80℃〜300℃の温度での1〜3回(それぞれ約1分)のベーキング工程を含む。本発明の無機コーティングは、約1.3〜約2.0の屈折率と約0.03より大きい減衰係数を示す。
本発明の基板は、実質的に固体の望ましいあらゆる材料を含んでよい。特に望ましい基板層は、フィルム、ガラス、セラミック、プラスチック、金属もしくは被覆金属、又は複合材料を含む。幾つかの実施態様においては、基板は、ヒ化ケイ素もしくはヒ化ゲルマニウムのダイ表面またはウエハー表面;銅、銀、ニッケル、もしくは金をメッキしたリードフレームにおいて見られるようなパッケージング表面;回路の基板やパッケージ相互接続トレースにおいて見られるような銅表面;バイア壁(via-wall)もしくはより強固な界面(“銅”は、裸の銅及びその酸化物を考慮に入れている);ポリイミド系の柔軟なパッケージ、リード線、もしくは他の合金ハンダボール表面において見られるようなポリマー系パッケージングまたは基板界面;ガラス;及びポリマー(例えばポリイミド);を含む。別の実施態様においては、基板は、ケイ素、銅、ガラス及び他のポリマー等の、パッケージング業界や回路基板業界において一般的な材料を含む。さらに別の実施態様においては、基板は、「フロント・エンド・オブ・ライン」(FEOL)パッケージング〔例えば、ゲート・ポリ・パターニング〕に対して、及び「バック・エンド・オブ・ライン」(BEOL)パッケージング〔例えば、バイアもしくは金属相互接続パターニング〕に対して一般的に使用される材料を含む。
本発明のコーティング材料、コーティング溶液、及びフィルムは、種々の電子デバイスやマイクロ電子デバイス(特に半導体集積回路)、及び電子部品や半導体部品用の種々の層状材料(ハードマスク層、誘電体層、エッチストップ層、及び埋め込みエッチストップ層を含む)の製造において使用することができる。これらのコーティング材料、コーティング溶液、及びフィルムは、層状材料や層状デバイスに対して使用される他の物質(例えば、アダマンタン系化合物、ディアマンタン系化合物、ケイ素コア化合物、ノボラック物質、並びに、誘電体、有機誘電体、及びナノポーラス誘電体)との相容性がかなり高い。本発明のコーティング材料、コーティング溶液、及びフィルムとの相容性がかなり高い化合物が、2001年10月17日付け出願のPCT出願PCT/US01/32569;2001年12月31日付け出願のPCT出願PCT/US01/50812;米国特許出願第09/538276号;米国特許出願第09/544504号;米国特許出願第09/587851号;米国特許第6,214,746号;米国特許第6,171,687号;米国特許第6,172,128号;米国特許第6,156,812号;2002年1月15日付け出願の米国特許出願第60/350187号;2002年1月8日付け出願の米国特許出願第60/347195号;及び米国特許出願5,858,547号に開示されている(これらの特許文献の全開示内容は参照により本明細書に援用される)。
本明細書中に記載の化合物、コーティング、フィルム、及び材料等は、電子部品及び/又は半導体部品作製するのに使用することができる。本明細書中に使用される「電子部品」という用語はさらに、所望の電気的作用を得るために回路に使用できるあらゆるデバイス又は部品を意味している。本発明において意図されている電子部品は、能動部品と受動部品とに分類することを含めて、多くの仕方で分類することができる。能動部品は、ある動的な機能(例えば、増幅制御、振動制御、又は信号制御)を果たすことができる電子部品であって、通常はその動作のために電源を必要とする。例としては、バイポーラ・トランジスタ、電解効果トランジスタ、及び集積回路などが挙げられる。受動部品は、動作が静的であるような、すなわち、通常は増幅や振動を与えることのできない、そして通常はその特徴的な動作のための電源を必要としない電子部品である。例としては、従来の抵抗器、コンデンサ、インダクター、ダイオード、整流器、及びヒューズなどが挙げられる。
本発明において意図されている電子部品はさらに、導体、半導体、又は絶縁体としても分類することができる。導体は、電流の場合のように、電荷担体(例えば電子)の原子間での移動を容易にする部品である。導体部品の例としては、金属を含む回路トレースやバイアスが挙げられる。絶縁体は、その機能が実質的に、電流の伝導に対して非常に大きな抵抗を示し得るという材料特性に関係している部品であるが、半導体は、導体と絶縁体との間の固有の抵抗率を有する、電流を伝導できるという材料特性能に実質的に関係した機能を示す部品である。半導体部品の例としては、トランジスタ、ダイオード、ある種のレーザー、整流器、サイリスタ、及びフォトセンサー等がある。
本発明において意図されている電子部品はさらに、電源または電力消費物品としても分類することができる。電源部品は、一般には他の部品に電力を供給するために使用され、バッテリー、コンデンサ、コイル、及び燃料電池等がある。電力消費部品としては、抵抗器、トランジスタ、集積回路(IC)等がある。
本発明において意図されている更に別の電子部品は、個別状態であるか、または集積状態であるかで分類することもできる。個別部品は、回路上のある場所にある特定の電気特性をもたらすデバイスである。例としては、抵抗器、コンデンサ、ダイオード、及びトランジスタ等がある。集積部品は、回路上のある場所に複数の電気特性をもたらすことのできる、部品の組み合わせ物である。例としては、複数機能又は複雑な機能(例えば論理)を果たすように、複数の部品と接続トレース(connecting traces)が組み合わされた集積回路がある。
実施例1: 反射防止組成物の製造(UVAS−A配合物)
480gのエタノールと240gのPGMEAの混合溶媒に、266.62gのTEOS、45.67gのVTEOS、及び19.23gのPTEOSのモノマーを個別に加えた。攪拌しながら、9.04gの0.1N硝酸と151.36gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却し、70.72gのブタノールを加えて、室温で一晩攪拌した。溶媒中のポリマーを1884gのPGMEAで希釈し、次いで16.5gの1%TMAN(縮合触媒)と1.77gの5N硝酸を加えた。1500rpmのスピン速度で測定される標的最終配合物の粘稠性を、希釈工程で加えるPGMEAの量により調整した。この溶液を濾過して最終生成物を得た。最終溶液を、濾過ユニット(これは任意的である)を介してポンプで移送し、他のポリマーの製造における中間体としてその場で使用、あるいは商業販売用に貯蔵/包装した。
Mnが約1300amuでMwが約2000amuの分子量を有するポリマーが得られた。該ポリマーの分子量は、2690セパレーションズ・モジュール、2410RI検出器、カラムオーブン、及び高架橋度の球状ポリスチレン/ジビニルベンゼンマトリックスを含有する、3種のPLゲル(ポリマー・ラボラトリーズ社から市販)が一組になった個別の細孔径カラム(100nm、50nm、及び10nm)、を装備したウォーターズ・アライアンスGPCシステムによって分析した(移動相はTHF、流量は1.0ml/分)。
本溶液は、3.66%の固体、4.29%の水、27.19%のエタノール、2.03%のブタノール、及び66.19%のPGMEAからなり、この分析は、レステック(Restek)RTX−200を充填したカラム(320μmID×60m×1μmフィルム厚さ)を装備したHP6890GCシステムにより、熱伝導率検出器(TCD)を使用して(初期40℃にて20℃/分の上昇速度で300℃まで)行った。生成物をスピンコーティングし、80nmの厚さになるようベーキングを行った〔193nmでのnは1.70、193nmでのkは0.15、1200n&kアナライザーによってチェック;湿潤エッチング速度 500:1のDHFを使用して17A/分;乾燥エッチング速度 フルオロカーボンエッチングプロセスの酸化物レシピを使用して3390A/分(フルオロカーボンエッチング用レシピ:圧力=45mT、電力=1500W、エッチャントガスの流量=C/CO/Ar/O=10/50/200/5(sccm));及び、プラズマエッチングツールTELユニティ2にて、N+Oエッチング用レシピ(N+Oレシピ:圧力=20mT、電力=1000W、O/N:30sccm/120sccm、時間=30秒)を使用して150A/分〕。フルオロカーボンエッチングとN+Oエッチングは、TELユニティ2エッチングツールを使用して行われる。
表3は、実施例中の成分、及び前述した表2中の成分に関する生成物の情報を示す。
Figure 2010519596
多層パターニングシステム(デュアルBARC)に対する193nmでのシミュレート模擬実験反射率データ(%R)を図4に示す。このデータは、ノボラック樹脂を有機基層として含む三層部材から収集した。本実施態様における三層部材は、シリコン層450;約250nmの厚さを有する、ノボラックベースのポリマー層410(例えば、ハネウェル・インタナショナル社から市販のACCUFLO);種々の厚さ(100Å〜1000Å)を有する、本発明のUVASコーティング材料420;及びArFフォトレジスト430;を含む。193nmでの光学特性は、UVAS(n=1.7、及びk=1.4);ノボラック(n=1.45、及びk=0.6);フォトレジスト(n=1.7、及びkがゼロ);であることが分かった。nとkのシミュレーションは、垂直入射光のみであって、光は分極していない。このシミュレーションでは、ArFフォトレジストの厚さが無限大であると仮定している。
本発明の開示のために理解しておかねばならないことは、ここで使用されているnとkの測定法は厳密なものではないという点である。本発明の開示の目的に対して、適切な傾向が観察されているので結果は適切である。2つの異なった厚さ値を横切る形で二重反射率フィッティング・アルゴリズムと呼ばれる手法(この手法は、より長期にわたるプロセスとなる)を使用した、厚さに関する詳細な検討が必要とされる。本明細書の開示内容中に記載の結果は全て、単一反射率アルゴリズムと呼ばれる手法(この手法は、本質的にそれほど厳密ではない)から得たものである。単一反射率アルゴリズムの場合は、n値とk値の両方について最大で約0.04までの変動が推測される。
実施例2: 本発明の組成物に対する保存寿命の検討
実施例1からの生成物を、ソリューションQC〔分子量に関してはGPCによって、液体成分に関してはGCによって、そして粒子に関してはリキッド・パーティカル・カウンターによって〕とフィルムQC〔厚さに関してはサーモウェーブによって、そして湿潤エッチング速度に関してはDHFによって〕の標準法を使用してチェックした。生成物のQCデータは、エージングゼロ日目に検討した。次いで材料を、未開封の新しいボトル中にて室温又は5℃でエージングした。エージング日数によるQC項目の変化を観察するために、15日目、30日目等に同じQC手順を使用してエージング材料をチェックした。
図5〜7(図5はA45であり、図6〜7はA80である)は、本発明の組成物に対する保存寿命の検討から得たデータを示す。参考までに、UVAS A45は、1500rpmのスピン−オン速度で付着させた厚さ45nmの、実施例1と表4〜6に記載のUVAS−A配合物からのものであり、UVAS A80は、1500rpmのスピン−オン速度で付着させた厚さ80nmの、実施例1と表7〜8に記載のUVAS−A配合物からのものである。
実施例3: 193nmでのnとkを減少させる
30gのエタノールと15gのPGMEAとの混合溶媒に、17.18gのTEOSモノマー、2.85gのVTEOSモノマー、及び0.61gのPTEOSモノマーを個別に加えた。攪拌しながら、0.57gの0.1N硝酸と6.76gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却し、4.42gのブタノールを加え、本混合物を室温で一晩攪拌した。得られたポリマーを、サンプル1の場合と同じGPC法によって分析し、Mn=996amu及びMw=1359amuの分子量を得た。
5.21gの上記溶液を16.15gのPGMEAで希釈し、材料をスピンコーティングし、フィルムをベーキングした。フィルムの厚さは78nmであることが測定され、193nmでのnは1.6632、193nmでのkは0.0824であった(実施例1の場合と同一のn&kツールを使用してチェックした)。
実施例4: ケイ素%を35重量%に減少させる
480gのエタノールと240gのPGMEAとの混合溶媒に、89.99gのTEOSモノマー、197.92gのVTEOSモノマー、及び30.77gのPTEOSモノマーを個別に加えた。攪拌しながら、9.04gの0.1N硝酸と151.36gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。70.72gのブタノールを加え、本混合物を室温で一晩攪拌した。得られたポリマーを、前述の実施例に記載したのと同じGPC法によって分析し、Mn=745amu及びMw=929amuの分子量を得た。
743.6gの上記溶液を1115.4gのPGMEAで希釈し、9.33gの1%TMAN縮合触媒と1.03gの5N硝酸を加えた。希釈した溶液を濾過して最終生成物を採集した。スピンコーティングとベーキング後にフィルムを測定し、厚さが70nmであることが分かった。湿潤エッチング速度は、DHF500:1にて1A/分であった。プラズマエッチング速度は、他の実施例において使用したものと同じツールでは、酸化物レシピの場合が1394A/分、及びN+Oエッチング・レシピの場合が300A/分であった。
実施例5: 248nmと365nmで吸収するよう設計されたシステム
1リットルのフラスコ中に、297gの2−プロパノール、148gのアセトン、123gのTEOS、77gのMTEOS、60gの9−アントラセンカルボキシメチルトリエトキシシラン、0.6gの0.1M硝酸、及び72gの脱イオン水を混合した。該フラスコを4時間還流させた。この溶液に、115gのブタノール、488gの2−プロパノール、245gのアセトン、329gのエタノール、及び53gの脱イオン水を加えた。本溶液を濾過した。本溶液を分配し、次いで3000rpmで20秒スピンコーティングを行い、80℃と180℃でそれぞれ1分ベーキングを行った。最終的なポリマーの分子量は、Mw=1200amuであった。実施例1の場合と同一の手順を使用して光学特性を測定した。フィルムの厚さは1635Åであった。248nmにて、屈折率(n)は1.373、減衰係数(k)は0.268であった。さらに、TESACは365nmで吸収を示し、本実施例に記載の組み込みにて、365nmでのn値とk値はそれぞれ1.55及び0.06であった。248nmと365nmでの減衰係数は、加えるTESAC(9−アントラセンカルボキシメチルトリエトキシシラン)の量を減少/増大させることによって減少/増大させることができる。
実施例6: PTEOSを5F−PTEOSで置き換えることによってn値とk値を減少させる
30gのエタノールと15gのPGMEAとの混合溶媒に、17.23gのTEOSモノマー、2.85gのVTEOSモノマー、及び0.76gのペンタフルオロフェニルトリエトキシシラン(5F−PTEOS)モノマーを個別に加えた。攪拌しながら、0.57gの0.1N硝酸と6.76gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。4.42gのブタノールを加え、本混合物を室温で一晩攪拌した。得られたポリマーを、前述の実施例に記載したのと同一のGPCシステムによって分析し、Mn=984amu及びMw=1372amuの分子量を有することが分かった。
溶媒混合物中のポリマーを218gのPGMEAで希釈し、本溶液をスピニングし、フィルムをベーキングして53nmの実測厚さを得た(上記と同一のn&kツールによって、193nmでのnは1.61であり、193nmでのkは0.03であった)。
実施例7: PTEOS非含有(TEOS/VTEOSのみ)
30gのエタノールと15gのPGMEAとの混合溶媒に、16.66gのTEOSモノマーと3.81gのVTEOSモノマーのみを個別に加えた。攪拌しながら、0.57gの0.1N硝酸と6.76gの水との混合物を加え、反応混合物を81℃で4時間加熱還流してから冷却した。4.42gのブタノールを加え、本混合物を室温で一晩攪拌した。得られたポリマーを、上記と同じGPCシステムによって分析し、Mn=1021amu及びMw=1349amuの分子量を有することが分かった。
8.18gの上記溶液を13.9gのPGMEAで希釈した。希釈した溶液をスピンコーティングし、フィルムをベーキングして70nmの実測厚さを得た(上記と同一のn&kツールによって、193nmでのnは1.62であり、193nmでのkは0.03であった)。
実施例8: 水の含量を調整した透明システム(TEOSのみ)
本実施例においては、TEOSを使用するとともに、架橋密度を増大させるために高含量の水を組み込んだ。2つの意図する組成物(低い水含量と高い水含量)が得られ、2つの組成物の差異は水の使用量であった〔下記の表4(水含量の低い組成物)と表5(水含量の高い組成物)に示す〕。
Figure 2010519596
Figure 2010519596
水含量の低い組成物は下記のように調製した:
445.56gのIPA溶媒に243.425gのTEOSモノマー(又は特定の割合で別のモノマー)を加えた。攪拌しながら、5.599gの0.1N硝酸と66.86gの水との混合物を加え、反応混合物を50℃で4時間加熱還流してから冷却し、室温で一晩攪拌した。溶媒中のポリマーを、2284.332gのエタノール/2−ヘプタノン(70/30混合物)で希釈した。これにより低いpH(pH約2.5)の生成物が得られた。より高いpH(pH約4)の生成物の場合は、0.1056gのアミノプロピルTEOS(APTEOS)(塩基)を加えることによって製造した。溶液を濾過して最終生成物を得た。最終溶液を、濾過ユニット(これは任意的である)を介してポンプで移送し、他のポリマーの製造における中間体としてその場で使用、あるいは商業販売用に貯蔵/包装した。(GCによる分析結果:固体3.02%;水1.35%;エタノール60.38%;IPA14.08%;及び2−ヘプタノン21.18%)。この組成物に対してnとkを測定し、それぞれ1.50及び0であった。250℃でベーキングしたフィルムに関して、500:1 BOEに対する湿潤エッチング速度(WER)(Å/分)を測定し、3760Å/分であった。PTEOS酸化物の湿潤エッチング速度は30Å/分であった。前述したのと同一のGPCシステムによる分子量測定によれば、Mn=1303amu及びMw=1809amuであった。
水含量の高い組成物は下記のように調製した:
445.56gのIPA溶媒に243.425gのTEOSモノマー(又は特定の割合で別のモノマー)を加えた。攪拌しながら、5.599gの0.1N硝酸と120.348gの水との混合物を加え、反応混合物を50℃で4時間加熱還流してから冷却し、室温で一晩攪拌した。溶媒中のポリマーを、1214.248gのエタノール/2−ヘプタノン(70/30混合物)で希釈した。これにより低いpH(pH約2.5)の生成物が得られた。より高いpH(pH約4)の生成物の場合は、0.0912gのAPTEOS(塩基)を加えることによって製造した。溶液を濾過して最終生成物を得た。最終溶液を、濾過ユニット(これは任意的である)を介してポンプで移送し、別ポリマーの製造における中間体としてその場で使用、あるいは商業販売用に貯蔵/包装した。(GCによる分析結果:固体分4.07%;水4.39%;エタノール54.91%;IPA22.39%;及び2−ヘプタノン14.24%)。この組成物に対してnとkを測定し、それぞれ1.54及び0であった。250℃でベーキングしたフィルムに関して、500:1 BOEに対する湿潤エッチング速度(WER)(Å/分)を測定し、300Å/分であった。PTEOS酸化物の湿潤エッチング速度は30Å/分であった。前述したのと同一のGPCシステムによる分子量測定によれば、Mn=2050amu及びMw=3362amuであった。
本実施例においては、各成分は下記の供給メーカーから入手した:
Figure 2010519596
pHを約4に増大させるために、そして架橋密度をさらに高めるために、ATEOSを加えた。「より少ない」又は「より低い」含水量の組成物に対しては、438ppmのAPTEOSを加えた。「より多い」又は「より高い」含水量の組成物においては、375ppmのAPTEOSを加えた。APTEOSを全く加えていないバージョンを、表11において“pH2.5”と呼ぶ。シリケートのみの系では、フィルムが透明である(すなわちk=0)という点に留意する必要がある。表11に示すように、含水量がより多い組成物では、プラズマエッチング速度が大幅に減少した。PTEOS酸化物のプラズマエッチング速度は2.91Å/秒であった。このエッチングデータを得る上で使用されたエッチングのレシピは、20ミリトル、1000W、及びN/O=120/30sccmであった。
Figure 2010519596
実施例9: VTEOSを含有しない系(PTEOSとTEOSのみを含有)
600gのエタノールと300gのPGMEAとの混合溶媒に、395.77gのTEOSモノマーと24.04gのPTEOSモノマーを個別に加えた。攪拌しながら、11.3gの0.1N硝酸と189.2gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。88.4gのブタノールを加え、本混合物を室温で一晩攪拌した。得られたポリマーの分子量は、Mn=1828amu及びMw=3764amuであった(前述したのと同一のGPCシステムにより分析した)。
1635gのポリマー溶液を6865gのPGMEAで希釈し、次いで21.34gの1%TMAN(縮合触媒)と2.0gの5N硝酸を加えた。希釈した溶液を濾過して最終生成物を採取した。スピンコーティングとベーキングの後、フィルムの厚さは31nmであり、湿潤エッチング速度は、DHF 500:1にて63Å/分であった。
実施例10: 種々の重合触媒
480gのエタノールと240gのPGMEAとの混合溶媒に、266.62gのTEOSモノマー、45.67gのVTEOSモノマー、及び19.23gのPTEOSモノマー(あるいは特定の割合で別のモノマー)を個別に加えた。攪拌しながら、9.04gの0.1N硝酸と151.36gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。反応混合物に70.72gのブタノールを加え、本混合物を室温で一晩攪拌した。得られたポリマーの分子量は、Mn=1153amu及びMw=1802amuであった(前述したのと同一のGPCシステムにより分析した)。
A) 40gのポリマー溶液に、58.8gのPGMEA、触媒、0.46gの0.45%コリンヒドロキシド水溶液、及び0.5gの酢酸を加えて最終生成物を得た(前述したのと同一のGPCシステムによる分析では、ポリマーの分子量は、Mn=1073amu及びMw=1768amuであった)。本溶液を濾過し、スピンコーティングを行い、得られたフィルムをベーキングした。フィルムの厚さは73nmであり、上記と同じエッチングツールにて、湿潤エッチング速度は、DHF 500:1において82Å/分であり、プラズマエッチング速度は、N+Oエッチング・レシピを使用して203Å/分であった。
B) 40gのポリマー溶液に、58.8gのPGMEA、触媒、0.08gの2.5%ギ酸テトラメチルアンモニウム(TMAF)水溶液、及び0.5gの酢酸を加えて最終生成物を得た(前述したのと同一のGPCシステムによる分析では、ポリマーの分子量は、Mn=1112amu及びMw=1805amuであった)。本溶液を濾過し、スピンコーティングを行い、得られたフィルムをベーキングした。フィルムの厚さは74nmであり、上記と同じエッチングツールで、湿潤エッチング速度は、DHF 500:1において71Å/分であり、プラズマエッチング速度は、N+Oエッチング・レシピを使用して209Å/分であった。
C) 40gのポリマー溶液に、58.8gのPGMEA、触媒、0.21gの1%ベタイン水溶液、及び0.5gの酢酸を加えて最終生成物を得た(前述したのと同一のGPCシステムによる分析によれば、ポリマーの分子量は、Mn=1120amu及びMw=1789amuであった)。本溶液を濾過及びスピニングし、得られたフィルムをベーキングした。フィルムの厚さは74nmであり、上記と同一のエッチングツールで、湿潤エッチング速度は、DHF 500:1において85Å/分であり、プラズマエッチング速度は、N+Oエッチング・レシピを使用して215Å/分であった。
実施例11: PTEOSをベンジルトリエトキシシラン(Ben−TEOS)で置き換える
30gのエタノールと15gのPGMEAとの混合溶媒に、17.23gのTEOSモノマー、2.85gのVTEOSモノマー、及び0.59gのBen−TEOSモノマーを個別に加えた。攪拌しながら、0.57gの0.1N硝酸と6.76gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。4.42gのブタノールを加え、本混合物を室温で一晩攪拌した。前述したのと同一のGPCシステムによる分析では、得られたポリマーの分子量は、Mn=956amu及びMw=1386amuであった。溶媒混合物中のポリマーを123gのPGMEAで希釈し、本溶液をスピンコーティングし、フィルムをベーキングした。得られたフィルムの厚さは81nmであった(前述したのと同一のn&kツールによれば、193nmでのnは1.63、193nmでのkは0.08であった)。
実施例12: チオシアノプロピルトリエトキシシラン(NCS−TEOS)を導入することによってnとkを減少させる
30gのエタノールと15gのPGMEAとの混合溶媒に、16.46gのTEOSモノマー、2.85gのVTEOSモノマー、及び0.72gのPTEOSモノマーを、0.79gのNCS−TEOSとともに個別に加えた。攪拌しながら、0.57gの0.1N硝酸と6.76gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。4.42gのブタノールを加え、本混合物を室温で一晩攪拌した。前述したのと同一のGPCシステムによる分析では、得られたポリマーの分子量は、Mn=900amu及びMw=1300amuであった。溶媒混合物中のポリマーを123gのPGMEAで希釈し、本溶液をスピンコーティングし、フィルムをベーキングした。得られたフィルムの厚さは85nmであった(前述したのと同一のn&kツールによれば、193nmでのnは1.65、193nmでのkは0.09であった)。
実施例13: ジエチルホスホトエチルトリエトキシシラン(DEPE−TEOS)を使用することによってnとkを増大させる
30gのエタノールと15gのPGMEAとの混合溶媒に、16.25gのTEOSモノマー、2.85gのVTEOSモノマー、0.962gのPTEOSモノマー、及び0.99gのDEPE−TEOSモノマーを個別に加えた。攪拌しながら、0.57gの0.1N硝酸と6.76gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。4.42gのブタノールを加え、本混合物を室温で一晩攪拌した。前述したのと同一のGPCシステムによる分析では、得られたポリマーの分子量は、Mn=925amu及びMw=135amuであった。溶媒混合物中のポリマーを122gのPGMEAで希釈し、本溶液をスピンコーティングし、フィルムをベーキングした。得られたフィルムの厚さは85nmであった(前述したのと同一のn&kツールによれば、193nmでのnは1.60、193nmでのkは0.10であった)。
実施例14: TMAN含量の減少
60gのエタノールと30gのPGMEAとの混合溶媒に、33.33gのTEOSモノマー、5.71gのVTEOSモノマー、及び2.40gのPTEOSモノマーを個別に加えた。攪拌しながら、1.13gの0.1N硝酸と18.92gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。反応混合物に8.84gのブタノールを加え、本混合物を室温で一晩攪拌した。前述したのと同じGPCシステムによる分析によれば、得られたポリマーの分子量は、Mn=1121amu及びMw=1723amuであった。
オプション1:
17.94gのポリマー溶液に、26.10gのPGMEA、0.153gの1%TMAN水溶液、及び0.09gの1N硝酸を加えて最終生成物を得た。前述したのと同一のGPCシステムによる分析では、ポリマーの分子量は、Mn=1112amu及びMw=1717amuであった。本溶液を濾過し、シリコンウエハー上にスピンコーティングを行いフィルムを形成させた。このフィルムを250℃で90秒ベーキングして、82nmの厚さのフィルムを得た(193nmでのnは1.6608;193nmでのkは0.1310)。フィルムの500:1 DHFでの湿潤エッチング速度は12Å/分であった。フィルムのプラズマエッチング速度は、前述のフルオロカーボン・エッチングプロセスのエッチング・レシピを使用すると3127Å/分であった。
オプション2:
17.85gのポリマー溶液に、25.88gのPGMEA、0.076gの1%TMAN水溶液、及び0.04gの1N硝酸を加えて最終生成物を得た。前述したのと同一のGPCシステムによる分析では、ポリマーの分子量は、Mn=1112amu及びMw=1735amuであった。本溶液を濾過し、シリコンウエハー上にスピンコーティングを行い、フィルムを形成させた。このフィルムを250℃で90秒ベーキングして、84nmの厚さのフィルムを得た(193nmでのnは1.6665;193nmでのkは0.1460であった)。フィルムの500:1 DHFでの湿潤エッチング速度は10Å/分であった。フィルムのプラズマエッチング速度は、前述のフルオロカーボン・エッチングプロセスのエッチング・レシピを使用すると3114Å/分であった。
実施例15: 2種のアミン塩を含有する系(TMANとAPTEOS−トリフラート)
60gのエタノールと30gのPGMEAとの混合溶媒に、33.33gのTEOSモノマー、5.71gのVTEOSモノマー、及び2.40gのPTEOSモノマーを個別に加えた。攪拌しながら、1.13gの0.1N硝酸と18.92gの水との混合物を注ぎ込み、反応混合物を81℃で4時間加熱還流してから冷却した。反応混合物に8.84gのブタノールを加え、本混合物を室温で一晩攪拌した。前述したのと同一のGPCシステムによる分析では、得られたポリマーの分子量は、Mn=1121amu及びMw=1723amuであった。APTEOS−トリフラート原液を、4.89gの20%トリフルオロメタンスルホン酸水溶液(アルドリッチ社)と1.425gのAPTEOS(原液中22.6重量%APTEOS)から新たに調製した。
オプション1:
21.45gのポリマー溶液に、31.12gのPGMEA、0.092gの1%TMAN水溶液、0.049gの1N硝酸、及び0.0066gの上記APTEOS−トリフラート原液を加えて最終生成物を得た。前述したのと同一のGPCシステムによる分析では、ポリマーの分子量は、Mn=1165amu及びMw=1805amuであった。本溶液を濾過し、シリコンウエハー上にスピニングしてフィルムを形成させた。このフィルムを250℃で90秒ベーキングして、84nmの厚さのフィルムを得た(193nmでのnは1.6764;193nmでのkは0.1600であった)。フィルムの500:1 DHFでの湿潤エッチング速度は15Å/分であった。フィルムのプラズマエッチング速度は、前述のフルオロカーボン・エッチングプロセスのエッチング・レシピを使用すると3369Å/分であった。
オプション2:
21.45gのポリマー溶液に、31.12gのPGMEA、0.076gの1%TMAN水溶液、0.041gの1N硝酸、及び0.0132gの上記APTEOS−トリフラート原液を加えて最終生成物を得た。前述したのと同一のGPCシステムによる分析では、ポリマーの分子量は、Mn=1149amu及びMw=1784amuであった。本溶液を濾過し、シリコンウエハー上にスピンコーティングを行ってフィルムを形成させた。このフィルムを250℃で90秒ベーキングして、85nmの厚さのフィルムを得た(193nmでのnは1.6919;193nmでのkは0.1876であった)。フィルムの500:1 DHFでの湿潤エッチング速度は19Å/分であった。フィルムのプラズマエッチング速度は、前述のフルオロカーボン・エッチングプロセスのエッチング・レシピを使用すると3382Å/分であった。
実施例16: 三層パターニングスタックにおけるUVASの使用方法
パターン形成しようとする基板フィルムを、最初に有機基層(OUL)材料のフィルムによって被覆した。OULの付着は、代表的なフィルム付着法(最も一般的にはスピンコーティング法)を使用して行われる。次いで、被覆されたOULフィルムを200〜300℃の範囲の温度にベーキングした。OULの厚さは、存在する可能性のあるいかなるトポグラフィーも充填・平坦化するように選定した。一般には、OULの厚さは200〜300nmのオーダーである。三層パターニングは、基板トポグラフィーが存在してよい、あるいは存在しなくてよい、というアプリケーションにおいて使用することができる、という点に留意する必要がある。OUL材料の幾つかの基本的な特性は下記のとおりである:
− プラズマエッチング時の改良された限界寸法制御に対する炭素/酸素(C/O)組成比が大きい;
− ターゲット波長での吸収性(k>0.25);
− ターゲット波長での屈折率(n<1.7);
− エッチングによるパターニング後に線の崩壊が起こらないよう機械的に強く且つ剛性である;
− 酸化性または還元性のプラズマエッチング化学を使用して容易にエッチング及び除去される;
− 狭くて高いアスペクト比のフィーチャー(例えば、スロット、ホール、及びスペース)を有し、欠陥のない充填と平坦化をもたらす;
− 後続のフィルム付着プロセスの間に縮合物が取り除かれないという点で熱的に安定である。
OUL材料としてノボラック樹脂が検討され、使用されたが、ノボラック樹脂は一般に、機械的堅牢性に欠けることが明らかになっており、そしてさらに、最先端技術のArFパターニングに対して使用されるべきC/O比が低すぎる。市販されているOULの例としては、JSR社からのHM8005がある。HM8005は、193nmでのnとkがそれぞれ1.5及び0.29であるナフタレン系ポリマーのシステムである。ノボラック樹脂と比較したときのHM8005のプラズマエッチング速度は0.85である。
OULフィルムを付着させた後の、三層パターニングにおける次の工程は、SiO系の紫外線吸収性中間層フィルム(UVAS)を付着させることである。本発明に使用するUVASの実施態様に対する材料特性は、本明細書中に既に記載されている。UVASの厚さは、基板の反射率と基板フィルムに対して必要とされるプラズマエッチング・マージンに基づいて選定した。最終的なフィルム厚さが異なる3種のUVAS配合物がある。厚さの値は全て、1500rpmでスピンコーティングを30分行い、次いで250℃で90秒ベーキングしてから測定した。
− UVAS−A25(25nm)−193nmの入射光線に対する第1の最小反射率
− UVAS−A45(45nm)−193nmの入射光線に対する第1の最大反射率
− UVAS−A80(80nm)−193nmの入射光線に対する第2の最小反射率
UVASは、代表的なフィルム付着法(最も一般的にはスピンコーティング法)を使用してOULフィルム上に直接付着させる。UVASの厚さは、スピン速度を変えることで調節する。次いで、UVASフィルムを200〜250℃の温度にベーキングする。
三層パターニングに対する最終的なフィルム付着工程は、193nmで吸収するフォトレジスト(ArF PR)の付着である。代表的なArF PRポリマーは、アクリレート系のポリマー及びコポリマーのシステム、メタクリレート系のポリマー及びコポリマーのシステム、あるいはそれぞれ光酸発生剤(PAG)と消光剤の化学を含む、一般的な有機アクリレート系のポリマー及びコポリマーのシステムである。これらのArF PRは、一般にはポジティブトーンであるが、ネガティブトーンであってもよい。市販ArF PRを簡単に列挙すると、JSR AR2459J、JSR AR1863J、シンエツSAIL−X123、及びTOK P−6111などである。PR製造会社はそれぞれ、露光速度、最終用途、エッチング抵抗性、及びコントラストに関して異なる種々のArF PRを提供している。上記のPRは、IC工業において使用できるほんの一部を捕捉しているにすぎない。
ArF PRは、代表的なフィルム付着法(最も一般的にはスピンコーティング法)を使用してUVAS上に直接付着させる。ArF PRの厚さは、スピニング速度を変えることにより調節する。次いで、ArF PRフィルムを約90〜130℃の温度にベーキングする。最終的なArF PRフィルムの厚さは、パターン形成しようとするアプリケーションと寸法に応じて、250nm〜100nmの範囲である。
ここで、三層パターニングのためのフィルムスタックが仕上がる。次の工程において、フォトレジストを露光すべく、マスクを通してArF PRに193nmの光を照射する。
次いでArF PRをベーキングし、そして現像して、パターン形成されたArF PR構造を得る。ArF PRのパターン形成された寸法を、最初に、プラズマエッチング法を使用して下側のUVASフィルムに転写し、次いで、本明細書に記載のそれぞれのプラズマエッチング化学を使用して底部のOULフィルムに転写する。提供されたレシピは、プラズマエッチング化学だけでなくエッチングツール・モデルやコンフィギュレーションにおいて多くのバリエーションが存在するので、単なる例示にすぎない。
OULフィルムのエッチング時にArF PRをエッチングし、これによりUVASフィルムをプラズマエッチンクマスクにする(OULエッチング時に、UVASフィルムが、プラズマイオンフラックスからの直接的な露光を受ける)。OULエッチングがいったん完了したら、パターンを基板フィルムに転写する。基板フィルム又はフィルムスタックをエッチングするのに使用されるプラズマエッチング化学は、エッチングされる基板の種類に依存する。例えば、SiO層、Al層、およびSi層のエッチングは全て、異なったタイプのプラズマエッチング化学を使用する。基板のエッチング時にUVAS層が除去され、これによりOULをエッチングマスクにする(OULが、プラズマイオンフラックスからの直接的な露光を受ける)。最初のArF PR層中に施されたパターン形成された構造が、基板フィルム又はフィルムスタック中に首尾よく転写されていて、パターン形成された基板フィルム又はフィルムスタックは、いつでも次の製造工程に進める状態になっている。
このように、組成物の特定の実施態様とアプリケーション、組成物の製造方法、三層アプリケーションのためのコーティングとフィルム、及びこれらの製造方法と用途を開示してきた。しかしながら、当業者には言うまでもないことであるが、既に開示したもののほかに、本発明の思想を逸脱することなく、さらに多くの変形が可能である。したがって本発明の主題は、添付の特許請求の範囲に記載に示された思想に基づく場合以外に限定されてはならない。さらに、明細書と特許請求の範囲を解釈する際には、全ての用語を、文脈と矛盾せず、可能な限り最も広い仕方で解釈すべきである。特に、“含む(comprise)”及び“含むこと(comprising)”という用語は、包括的な仕方で要素、成分、又は工程に言及していると解釈すべきであり、言及した要素、成分、又は工程が、明確には言及されていない他の要素、成分、又は工程と共に存在してよい、あるいは言及した要素、成分、又は工程を、明確には言及されていない他の要素、成分、又は工程と共に使用してよい、あるいは言及した要素、成分、又は工程を、明確には言及されていない他の要素、成分、又は工程と組み合わせてよい、ということを示していると解釈すべきである。
103 基板
105 有機平坦化層
125 シリコン系層
135 フォトレジスト
137 フォトレジスト
145 パターン
155 パターン
165 パターン
180 トレンチ・フィーチャー
300 反応器の配置
320 反応器
322 攪拌機
330 ポンプ
340 希釈容器
342 攪拌機
360 ポンプ
370 濾過ユニット
410 ポリマー層
420 UVASコーティング材料
430 ArFフォトレジスト
450 シリコン層

Claims (33)

  1. 三層アプリケーションにおいて使用するための組成物であって、該組成物が、マトリックスを有し、且つ、
    ポリマー配合物、ここで、該配合物は、該ポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、該ポリマーのマトリックスに結合した複数のビニル基、及び該ポリマーのマトリックスに結合した複数のフェニル基を含む;
    少なくとも1種の縮合触媒;並びに、
    少なくとも1種の溶媒;
    を含むことを特徴とする、前記組成物。
  2. 前記少なくとも1つのタイプのシリコン系部分が、少なくとも1種の高比率無機化合物、少なくとも1種の吸収性化合物、少なくとも1種の接着促進剤、又はこれらの組み合わせを含む、請求項1に記載の組成物。
  3. 前記少なくとも1つのタイプのシリコン系部分が、少なくとも1種のアルコキシ部分を含む、請求項1に記載の組成物。
  4. 前記少なくとも1種のアルコキシ部分が、テトラエトキシシラン、フェニルトリエトキシシラン、ビニルトリエトキシシラン、又はこれらの組み合わせを含む、請求項3に記載の組成物。
  5. 前記ポリマー配合物が少なくとも30重量%のケイ素を含む、請求項1に記載の組成物。
  6. 前記ポリマー配合物が少なくとも40重量%のケイ素を含む、請求項5に記載の組成物。
  7. 前記複数のビニル基の少なくとも一部がビニルトリエトキシシランからもたらされる、請求項1に記載の組成物。
  8. 前記複数のフェニル基の少なくとも一部がフェニルトリエトキシシランからもたらされる、請求項1に記載の組成物。
  9. 少なくとも1種の追加的な接着促進剤をさらに含む、請求項1に記載の組成物。
  10. 少なくとも1種の追加的な吸収性化合物をさらに含む、請求項1に記載の組成物。
  11. 前記少なくとも1種の追加的な接着促進剤が塩基を含む、請求項9に記載の組成物。
  12. 前記少なくとも1種の接着促進剤が窒素を含む、請求項11に記載の組成物。
  13. 前記少なくとも1種の接着促進剤がリンを含む、請求項11に記載の組成物。
  14. 前記少なくとも1種の接着促進剤がアミン塩基を含む、請求項12に記載の組成物。
  15. 前記少なくとも1種の接着促進剤が、アンモニウム、ピリジン、アニリン、TMAH、CTAH、TBAH、APTEOS、又はこれらの組み合わせを含む、請求項14に記載の組成物。
  16. 前記少なくとも1種の接着促進剤が少なくとも1種のアミン塩を含む、請求項12に記載の組成物。
  17. 前記少なくとも1種のアミン塩が弱酸/強塩基または強酸/弱塩基を含む、請求項16に記載の組成物。
  18. 前記少なくとも1種の接着促進剤が中性化合物を含む、請求項11に記載の組成物。
  19. 前記少なくとも1種の縮合触媒が少なくとも1種のアミン塩を含む、請求項11に記載の組成物。
  20. 前記少なくとも1種のアミン塩がTMANを含む、請求項19に記載の組成物。
  21. 少なくとも1種のpH調整剤をさらに含む、請求項1に記載の組成物。
  22. 前記少なくとも1種のpH調整剤が酸を含む、請求項21に記載の組成物。
  23. 前記酸が硝酸を含む、請求項22に記載の組成物。
  24. 前記少なくとも1種の溶媒が、PGMA、エタノール、ブタノール、水、又はこれらの組み合わせを含む、請求項1に記載の組成物。
  25. 請求項1に記載の組成物から形成されるフィルム。
  26. 前記フィルムが犠牲フィルムである、請求項25に記載の組成物。
  27. 有機基層、前記有機基層に結合した請求項24に記載のフィルム、及び、前記フィルムに結合したフォトレジスト材料、を含む三層構造物。
  28. 三層パターニング・アプリケーションのための組成物を製造する方法であって、
    ポリマー配合物を供給すること、ここで、該配合物は、該ポリマーのマトリックスを形成する少なくとも1つのタイプのシリコン系部分、該ポリマーのマトリックスに結合した複数のビニル基、及び該ポリマーのマトリックスに結合した複数のフェニル基を含む;
    少なくとも1種の縮合触媒を供給すること;
    少なくとも1種の溶媒を供給すること;
    少なくとも1種のpH調整剤を供給すること;
    前記ポリマー配合物と前記少なくとも1種の溶媒の一部とを反応器中で混合して、反応性混合物を生成させるること;並びに、
    前記少なくとも1種のpH調整剤、前記少なくとも1種の縮合触媒、及び前記少なくとも1種の溶媒の残部を反応混合物中に加えて、組成物を得るること;
    を含む、前記方法。
  29. 前記少なくとも1つのタイプのシリコン系部分が、少なくとも1種の高比率無機化合物、少なくとも1種の吸収性化合物、少なくとも1種の接着促進剤、又はこれらの組み合わせを含む、請求項28に記載の製造方法。
  30. 前記少なくとも1つのタイプのシリコン系部分が少なくとも1種のアルコキシ部分を含む、請求項28に記載の製造方法。
  31. 前記少なくとも1種のアルコキシ部分が、テトラエトキシシラン、フェニルトリエトキシシラン、ビニルトリエトキシシラン、又はこれらの組み合わせを含む、請求項30に記載の製造方法。
  32. 少なくとも1種の追加的な接着促進剤を供給すること;及び前記少なくとも1種の追加的な接着促進剤とポリマー配合物とを混合すること;をさらに含む、請求項28に記載の製造方法。
  33. 少なくとも1種の吸収性化合物を供給すること;及び前記少なくとも1種の吸収性化合物とポリマー配合物とを混合すること;をさらに含む、請求項28に記載の製造方法。
JP2009551053A 2007-02-26 2008-02-23 三層構造物のパターニング・アプリケーションのための組成物、コーティング、及びフィルム、並びに、これらの製造方法 Pending JP2010519596A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US90346607P 2007-02-26 2007-02-26
US94939207P 2007-07-12 2007-07-12
US11/838,854 US8642246B2 (en) 2007-02-26 2007-08-14 Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
PCT/US2008/054814 WO2008106379A1 (en) 2007-02-26 2008-02-23 Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof

Publications (1)

Publication Number Publication Date
JP2010519596A true JP2010519596A (ja) 2010-06-03

Family

ID=39716289

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009551053A Pending JP2010519596A (ja) 2007-02-26 2008-02-23 三層構造物のパターニング・アプリケーションのための組成物、コーティング、及びフィルム、並びに、これらの製造方法

Country Status (6)

Country Link
US (1) US8642246B2 (ja)
EP (1) EP2118204A1 (ja)
JP (1) JP2010519596A (ja)
KR (1) KR20090122460A (ja)
TW (1) TW200900863A (ja)
WO (1) WO2008106379A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012053253A (ja) * 2010-09-01 2012-03-15 Shin Etsu Chem Co Ltd ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びこれを用いたパターン形成方法
WO2013051558A1 (ja) * 2011-10-06 2013-04-11 日産化学工業株式会社 ケイ素含有euvレジスト下層膜形成組成物
JP2021531192A (ja) * 2018-11-23 2021-11-18 エルジー・ケム・リミテッド 光学積層体

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8182978B2 (en) * 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
KR101764259B1 (ko) * 2009-06-02 2017-08-03 닛산 가가쿠 고교 가부시키 가이샤 설파이드 결합을 갖는 실리콘 함유 레지스트 하층막 형성 조성물
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8889018B2 (en) 2010-11-23 2014-11-18 HGST Netherlands B.V. Method for manufacturing a magnetic write pole using a multi-layered hard mask structure
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6163770B2 (ja) * 2012-03-07 2017-07-19 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
TW201344369A (zh) * 2012-03-07 2013-11-01 Jsr Corp 光阻下層膜形成用組成物及圖型之形成方法
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
US9847302B2 (en) * 2013-08-23 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer surface conditioning for stability in fab environment
US9312191B2 (en) 2014-08-14 2016-04-12 Globalfoundries Inc. Block patterning process for post fin
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
KR20180134867A (ko) * 2016-03-30 2018-12-19 제이에스알 가부시끼가이샤 레지스트 프로세스용 막 형성 재료 및 패턴 형성 방법
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10254650B2 (en) 2016-06-29 2019-04-09 Honeywell International Inc. Low temperature SC1 strippable oxysilane-containing coatings
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
WO2018230671A1 (ja) * 2017-06-16 2018-12-20 Jsr株式会社 パターン形成方法及びeuvリソグラフィー用ケイ素含有膜形成組成物
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US10991547B2 (en) * 2019-09-25 2021-04-27 Applied Materials, Inc. Method and device for a carrier proximity mask
CN113561586B (zh) * 2021-07-08 2023-03-31 清华大学深圳国际研究生院 一种层状吸波材料及其制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0232356A (ja) * 1988-07-21 1990-02-02 Fujitsu Ltd 半導体装置の製造方法
JPH045658A (ja) * 1990-04-23 1992-01-09 Toray Dow Corning Silicone Co Ltd 3層レジスト中間層用材料およびパターン形成方法
JP2004059737A (ja) * 2002-07-29 2004-02-26 Jsr Corp 膜形成用ポリシロキサンの製造方法、膜形成用組成物、膜形成方法および膜
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
WO2006093057A1 (ja) * 2005-03-01 2006-09-08 Jsr Corporation レジスト下層膜用組成物およびその製造方法
WO2007066597A1 (ja) * 2005-12-06 2007-06-14 Nissan Chemical Industries, Ltd. 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物

Family Cites Families (567)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3635529A (en) * 1969-06-24 1972-01-18 Walter R Nass Motor vehicle wheel assembly
US3784378A (en) * 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (ja) 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US4191571A (en) * 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4053313A (en) 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) * 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
JPS5760330A (en) 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4399255A (en) 1980-12-22 1983-08-16 Avtex Fibers Inc. Alloy rayon fibers of regenerated cellulose and copolymeric acrylic acid salts
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4419437A (en) 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) * 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
DE3278567D1 (en) * 1981-10-03 1988-07-07 Japan Synthetic Rubber Co Ltd Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
JPS58171416A (ja) 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
US6194121B1 (en) * 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4434127A (en) * 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
CA1255952A (en) 1983-03-04 1989-06-20 Akihiro Furuta Positive type photoresist composition
KR890003903B1 (ko) * 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) * 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3344202A1 (de) 1983-12-07 1985-06-20 Merck Patent Gmbh, 6100 Darmstadt Positiv-fotoresistzusammensetzungen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
GB8401016D0 (en) 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
JPS60177029A (ja) 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4702990A (en) 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
EP0163538B1 (en) 1984-05-30 1989-11-23 Fujitsu Limited Pattern-forming material and its production and use
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4657965A (en) 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4620986A (en) 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
FR2579552B1 (fr) 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
US4745169A (en) 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
EP0204963B1 (en) 1985-05-10 1993-01-13 Hitachi, Ltd. Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts.
US4663414A (en) 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
US4595599A (en) 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4816049A (en) * 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US5034189A (en) 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
DE3684745D1 (de) 1985-08-29 1992-05-14 Du Pont Photopolymerisierbare zusammensetzung mit dizyklopentylacrylat oder methacrylat enthaltenden acrylcopolymeren.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
ES2060579T3 (es) 1985-12-09 1994-12-01 Nippon Paint Co Ltd Material de impresion a base de resina fotosensible.
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4806504A (en) * 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
DE3635821A1 (de) 1986-10-22 1988-04-28 Bayer Ag Mikrokapseln mit verbesserten waenden
US4898907A (en) * 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4808653A (en) * 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4753855A (en) 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4911992A (en) * 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
JPH0819381B2 (ja) * 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5389496A (en) * 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
DE3810247A1 (de) 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JP2609256B2 (ja) * 1987-07-21 1997-05-14 鐘淵化学工業株式会社 硬化性組成物
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
US5049414A (en) 1987-10-24 1991-09-17 Ito Optical Industrial Co., Ltd. Antireflection solution for optical parts and method for antireflection treatment using said solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US4849296A (en) 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
JPH01185367A (ja) * 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
DE3802496C3 (de) 1988-01-28 1998-07-09 Messer Griesheim Gmbh Absorptionsmasse, bestehend aus einer mit Kupfersulfat belegten Trägersubstanz
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) * 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) * 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
DE58906867D1 (de) 1988-05-31 1994-03-17 Ciba Geigy Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen.
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4943511A (en) 1988-08-05 1990-07-24 Morton Thiokol, Inc. High sensitivity mid and deep UV resist
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) * 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US6180317B1 (en) * 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5278010A (en) * 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
DE69032077T2 (de) 1989-10-17 1998-12-03 Shipley Co., Inc., Newton, Mass. Fotoresist für nahes U.V.
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US4973526A (en) 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
EP0449263B1 (en) 1990-03-28 1996-06-12 Japan Synthetic Rubber Co., Ltd. Polysiloxane-composite polymer particles
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US5868597A (en) * 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
EP0458651B1 (en) 1990-05-25 1994-03-09 Matsushita Electric Industrial Co., Ltd. Photosensitive materials comprising organic photoconductive substances in a binder polymer having aromatic rings, OH groups and bromine joined at the aromatic ring or rings
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5059448A (en) 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
JP3064337B2 (ja) 1990-06-21 2000-07-12 オリンパス光学工業株式会社 実像式変倍ファインダー光学系
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
EP0482821B1 (en) 1990-10-16 1998-09-30 Mitsui Chemicals, Inc. Use of a highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) * 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
EP0490819B1 (de) * 1990-12-13 1995-09-13 Ciba-Geigy Ag Wässrige Dispersion schwerlöslicher UV-Absorber
US5662109A (en) 1990-12-14 1997-09-02 Hutson; William H. Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (ja) * 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
JP3162441B2 (ja) 1991-10-28 2001-04-25 三菱化学株式会社 高剛性プロピレン共重合体組成物
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
KR0151545B1 (ko) 1992-02-05 1998-10-01 마에다 가쓰노스케 다층 코팅품
JP2694097B2 (ja) * 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
AU666011B2 (en) 1992-04-09 1996-01-25 Francesco Di Virgilio Method for inducing the acrosome reaction in human and animal spermatozoa
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
JP3252446B2 (ja) 1992-06-25 2002-02-04 大同特殊鋼株式会社 熱間静水圧加圧成形用のカプセルおよび熱間静水圧加圧成形方法
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH06108097A (ja) * 1992-08-07 1994-04-19 Dr Ok Wack Chem Gmbh 洗浄剤
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
JPH06333803A (ja) * 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
JP2869443B2 (ja) * 1992-09-24 1999-03-10 関西ペイント株式会社 上塗り塗料組成物
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (ja) * 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) * 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) * 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5414069A (en) 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5302849A (en) 1993-03-01 1994-04-12 Motorola, Inc. Plastic and grid array semiconductor device and method for making the same
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5328975A (en) 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
JP3007766B2 (ja) 1993-04-19 2000-02-07 松下精工株式会社 斜流ファン
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
FR2704766B1 (fr) 1993-05-06 1995-07-28 Salomon Sa Dispositif interface entre un ski et des éléments de fixation.
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
US5498748A (en) * 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
JP3197135B2 (ja) 1994-02-02 2001-08-13 ポップリベット・ファスナー株式会社 スタッド固定具
CN1125481A (zh) 1994-03-11 1996-06-26 川崎制铁株式会社 评价用于形成绝缘膜的硅氧烷的方法、形成绝缘膜的涂布液及其制备方法、半导体器件用绝缘膜成型方法以及采用绝缘膜成膜法制备半导体器件的方法
DE69511141T2 (de) 1994-03-28 2000-04-20 Wako Pure Chem Ind Ltd Resistzusammensetzung für tiefe Ultraviolettbelichtung
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5494858A (en) 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
JP3028852B2 (ja) 1994-06-24 2000-04-04 ロシュ ダイアグノスティックス コーポレーション ヒストリチクス菌から得られるコラゲナーゼおよび2つの他のプロテアーゼの精製混合物
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US6000339A (en) 1994-06-30 1999-12-14 Hitachi Chemical Company, Ltd. Material for forming silica-base coated insulation film, process for producing the material, silica-base insulation film, semiconductor device, and process for producing the device
US5910021A (en) * 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5729563A (en) 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) * 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
GB2294262B (en) 1994-10-20 1998-07-08 Evc Tech Ag Single stage fixed bed oxychlorination of ethylene
US5527562A (en) 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) * 1994-11-30 1998-04-03 김광호 반사방지막 조성물
FR2729307B1 (fr) 1995-01-18 1997-04-18 Seppic Sa Utilisation d'esters d'acides gras ethoxyles comme composants auto-emulsionnables notamment utiles pour la preparation de produits de traitement phytosanitaires ou de medicaments a usage veterinaire ou humain
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
EP0727711A3 (en) 1995-02-17 1997-04-09 Ocg Microelectronic Materials Photoresist compositions containing supercritical fluid fractionated polymeric resin binders
US5738976A (en) * 1995-03-16 1998-04-14 Shin-Etsu Chemical Co., Ltd. Photo-curable organopolysiloxane composition and a method for producing a (meth) acryloyloxyl group-containing organopolysiloxane used therein
JP3045628U (ja) 1995-03-16 1998-02-13 慶治郎 尾形 靴底模様の模様駒及び、模様構成
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5945249A (en) * 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5693691A (en) 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US6770726B1 (en) * 1995-09-12 2004-08-03 Gelest, Inc. β-substituted organosilsesquioxane polymers
WO1997010282A1 (en) * 1995-09-12 1997-03-20 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
JP3026716U (ja) 1996-01-11 1996-07-23 エストリン株式会社 植物のランナー用誘引具
US5629437A (en) 1996-01-30 1997-05-13 Huls America Inc. Preparation and use of alkyleneoxysilane compositions
AU715653B2 (en) 1996-02-13 2000-02-10 Sola International Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
JPH09306954A (ja) 1996-05-20 1997-11-28 Hitachi Ltd 半導体装置及びその実装方法並びに実装構造体
TW354392B (en) 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH1060280A (ja) 1996-08-14 1998-03-03 Japan Synthetic Rubber Co Ltd 水系分散体
US6072018A (en) 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
EP0917550A4 (en) 1996-10-25 1999-12-29 Blue River International L L C COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
JP3207774B2 (ja) 1996-12-11 2001-09-10 双福鋼器株式会社 入出庫指示装置
WO1998026019A1 (fr) * 1996-12-13 1998-06-18 Matsushita Electric Works, Ltd. Composition d'emulsion de silicium et procede d'elaboration
EP0851300B1 (en) 1996-12-24 2001-10-24 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method of forming resist pattern using the same
US5939236A (en) * 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) * 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) * 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
KR100497060B1 (ko) 1997-05-13 2005-06-23 기린 비루 가부시키가이샤 유리질 피막형성 코팅제, 또 그것을 사용한 코팅방법 및코팅장치
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
EP0881678A3 (en) 1997-05-28 2000-12-13 Texas Instruments Incorporated Improvements in or relating to porous dielectric structures
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
JPH11214658A (ja) 1997-10-23 1999-08-06 Texas Instr Inc <Ti> 集積回路誘電体の製法
US6025232A (en) * 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
WO1999037272A1 (de) 1998-01-22 1999-07-29 A. Kettenbach Fabrik Chemischer Erzeugnisse Dental-Spezialitäten Gmbh Und Co. Kg Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) * 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
CA2318881A1 (en) 1998-02-04 1999-08-12 Tina Garyantes Virtual wells for use in high throughput screening assays
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) * 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
JP3031325B2 (ja) 1998-03-04 2000-04-10 ダイキン工業株式会社 天井埋込式空気調和機
JP3047883B2 (ja) 1998-03-17 2000-06-05 日本電気株式会社 テストモードを有する半導体装置の出力回路
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) * 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
KR20010042973A (ko) 1998-04-29 2001-05-25 테리 브레우어 셀룰로식 결합제로부터 유도된 급속 에칭, 열경화성 반사방지 코팅
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
WO2000003303A1 (fr) 1998-07-10 2000-01-20 Clariant International Ltd. Composition pour film empechant la reflexion de fond et nouveau colorant polymere utilise dans celle-ci
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6280911B1 (en) 1998-09-10 2001-08-28 Shipley Company, L.L.C. Photoresist compositions comprising blends of ionic and non-ionic photoacid generators
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
JP3523081B2 (ja) 1998-09-21 2004-04-26 信越化学工業株式会社 有機珪素樹脂の製造方法及び該製造方法で得られた有機珪素樹脂を用いたポリウレタンフォームの製造方法
JP3852889B2 (ja) 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6190830B1 (en) * 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6503233B1 (en) * 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6673982B1 (en) * 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) * 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
JP3059016U (ja) 1998-11-10 1999-07-02 啓次 二宮 ブレーカー用防音装置
US6251973B1 (en) * 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
DE69930874T2 (de) 1998-11-24 2006-11-02 Dow Global Technologies, Inc., Midland Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) * 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
KR100644847B1 (ko) 1999-02-26 2006-11-13 쇼와 덴코 가부시키가이샤 칼라필터용 광중합 개시제, 감광성 착색 조성물 및 칼라필터
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP3692820B2 (ja) * 1999-03-10 2005-09-07 株式会社デンソー 自動車用制御装置
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6313257B1 (en) 1999-03-23 2001-11-06 Lord Corporation Poly (mercaptopropylaryl) curatives
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
JP3064753U (ja) 1999-05-18 2000-01-21 竹沢産業株式会社 送風機
WO2000077575A1 (en) 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6696538B2 (en) * 1999-07-27 2004-02-24 Lg Chemical Ltd. Semiconductor interlayer dielectric material and a semiconductor device using the same
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
AU770696B2 (en) 1999-07-30 2004-02-26 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
JP2003509825A (ja) 1999-09-13 2003-03-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電 灯
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6410150B1 (en) 1999-09-29 2002-06-25 Jsr Corporation Composition for film formation, method of film formation, and insulating film
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6541107B1 (en) 1999-10-25 2003-04-01 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
JP4195773B2 (ja) 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
AU774200B2 (en) 2000-02-08 2004-06-17 Adsil, Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
ES2273811T3 (es) * 2000-02-14 2007-05-16 THE PROCTER &amp; GAMBLE COMPANY Composiciones acuosas, estables para tratar superficies,especialmente tejidos.
WO2001063358A1 (en) 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
JP2001240800A (ja) * 2000-02-25 2001-09-04 Nippon Sheet Glass Co Ltd 所定表面形状を有する物品の製造方法
DE60138327D1 (de) 2000-02-28 2009-05-28 Jsr Corp Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid
CN1227312C (zh) 2000-02-28 2005-11-16 阿德西尔公司 硅烷基涂料组合物和用其得到的涂覆制件及其使用方法
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3795333B2 (ja) * 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
EP1278799A1 (en) 2000-03-30 2003-01-29 General Electric Company Transparent, flame retardant poly(arylene ether) blends
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US7128976B2 (en) 2000-04-10 2006-10-31 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
DE60118052T2 (de) 2000-04-13 2006-10-26 Jsr Corp. Überzugsmittel, Verfahren zur Herstellung, gehärtetes Produkt und Beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6504525B1 (en) * 2000-05-03 2003-01-07 Xerox Corporation Rotating element sheet material with microstructured substrate and method of use
JP3846545B2 (ja) * 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) * 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
WO2002012252A1 (en) * 2000-08-03 2002-02-14 Ciba Specialty Chemicals Holding Inc. Photostable, silylated benzotriazole uv absorbers and compositions stabilized therewith
US6803034B2 (en) 2000-08-18 2004-10-12 Procter & Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
KR100841597B1 (ko) 2000-09-13 2008-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
KR100382702B1 (ko) 2000-09-18 2003-05-09 주식회사 엘지화학 유기실리케이트 중합체의 제조방법
US6505362B1 (en) * 2000-09-25 2003-01-14 Thomas Scipio Method and system for cushioning a mobile prone person
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6503526B1 (en) * 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) * 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
US7026053B2 (en) 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6676398B2 (en) * 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6840752B2 (en) * 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US7052262B2 (en) * 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
JP2002285086A (ja) 2001-03-26 2002-10-03 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
TW591058B (en) * 2001-04-09 2004-06-11 Sekisui Chemical Co Ltd Photoreactive composition
US6846614B2 (en) * 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
JP2002332354A (ja) * 2001-05-08 2002-11-22 Jsr Corp 水系分散体とその製造方法および塗装体
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6740685B2 (en) 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US20030112737A1 (en) 2001-06-05 2003-06-19 Thompson Robert F. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
JP4965033B2 (ja) 2001-06-29 2012-07-04 東レ・ダウコーニング株式会社 液状アルコキシシリル官能性シリコーン樹脂、その製造方法および硬化性シリコーン樹脂組成物
CN1276303C (zh) 2001-06-29 2006-09-20 Jsr株式会社 酸发生剂及辐射敏感树脂组合物
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) * 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
EP1296365B1 (en) 2001-09-25 2010-09-22 JSR Corporation Method of film formation
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
WO2003044600A1 (en) 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
AU2002359387A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
AU2002354487A1 (en) 2001-12-14 2003-06-30 Asahi Kasei Kabushiki Kaisha Coating composition for forming low-refractive index thin layers
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US20030176718A1 (en) 2002-01-08 2003-09-18 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6924384B2 (en) 2002-01-08 2005-08-02 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6803476B2 (en) 2002-01-08 2004-10-12 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US20030171607A1 (en) * 2002-01-08 2003-09-11 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6831189B2 (en) 2002-01-08 2004-12-14 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US6943447B2 (en) * 2002-01-10 2005-09-13 Fujitsu Limited Thin film multi-layer wiring substrate having a coaxial wiring structure in at least one layer
US20040002617A1 (en) 2002-01-17 2004-01-01 Rantala Juha T. Integrated circuits having organic-inorganic dielectric materials and methods for forming such integrated circuits
US20050032357A1 (en) 2002-01-17 2005-02-10 Rantala Juha T. Dielectric materials and methods for integrated circuit applications
EP1490454B1 (en) 2002-01-17 2012-08-15 Silecs OY Method for making an integrated circuit device and corresponding integrated circuit device
US7144827B2 (en) 2002-01-17 2006-12-05 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
ATE448193T1 (de) 2002-01-18 2009-11-15 Merck & Co Inc ßN-(BENZYL)AMINOALKYL CARBOXYLATE, PHOSPHINATE, PHOSPHONATE UND TETRAZOLE ALS EDG REZEPTORAGONISTENß
JP2003211070A (ja) 2002-01-21 2003-07-29 Toshiba Corp 塗膜の形成方法、半導体装置の製造方法および塗布液
TWI273352B (en) * 2002-01-24 2007-02-11 Jsr Corp Radiation sensitive composition for forming an insulating film, insulating film and display device
TW200401944A (en) 2002-02-01 2004-02-01 Seiko Epson Corp Circuit substrate, electro-optical device and electronic appliances
CA2474913A1 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
WO2003066750A1 (fr) 2002-02-06 2003-08-14 Asahi Kasei Kabushiki Kaisha Compositions de revetement pour former des films minces isolants
US7211365B2 (en) 2002-03-04 2007-05-01 Shipley Company, L.L.C. Negative photoresists for short wavelength imaging
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6906157B2 (en) 2002-04-09 2005-06-14 Eastman Kodak Company Polymer particle stabilized by dispersant and method of preparation
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
EP1495066B1 (en) 2002-04-18 2008-07-16 LG Chem, Ltd. Organic silicate polymer and insulation film comprising the same
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
KR100515583B1 (ko) 2002-06-27 2005-09-20 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 절연막
CN1248556C (zh) * 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
DE10242418A1 (de) 2002-09-12 2004-03-25 Wacker-Chemie Gmbh Verfahren zur Herstellung von Organopolysiloxanharz
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
US7189490B2 (en) 2002-10-21 2007-03-13 Shipley Company, L.L.C. Photoresists containing sulfonamide component
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) * 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
JP2004161875A (ja) 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁間膜及び半導体装置
JP3884699B2 (ja) 2002-11-13 2007-02-21 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
JP3879657B2 (ja) * 2002-11-20 2007-02-14 日東電工株式会社 反射防止層用硬化性樹脂組成物、反射防止層、反射防止フィルム、光学素子および画像表示装置
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US20040166434A1 (en) 2003-02-21 2004-08-26 Dammel Ralph R. Photoresist composition for deep ultraviolet lithography
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
JP2004307692A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
JP2004307694A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) * 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US6942083B2 (en) 2003-08-07 2005-09-13 Ford Global Technologies, Llc Viscous fan clutch actuated by a heating element and ambient air
US7172849B2 (en) * 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
US20050089642A1 (en) 2003-10-28 2005-04-28 Rohm And Haas Electronic Materials, L.L.C. Dielectric materials preparation
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7470634B2 (en) 2003-11-24 2008-12-30 Samsung Electronics Co., Ltd. Method for forming interlayer dielectric film for semiconductor device by using polyhedral molecular silsesquioxane
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
JP4553113B2 (ja) 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
EP1615260A3 (en) * 2004-07-09 2009-09-16 JSR Corporation Organic silicon-oxide-based film, composition and method for forming the same, and semiconductor device
US7475093B2 (en) 2004-10-15 2009-01-06 Microsoft Corporation Memory cache management in XML/relational data mapping
EP1662322B1 (en) * 2004-11-26 2017-01-11 Toray Industries, Inc. Positive type photo-sensitive siloxane composition, curing film formed by the composition and device with the curing film
WO2006068181A1 (ja) 2004-12-21 2006-06-29 Hitachi Chemical Company, Ltd. 被膜、シリカ系被膜及びその形成方法、シリカ系被膜形成用組成物、並びに電子部品
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP3154007U (ja) 2009-07-16 2009-09-24 岳雨 王 ペットつなぎ

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0232356A (ja) * 1988-07-21 1990-02-02 Fujitsu Ltd 半導体装置の製造方法
JPH045658A (ja) * 1990-04-23 1992-01-09 Toray Dow Corning Silicone Co Ltd 3層レジスト中間層用材料およびパターン形成方法
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
JP2004059737A (ja) * 2002-07-29 2004-02-26 Jsr Corp 膜形成用ポリシロキサンの製造方法、膜形成用組成物、膜形成方法および膜
WO2006093057A1 (ja) * 2005-03-01 2006-09-08 Jsr Corporation レジスト下層膜用組成物およびその製造方法
WO2007066597A1 (ja) * 2005-12-06 2007-06-14 Nissan Chemical Industries, Ltd. 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012053253A (ja) * 2010-09-01 2012-03-15 Shin Etsu Chem Co Ltd ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びこれを用いたパターン形成方法
US8501386B2 (en) 2010-09-01 2013-08-06 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film-formed substrate, and patterning process
WO2013051558A1 (ja) * 2011-10-06 2013-04-11 日産化学工業株式会社 ケイ素含有euvレジスト下層膜形成組成物
US9337052B2 (en) 2011-10-06 2016-05-10 Nissan Chemical Industries, Ltd. Silicon-containing EUV resist underlayer film forming composition
JP2021531192A (ja) * 2018-11-23 2021-11-18 エルジー・ケム・リミテッド 光学積層体
JP7171123B2 (ja) 2018-11-23 2022-11-15 エルジー・ケム・リミテッド 光学積層体

Also Published As

Publication number Publication date
US8642246B2 (en) 2014-02-04
WO2008106379A1 (en) 2008-09-04
KR20090122460A (ko) 2009-11-30
EP2118204A1 (en) 2009-11-18
US20080206690A1 (en) 2008-08-28
TW200900863A (en) 2009-01-01

Similar Documents

Publication Publication Date Title
JP2010519596A (ja) 三層構造物のパターニング・アプリケーションのための組成物、コーティング、及びフィルム、並びに、これらの製造方法
US9069133B2 (en) Anti-reflective coating for photolithography and methods of preparation thereof
KR101324022B1 (ko) 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법
JP6109164B2 (ja) リソグラフィー用途用の小分子由来の金属酸化物フィルム
KR101339763B1 (ko) 반사방지 하드 마스크 조성물
CN100392813C (zh) 抗反射硬掩膜及其应用
JP6786391B2 (ja) ハードマスクおよび充填材料として安定な金属化合物、その組成物、およびその使用方法
US8946371B2 (en) Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
US7955782B2 (en) Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
KR20150008098A (ko) 유도된 자기-조립을 위한 실리콘 하드마스크 층
JP5702837B2 (ja) 加工可能な無機及び有機ポリマー配合物、それらの製造方法及び使用
TWI833908B (zh) 包含無機氧化物組分及經炔氧基取代之旋塗碳組分且可用作具有改良儲存壽命之硬遮罩及填充材料的旋塗組合物
WO2010032796A1 (ja) サイドウォール形成用組成物
JP2006522861A (ja) マイクロ電子デバイスでの使用のためのオルガノシリケート樹脂調合物
WO2003044078A9 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
WO2004044025A2 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
JP7368322B2 (ja) レジスト下層膜材料、パターン形成方法、及びレジスト下層膜形成方法
CN111607089B (zh) 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
CN116500864A (zh) 组合的ARC和Si硬掩模的组合物
CN115427891A (zh) 抗蚀剂下层膜形成用组合物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101102

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121011

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130315