JP2008288227A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2008288227A
JP2008288227A JP2007128692A JP2007128692A JP2008288227A JP 2008288227 A JP2008288227 A JP 2008288227A JP 2007128692 A JP2007128692 A JP 2007128692A JP 2007128692 A JP2007128692 A JP 2007128692A JP 2008288227 A JP2008288227 A JP 2008288227A
Authority
JP
Japan
Prior art keywords
layer
oxygen
oxide
high dielectric
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007128692A
Other languages
English (en)
Other versions
JP2008288227A5 (ja
JP5103056B2 (ja
Inventor
Toshihide Namatame
俊秀 生田目
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007128692A priority Critical patent/JP5103056B2/ja
Priority to US12/116,940 priority patent/US20090011608A1/en
Publication of JP2008288227A publication Critical patent/JP2008288227A/ja
Priority to US12/752,828 priority patent/US8168547B2/en
Publication of JP2008288227A5 publication Critical patent/JP2008288227A5/ja
Application granted granted Critical
Publication of JP5103056B2 publication Critical patent/JP5103056B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】酸化シリコンより比誘電率の高い酸化物を含んで構成されるゲート絶縁膜を備えたMISトランジスタのトランジスタ特性を向上する。
【解決手段】基板SUBの主面上に酸化ハフニウムから構成される高誘電体層HK1を形成した後、基板SUBの主面を非酸化性雰囲気中で熱処理する。次いで、高誘電体層HK1上に、ALD法によって堆積された酸化ハフニウムから構成され、かつ、高誘電体層HK1より薄い酸素供給層HK2を形成し、さらに、窒化タンタルから構成されるキャップ層CLを形成した後、基板SUBの主面を熱処理する。
【選択図】図6

Description

本発明は、半導体装置の製造技術に関し、特に、酸化シリコン(SiO)よりも比誘電率が高い酸化物を含んで構成されたゲート絶縁膜を有するMIS(Metal Insulator Semiconductor)トランジスタを備えた半導体装置の製造に適用して有効な技術に関する。
近年、半導体集積回路を構成するMISトランジスタの微細化に伴って、酸化シリコンから構成されるゲート絶縁膜の薄膜化が急速に進んでいる。しかし、ゲート絶縁膜の膜厚が2nm程度まで薄くなると、ダイレクトトンネリングと呼ばれる量子効果によって、シリコン基板中の電子がゲート絶縁膜を通り抜けてゲート電極に逃げるゲート・リーク現象が顕著になってくる。
そこで、ゲート絶縁膜材料を酸化シリコン(SiO)よりも比誘電率の高い絶縁材料(高誘電体材料)に置き換える検討が進められている。これは、ゲート絶縁膜を高誘電体膜で構成した場合、酸化シリコン膜厚換算容量が同じであっても、実際の物理膜厚を(高誘電体膜の誘電率/酸化シリコン膜の誘電率)倍だけ厚くできるので、結果としてゲート・リーク電流を低減することができるからである。高誘電体材料としては、Hf−O、Hf−Si−O、Hf−Si−O−N、Hf−Al−O、Hf−Al−O−Nなどのハフニウム系酸化物に代表される酸化物が検討されている。
なお、本発明者は、発明した結果に基づき、高誘電体材料から構成されるゲート絶縁膜と金属材料から構成されるゲート電極を形成する第1の観点、および、ゲート絶縁膜を構成する高誘電体材料をキャップする第2の観点、で先行技術調査を行った。その結果、第1の観点では、特開2006−080133号公報(特許文献1)が抽出され、第2の観点では、特開2006−310801号公報(特許文献2)が抽出された。
特開2006−080133号公報(特許文献1)は、全体として、ゲート絶縁膜を高誘電体材料の酸化ハフニウムを用いた上で、nチャネル型MISトランジスタおよびpチャネル型MISトランジスタのゲート電極を、それぞれの仕事関数に合ったゲート電極材料を用いて形成することを主題とするものであり、そのために金属材料を用いることが記載されている。なお、ゲート絶縁膜を構成する高誘電体膜をキャップするという観点についての記載はない。
特開2006−310801号公報(特許文献2)は、全体として、高誘電体膜とゲート電極を構成する多結晶シリコン膜との界面で生じるトラッピングの問題を解決することを主題とするものであり、そのためにゲート電極を形成する前に中間層(いわゆる緩衝層)でゲート絶縁膜上をキャップすることが記載されている。
特開2006−080133号公報 特開2006−310801号公報
高誘電体材料としてのハフニウム系酸化物などの酸化物から構成されるゲート絶縁膜は、原子層制御成膜(ALD:Atomic Layer Deposition)法、CVD(Chemical Vapor Deposition)法またはスパッタリング法を用いて半導体基板上に堆積される。しかし、このようにして堆積された膜は、原料に起因した炭素等の不純物やHO酸化剤に起因したOH等の不純物が膜中に残存するために比較的密度が低くなり、その結果、誘電率が低くなってしまう。そこで、酸化物から構成されるゲート絶縁膜の製造では、例えば、酸化物膜を成膜した後に非酸化性雰囲気で急速加熱処理をする、または酸化物膜を成膜した後に酸素雰囲気中で低温度の熱処理をする必要がある。
ところが、非酸化性雰囲気で急速加熱処理して形成した酸化物膜は、緻密化が図られている反面、移動度などのトランジスタ特性(トランジスタ特性)の低下の原因となる欠陥サイトの一つとなりうる酸素欠損を有するという問題がある。一方、酸素雰囲気で熱処理をして形成した酸化物膜は、熱処理における酸素欠損を抑制できる反面、酸素が酸化物中を拡散してシリコン基板にまで到達して界面酸化シリコン層を形成し、その結果、酸化シリコン膜厚換算容量が増大してしまうという問題がある。
このように、半導体基板上に堆積された酸化物を含んで構成されるゲート絶縁膜は、高誘電率化と酸素欠損の低減化とを両立させることが困難であることが本発明者の検討によって明らかとなった。
本発明の目的は、酸化シリコンより比誘電率の高い酸化物を含んで構成されるゲート絶縁膜を備えたMISトランジスタのトランジスタ特性を向上することのできる技術を提供することにある。
本発明の他の目的は、酸化物膜の高誘電率化と、酸化物膜中の酸素欠損の低減化と、界面酸化シリコン成長の抑制化を達成させる技術を提供することにある。
本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
本発明の一実施の形態は、まず、(a)半導体基板の主面上に、酸化シリコンより高い比誘電率の酸化物から構成される高誘電体層を形成する。次いで、(b)前記半導体基板の主面を非酸化性雰囲気中で熱処理する。次いで、(c)前記高誘電体層上に、前記工程(b)直後の前記高誘電体層中に占める酸素の割合より高い酸化物から構成される酸素供給層を形成する。次いで、(d)前記酸素供給層上に、酸素が拡散するのを抑制する金属から構成されるキャップ層を形成する。次いで、(e)前記半導体基板の主面を熱処理する。
この手段によれば、工程(b)の熱処理によって、前記高誘電体層を緻密化するので、比誘電率の高い前記高誘電体層が得られる。また、工程(e)の熱処理によって、前記酸素供給層中の酸素を前記高誘電体層に供給するので、酸素欠損が低減した前記高誘電体層が得られる。すなわち、酸化物膜の高誘電率化と、酸化物膜中の酸素欠損の低減化と、界面酸化シリコン成長の抑制化を達成した酸化物が得られる。
なお、特開2006−080133号公報(特許文献1)および特開2006−310801号公報(特許文献2)には、前記高誘電体層に酸素を供給するための前記酸素供給層を形成する記載はない。また、前記キャップ層は、特開2006−310801号公報(特許文献2)のように、ゲート絶縁膜とゲート電極との界面で生じるトラッピングを防止するための中間層(いわゆる緩衝層)として用いるものではなく、前記酸素供給層中の酸素が、高誘電体層側とは反対の雰囲気中に拡散するのを防止するためのものである。前記キャップ層によって雰囲気中に酸素が拡散しないため、前記酸素供給層中の酸素が前記高誘電体層に供給されるのである。
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
この一実施の形態によれば、酸化シリコンより比誘電率の高い酸化物を含んで構成されるゲート絶縁膜を備えたMISトランジスタのトランジスタ特性を向上することができる。
以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。
(実施の形態1)
本実施の形態1では、本発明をnチャネル型MISトランジスタの製造方法に適用し、図1〜図9を参照して説明する。図1〜図9は製造工程中の半導体装置を模式的に示す断面図であり、このうち図2〜図6は要部を拡大して示している。なお、pチャネル型MISトランジスタはnチャネル型MISトランジスタの極性を反対にしたものであり、本発明はpチャネル型MISトランジスタの製造方法にも適用することができる。
まず、図1に示すように、例えばp型の単結晶シリコンから構成される半導体基板(以下、「基板」という)SUBの主面(素子形成面)に周知のSTI(Shallow Trench Isolation)技術を用いて素子分離溝IDを形成する。次いで、基板SUBのnチャネル型MISトランジスタ形成領域にホウ素をイオン注入した後、MISトランジスタのしきい値電圧を調整するための不純物をイオン注入する。次いで、基板SUBの主面を熱処理し、上記不純物を基板SUB中に拡散させることによって、基板SUBの主面にp型ウエルPWを形成する。次いで、フッ酸などのウェットエッチング液を用い、基板SUB(p型ウエルPW)の表面の自然酸化膜を除去することによって、基板SUBの表面(シリコン面)を露出させる。
続いて、図2に示すように、基板SUBの主面(p型ウエルPWの表面)上に酸化シリコン(SiO)から構成される界面層ILを形成した後、界面層IL上に酸化シリコンより高い比誘電率の酸化物から構成される高誘電体層HK1を形成する。この高誘電体層HK1は、nチャネル型MISトランジスタのゲート絶縁膜を構成するものであり、例えば酸化ハフニウム(HfO)から構成される。界面層ILは、基板SUB(単結晶シリコン)上に直接高誘電体層HK1(酸化ハフニウム)を形成した時に発生する欠陥を低減するために、本実施の形態1では設けられている。また、この界面層ILはゲート絶縁膜に含まれてしまうため、高い比誘電率のゲート絶縁膜を得るためには、その膜厚はできるだけ薄い方が良い。
本実施の形態1では、界面層ILを構成する酸化シリコン(SiO)は、例えば、希釈フッ酸溶液で自然酸化膜を除去した後に、基板SUBの主面を950℃以上の高温度熱処理酸化することによって形成され、その膜厚は例えば0.3nmである。
また、高誘電体層HK1を構成する酸化ハフニウム(HfO)は、例えば、HO(水)のO(酸素)原料とTDMAH(Tetrakis-Dimethylamido-Hafnium:Hf(NMe)のHf(ハフニウム)原料を用いた原子層制御成膜(ALD:Atomic Layer Deposition)法によって堆積され、その膜厚は例えば2.4nmである。
ここで、高誘電体層HK1は、酸化ハフニウム(Hf−O)の他に、例えば、Hf−Si−O、Hf−Si−O−N、Hf−Al−O、Hf−Al−O−N、Hf-Ta-O、Hf−Ti−O、Hf−La−O、Hf−Y−O、Hf−Ta−Si−O、Hf−Ti−Si−O、Hf−La−Si−O、Hf−Y−Si−Oなどの酸化物も適用することができる。このような酸素(O)とハフニウム(Hf)を含み、酸化シリコン(SiO)より高い比誘電率のものを本願においては「ハフニウム系酸化物」と称している。
ハフニウム系酸化物を形成するにあたりALD法を用いた場合、HOガスのO原料とTDMAH(Hf(NMe)のHf原料の他に、それぞれの原料が用いられる。Si(シリコン)原料としては、例えばTDMAS(Trisdimethlaminosilane:HSi(NMe)である。Al(アルミニウム)原料としては、例えばTMA(Trimethylaluminum:AlMe)である。Ta(タンタル)原料としては、例えばTAIDEAT(tertiaryamylimidotris(dimethlamido)tantalum:EtMeCNTa(NMe)である。Ti(チタン)原料としては、例えばTDMAT(Tetrakisdimethylaminotitanium:Ti(NMe)、である。Y(イットリウム)原料としては、例えばTrisethylcyclopentadienylyttrium:Y(EtCp)である。La(ランタン)原料としては、例えばTrisethylcyclopentadienyllanthanum:La(EtCp)である。また、Hf−Si−O−N及びHf−Al−O−Nの窒化は、Hf−Si−O、Hf−Al−O膜をALD法で堆積した後に、プラズマ窒素による窒化及びアンモンアガスを用いた熱処理による窒化によって作製される。
また、本実施の形態1では、高誘電体層HK1の形成にあたりALD法を適用しているが、これに限らず、スパッタリング法、CVD法によって形成されても良い。なお、後の工程でも、ハフニウム系酸化物を堆積して酸素供給層を構成するが、このハフニウム系酸化物は、スパッタリング法、CVD法を適用せず、ALD法を適用している。
続いて、図3に示すように、酸素濃度がppm(parts per million)以下の非酸化性雰囲気(例えば窒素、水素、アルゴンなど)中において600℃〜1000℃の範囲で基板SUBの主面を熱処理する。この工程では高誘電体層HK1を緻密化する。なお、本願においては、高誘電体層HK1を緻密化する熱処理を「緻密化アニール」という。
堆積されたままの高誘電体層HK1は、原料に起因した炭素等の不純物やHO酸化剤に起因したOH等の不純物が膜中に残存するために比較的密度が低くなり、その結果、誘電率が低い。そこで、成膜後に緻密化アニールを行うことによって、高誘電体層HK1の緻密化および高誘電率化を図ることができる。
また、非酸化性雰囲気中で緻密化アニールを行うことによって、基板SUB(単結晶シリコン)界面におけるSi−O結合の形成を防止することができる。酸素(O)を含む雰囲気中で緻密化アニールを行った場合は、外部から酸素が酸化ハフニウムから構成される高誘電体層HK1中を拡散して酸素が単結晶シリコンから構成される基板SUBまで到達して、単結晶シリコンから構成される基板SUBとの界面でSi−O結合を形成する。その結果、ゲート絶縁膜の一部が酸化シリコン膜になる、すなわち酸化シリコンから構成される界面層ILが厚くなり、ゲート絶縁膜の誘電率が低下してしまう。そこで、非酸化性雰囲気中で緻密化アニールを行うことによって、界面におけるSi−O結合の形成を防止することができるのである。
さらに、緻密化アニール工程を行うにあたり、非酸化性雰囲気中で、かつ急加熱・急冷(例えば、1000℃/sec)の急速加熱処理(PDA:Post Deposition Annealing)で行う場合、酸化シリコンから構成される界面層ILの膜厚をより厚くすることなく、高誘電体層HK1を緻密化することができる。
しかしながら、この緻密化アニールを行うことによって、緻密化を保持したまま酸素(O)が欠損してしまう。図3では、欠損した酸素が雰囲気中に放出されて、高誘電体層HK1中に酸素欠損部DPが発生する様子が示されている。なお、後述の工程(酸素供給アニール工程)で、この酸素欠損部DPを低減する処理が行われる。
続いて、図4に示すように、緻密化アニール直後の高誘電体層HK1中に占める酸素の割合より高い酸化物から構成される酸素供給層HK2を形成する。本実施の形態1では、緻密化アニール直後の酸素欠損している高誘電体層HK1中に占める酸素の割合より高い酸素供給層HK2として、例えばHOのO(酸素)原料とTDMAH(Hf(NMe)のHf(ハフニウム)原料を用いたALD法によって堆積されたハフニウム系酸化物膜を適用することができる。ALD法はチャンバー内に設置した基板SUB上に、原料化合物の分子をモノレイヤごとに表面へ吸着、反応による成膜、パージによる余剰分子の取り除き、HO酸化材との反応による成膜、パージによる余剰分子の取り除き、のサイクルを繰返し行うことによって、原子層を一層ずつ堆積する方法である。このためサイクル数によってハフニウム系酸化物膜から構成される酸素供給層HK2の膜厚を制御することができる。
ALD法によって堆積されたハフニウム系酸化物膜中には、定量的に残留OH基が含まれる。このため緻密化アニール直後の酸素欠損しているハフニウム系酸化物膜(高誘電体層HK1)中に占める酸素の割合よりハフニウム系酸化物膜(酸素供給層HK2)中に占める酸素の割合が高いこととなる。残留した酸素が後述の工程で、高誘電体層HK1で欠損している酸素を補う(供給する)役割をし、すなわち酸素供給層HK2は酸素供給源として働き、その膜厚で絶対供給量を調整することができる。このため原子レベルで膜厚を制御することができるALD法は、酸素供給層HK2の形成には有効となる。
例えば、ALD法によって堆積された酸化ハフニウム膜中には、原料としてHOを用いるため、約0.5%の残留OH基が含まれる。図10にALD法によって堆積された酸化ハフニウム膜の厚さに対する残留OH基の含有量を示す。図10に示すように、サイクル数が増加するに従い、すなわち膜厚が厚くなるに従い、酸化ハフニウム膜中に含まれるOH基が増加することがわかる。したがって、緻密化アニールによって酸素欠損が生じた高誘電体層HK1に対して充分に酸素を供給できるように、ALD法によって堆積された酸化ハフニウムから構成される酸素供給層HK2の膜厚で、絶対供給量を調整する。本実施の形態1では、ALD法によって堆積された酸化ハフニウム膜から構成される酸素供給層HK2の膜厚を例えば4Åと調整している。
ところで、ハフニウム系酸化物を形成する方法にはALD法の他に、スパッタリング法あるいはCVD法などがある。しかしながら、スパッタリング法あるいはCVD法では、堆積された膜中の酸素が過剰とはならず、緻密化アニール直後の酸素欠損している高誘電体層HK1中に占める酸素の割合より高い酸素供給層HK2を形成することができない。このため、HOを原料としたALD法を用いている。
続いて、図5に示すように、酸素供給層HK2上に、酸素が拡散するのを防止する金属から構成されるキャップ層CLを形成する。言い換えると、酸素供給層HK2をキャップ層CLによってキャップする。キャップ層CLは、後の工程(酸素供給アニール工程)で基板SUBの主面を熱処理した場合において、熱処理時の雰囲気中に酸素が放出しないようにする(バリヤする)ために設けられる金属膜(バリアメタル膜)から構成されるものである。本実施の形態1では、キャップ層CLは、例えばスパッタリング法を用いて形成された窒化タンタル(TaN)から構成され、その膜厚は例えば20nmである。
続いて、図6に示すように、例えば窒素(N)雰囲気中で950℃〜1150℃の範囲で基板SUBの主面を熱処理する。この工程では、酸素供給層HK2中で残存している酸素を、高誘電体層HK1に供給し、高誘電体層HK1の酸素欠損を補う。すなわち、図3で説明した緻密化アニールを行うことによって生じた酸素欠損部DPを低減する。なお、本願においては、高誘電体層HK1に酸素を供給する熱処理を「酸素供給アニール」という。
このようにして酸素が補われた高誘電体層HK1は、界面層IL、酸素供給層HK2も含み、ゲート絶縁膜GIを構成する。本実施の形態1では、酸素供給層HK2として、ハフニウム系酸化物を用いているが、緻密化アニール直後の高誘電体層HK1中に占める酸素の割合より高い酸化物であれば良い。しかしながら、高誘電率のゲート絶縁膜GIを得るためには、ハフニウム系酸化物のように、酸素供給層HK2も酸化シリコンより高い比誘電率の酸化物が望ましい。
緻密化アニールによって高誘電体層HK1は緻密化を図れる反面、酸素が欠損してしまい、酸素が低密度な膜となる。ゲート絶縁膜GIにこのような酸素が低密度な膜を適用した場合、高密度な膜の場合と比較して高いゲート・リーク電流が流れてしまう。そこで、酸素供給アニールを行うことによって、高誘電体層HK1の酸素欠損を補い、高密度な膜を形成することができ、ゲート・リーク電流が流れるのを抑制することができる。また、酸素供給アニールにおいて、酸素供給層HK2の膜厚、すなわち酸素供給量は調整されるため、例えば基板SUB(単結晶シリコン)界面にまで酸素が到達せず、界面層ILの厚さを厚くしないこともできる。
これまでの緻密化アニールおよび酸素供給アニールを含む工程によって、ゲート絶縁膜GIを構成する高誘電体層HK1の高誘電率化と、酸素欠損の低減化とを両立することができる。
続いて、図7に示すように、キャップ層CLを構成するバリアメタル膜をパターニングすることによって、バリアメタル膜から構成されるゲート電極GEを形成し、また、ゲート電極GE下以外のゲート絶縁膜GIを除去する。なお、本実施の形態1では、酸素供給アニール後に、ゲート電極GE形成のパターニングを行ったが、その順番が逆になっても良い。
本実施の形態1では、キャップ層CLを構成するバリアメタル膜をパターニングしてゲート電極GEを形成する。このため、キャップ層CLには、酸素が拡散するのを抑制させる役割をし、ゲート電極GEの仕事関数に適したバリアメタルであれば良い。nチャネル型MISトランジスタのキャップ層CLとしては窒化タンタルの他に、アルミニウム(Al)、チタン(Ti)、タンタル(Ta)などを適用することができる。また、pチャネル型MISトランジスタのキャップ層CLとしては、ルテニウム(Ru)、白金(Pt)、ニッケル(Ni)などを適用することができる。
また、本実施の形態1では、キャップ層CLおよびゲート電極GEとも窒化タンタルから構成したが、キャップ層CLに窒化タンタルを用いて酸素供給アニールをした後、その窒化タンタルを除去した後、最適な仕事関数の金属材料からゲート電極GEを形成しても良い。
続いて、図8に示すように、p型ウエルPWにリンまたはヒ素をイオン注入してn型半導体領域SA1を形成する。n型半導体領域SA1は、nチャネル型MISトランジスタをLDD(Lightly Doped Drain)構造にするために形成する。
続いて、図9に示すように、ゲート電極GEの側壁にサイドウォールスペーサSSを形成する。サイドウォールスペーサSSは、基板SUB上にCVD法で酸化シリコン膜を堆積した後、この酸化シリコン膜を異方性エッチングすることによって形成される。次いで、p型ウエルPWにリンまたはヒ素をイオン注入した後、基板SUBの主面を熱処理してこれらの不純物を拡散させることにより、p型ウエルPWにn型半導体領域(ソース・ドレイン)SA2を形成する。その後、配線工程を経て、最終に水素雰囲気下で400℃の熱処理(FGA:Forming Gas Annealing)を行い、nチャネル型MISトランジスタを備えた半導体装置が完成する。
図11は、本実施の形態1におけるnチャネル型MISトランジスタ(図11の説明にあたりQnとする)と、本発明者が検討したnチャネル型MISトランジスタ(Q’nとする)のトランジスタ特性を比較した表である。なお、Q’nは、Qnの製造工程のうち酸素供給層HK2(ALD法によって堆積された膜厚4Åの酸化ハフニウム膜)を形成する工程を省略した以外は、同様の工程で製造されたものである。
図11に示すように、QnおよびQ’nのゲート絶縁膜GIの酸化シリコン換算膜厚(EOT)は、それぞれ1.1nmおよび1.0nmと同程度である。したがって、QnおよびQ’nのトランジスタ特性を比較することができる。トランジスタ特性として、ゲート・リーク電流(Jg)および電子移動度(μ)の比較した場合、Q’nに対してQnではゲート・リーク電流が3桁程度低く、電子移動度が2倍程度高い。
このように実施の形態1によるMISトランジスタは、緻密化アニールおよび酸素供給アニールを含む工程によって、ゲート絶縁膜GIを構成する高誘電体層HK1の高誘電率化と酸素欠損の低減化とを両立することができる。また、酸素欠損を抑制したゲート絶縁膜GIを有するMISトランジスタを形成することによって、トランジスタ特性を向上することができる。さらに、酸素供給アニール時において、界面層ILの成長を抑制することができるために、EOTが1nm以下のゲート絶縁膜GIを有するMISトランジスタを形成することもできる。
(実施の形態2)
前記実施の形態1では、酸素供給層を形成する工程では、ALD法によって堆積したハフニウム系酸化物を適用して形成する場合について説明したが、本実施の形態2では、ALD法によって堆積した酸化アルミニウム(Al)、または、ALD法によって堆積した酸化タンタル(Ta)を適用して形成する場合について説明する。なお、その他の工程は前記実施の形態1と同様である。
前記実施の形態1で説明したように、酸素供給層HK2は、高誘電体層HK1で欠損している酸素分を補うために、酸素供給アニール時に酸素を高誘電体層HK1へ供給するものである。このため酸素供給層HK2には、酸素供給層HK2中に占める酸素の割合が緻密化アニール直後の酸素欠損している高誘電体層HK1中に占める酸素濃度より高いものを適用すれば良い。そこで、HOを原料として用いるALD法によって酸化アルミニウム(Al)または酸化タンタル(Ta)を堆積することによって、酸素供給層HK2を構成する。
本実施の形態2では、酸化アルミニウム(Al)を、例えば、HOのO(酸素)原料とAl(アルミニウム)原料のTMA(Trimethylaluminum:AlMe)を用いたALD法によって堆積する。または、酸化タンタル(Ta)を、例えば、HOのO(酸素)原料とTa(タンタル)原料のTAIDEAT(Tertiaryamylimidotris(dimethlamido)tantalum:EtMeCNTa(NMe)を用いたALD法によって堆積する。
図12は、ALD法によって堆積された酸化アルミニウム(Al)および酸化タンタル(Ta)に含まれるOH基の含有量を示すグラフである。また、前記実施の形態1において酸素供給層HK2として用いた酸化ハフニウム(HfO)に含まれるOH基の含有量も合わせて示す。
図12に示すように、HfOには約0.5%、Alには約0.3%、Taには約1%のOH基が含まれることがわかる。これが酸素供給源として働き、緻密化アニール直後の高誘電体層HK1のある酸素欠損量に対して、膜厚で絶対供給量を調整することができる。なお、ある酸素欠損量の高誘電体層HK1に対して酸素を供給する場合、約0.5%のOH基が含まれるHfOに対して約1%のOH基が含まれるTaは、膜厚がHfOの半分程度で良い。
緻密化アニールによって高誘電体層HK1は緻密化を図れる反面、酸素が欠損してしまい、酸素が低密度な膜となる。酸素供給アニールを行うことによって、高誘電体層HK1の酸素欠損を補い、高密度な膜を形成することができ、ゲート・リーク電流が流れるのを抑制することができる。すなわち、酸素欠損を抑制したゲート絶縁膜GIを形成することによって、トランジスタ特性を向上することができる。
以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。
例えば、前記実施の形態では、高誘電体層としてハフニウム系酸化物(Hf−O)を例示したが、酸化アルミニウム(Al)、酸化タンタル(Ta)、酸化チタン(TiO)、酸化ランタン(La)、酸化ジルコニウム(ZrO)から構成される高誘電体層とした場合にも適用することができる。酸化アルミニウム、酸化タンタル、酸化チタン、酸化ランタン、および酸化ジルコニウムは、前記実施の形態で示したハフニウム系酸化物と同様に、熱処理によって酸素欠損が発生してしまう。しかしながら、酸素供給層中の酸素が、高誘電体層を構成する酸化アルミニウム、酸化タンタル、酸化チタン、酸化ランタン、および酸化ジルコニウムに供給されることによって、高誘電体層の高誘電率化と、高誘電体層中の酸素欠損の低減化とを両立することができる。
本発明は、半導体装置の製造業に幅広く利用されるものであり、特に、32nmテクノロジ以降のトランジスタ特性に優れた半導体装置の製造に利用されるものである。
本発明の実施の形態1における半導体装置の製造工程中の断面図である。 図1に続く半導体装置の製造工程中における拡大断面図である。 図2に続く半導体装置の製造工程中における拡大断面図である。 図3に続く半導体装置の製造工程中における拡大断面図である。 図4に続く半導体装置の製造工程中における拡大断面図である。 図5に続く半導体装置の製造工程中における拡大断面図である。 図6に続く半導体装置の製造工程中における断面図である。 図7に続く半導体装置の製造工程中における断面図である。 図8に続く半導体装置の製造工程中における断面図である。 ALD法によって堆積された酸化ハフニウム膜の厚さに対する残留OH基の含有量を示すグラフである。 本実施の形態1におけるnチャネル型MISトランジスタ(Qn)と、本発明者が検討したnチャネル型MISトランジスタ(Q’n)のトランジスタ特性を比較した表である。 本発明の実施の形態2におけるALD法によって堆積された種々の酸化物に含まれるOH基の含有量を示すグラフである。
符号の説明
CL キャップ層
DP 酸素欠損部
GE ゲート電極
GI ゲート絶縁膜
HK1 高誘電体層
HK2 酸素供給層
ID 素子分離溝
IL 界面層
PW p型ウエル
SA1 n型半導体領域
SA2 n型半導体領域(ソース・ドレイン)
SS サイドウォールスペーサ
SUB 基板

Claims (7)

  1. 半導体基板上にMISトランジスタのゲート絶縁膜を形成し、前記ゲート絶縁膜上に前記MISトランジスタのゲート電極を形成する工程を含む半導体装置の製造方法であって、
    前記半導体基板上に前記ゲート絶縁膜を形成する工程は、
    (a)前記半導体基板の主面上に、酸化シリコンより高い比誘電率の酸化物から構成される第1層を形成する工程と、
    (b)前記工程(a)の後、前記半導体基板の主面を非酸化性雰囲気中で熱処理する工程と、
    (c)前記第1層上に、前記工程(b)直後の第1層中に占める酸素の割合より高い酸化物から構成される第2層を形成する工程と、
    (d)前記第2層上に、酸素が拡散するのを抑制する金属から構成されるキャップ層を形成する工程と、
    (e)前記工程(d)の後、前記半導体基板の主面を熱処理する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  2. 前記工程(c)では、前記第2層を構成する酸化ハフニウム膜を、酸素原料として水を用いたALD法によって、前記第1層上に形成することを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記工程(c)では、前記第2層を構成する酸化アルミニウム膜を、酸素原料として水を用いたALD法によって、前記第1層上に形成することを特徴とする請求項1記載の半導体装置の製造方法。
  4. 前記工程(c)では、前記第2層を構成する酸化タンタル膜を、酸素原料として水を用いたALD法によって、前記第1層上に形成することを特徴とする請求項1記載の半導体装置の製造方法。
  5. (f)前記キャップ層をパターニングすることによって、前記キャップ層から構成される前記ゲート電極を形成する工程と、
    を含むことを特徴とする請求項1記載の半導体装置の製造方法。
  6. 前記工程(b)では、前記第1層を緻密化することを特徴とする請求項1記載の半導体装置の製造方法。
  7. 前記工程(e)では、前記第2層から前記第1層へ酸素を供給することを特徴とする請求項1記載の半導体装置の製造方法。
JP2007128692A 2007-05-15 2007-05-15 半導体装置の製造方法 Active JP5103056B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2007128692A JP5103056B2 (ja) 2007-05-15 2007-05-15 半導体装置の製造方法
US12/116,940 US20090011608A1 (en) 2007-05-15 2008-05-07 Manufacturing method of semiconductor device
US12/752,828 US8168547B2 (en) 2007-05-15 2010-04-01 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007128692A JP5103056B2 (ja) 2007-05-15 2007-05-15 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2008288227A true JP2008288227A (ja) 2008-11-27
JP2008288227A5 JP2008288227A5 (ja) 2010-06-17
JP5103056B2 JP5103056B2 (ja) 2012-12-19

Family

ID=40147704

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007128692A Active JP5103056B2 (ja) 2007-05-15 2007-05-15 半導体装置の製造方法

Country Status (2)

Country Link
US (2) US20090011608A1 (ja)
JP (1) JP5103056B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012049181A (ja) * 2010-08-24 2012-03-08 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2022080883A (ja) * 2020-11-18 2022-05-30 アプライド マテリアルズ インコーポレイテッド 材料構造を改良するための処理
WO2024096231A1 (ko) * 2022-11-03 2024-05-10 (주)이큐테크플러스 고밀도 라디컬을 이용하여 개선된 계면 및 박막을 형성하는 방법

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP2010278319A (ja) * 2009-05-29 2010-12-09 Renesas Electronics Corp 半導体装置およびその製造方法
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8957418B2 (en) * 2010-12-08 2015-02-17 Sharp Kabushiki Kaisha Semiconductor device and display apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8921176B2 (en) * 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
KR20140032716A (ko) 2012-09-07 2014-03-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102202603B1 (ko) * 2014-09-19 2021-01-14 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11245022B2 (en) 2019-05-24 2022-02-08 Applied Materials, Inc. Integrated dipole flow for transistor
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0466376A (ja) * 1990-07-05 1992-03-02 Nissan Motor Co Ltd 自動車のフード構造
JPH0574037A (ja) * 1991-09-10 1993-03-26 Sony Corp デイスク再生装置
JP2001185548A (ja) * 1999-12-22 2001-07-06 Fujitsu Ltd 半導体装置およびその製造方法
JP2001284345A (ja) * 2000-03-29 2001-10-12 Fujitsu Ltd 5酸化タンタル膜の製造方法
JP2002043565A (ja) * 2000-07-26 2002-02-08 Toshiba Corp 半導体装置の製造方法
JP2003110100A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 半導体装置の製造方法
JP2004088078A (ja) * 2002-07-02 2004-03-18 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2005064052A (ja) * 2003-08-15 2005-03-10 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
WO2005117086A1 (en) * 2004-05-21 2005-12-08 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP2006086511A (ja) * 2004-08-17 2006-03-30 Nec Electronics Corp 半導体装置
JP2006278488A (ja) * 2005-03-28 2006-10-12 Fujitsu Ltd 半導体装置及びその製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3732098B2 (ja) * 2001-02-19 2006-01-05 株式会社ルネサステクノロジ 半導体装置
US6717226B2 (en) * 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US20070023842A1 (en) * 2003-11-12 2007-02-01 Hyung-Suk Jung Semiconductor devices having different gate dielectric layers and methods of manufacturing the same
KR100568448B1 (ko) * 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7074680B2 (en) * 2004-09-07 2006-07-11 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP4163164B2 (ja) 2004-09-07 2008-10-08 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US20060211259A1 (en) 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
US7544596B2 (en) * 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0466376A (ja) * 1990-07-05 1992-03-02 Nissan Motor Co Ltd 自動車のフード構造
JPH0574037A (ja) * 1991-09-10 1993-03-26 Sony Corp デイスク再生装置
JP2001185548A (ja) * 1999-12-22 2001-07-06 Fujitsu Ltd 半導体装置およびその製造方法
JP2001284345A (ja) * 2000-03-29 2001-10-12 Fujitsu Ltd 5酸化タンタル膜の製造方法
JP2002043565A (ja) * 2000-07-26 2002-02-08 Toshiba Corp 半導体装置の製造方法
JP2003110100A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 半導体装置の製造方法
JP2004088078A (ja) * 2002-07-02 2004-03-18 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2005064052A (ja) * 2003-08-15 2005-03-10 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
WO2005117086A1 (en) * 2004-05-21 2005-12-08 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP2006086511A (ja) * 2004-08-17 2006-03-30 Nec Electronics Corp 半導体装置
JP2006278488A (ja) * 2005-03-28 2006-10-12 Fujitsu Ltd 半導体装置及びその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012049181A (ja) * 2010-08-24 2012-03-08 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2022080883A (ja) * 2020-11-18 2022-05-30 アプライド マテリアルズ インコーポレイテッド 材料構造を改良するための処理
JP7210682B2 (ja) 2020-11-18 2023-01-23 アプライド マテリアルズ インコーポレイテッド 材料構造を改良するための処理
WO2024096231A1 (ko) * 2022-11-03 2024-05-10 (주)이큐테크플러스 고밀도 라디컬을 이용하여 개선된 계면 및 박막을 형성하는 방법

Also Published As

Publication number Publication date
US20090011608A1 (en) 2009-01-08
JP5103056B2 (ja) 2012-12-19
US8168547B2 (en) 2012-05-01
US20100187644A1 (en) 2010-07-29

Similar Documents

Publication Publication Date Title
JP5103056B2 (ja) 半導体装置の製造方法
KR100640638B1 (ko) 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
US7405482B2 (en) High-k dielectric film, method of forming the same and related semiconductor device
TWI420601B (zh) 製造一氮化閘極介電層之方法
JP4277268B2 (ja) 金属化合物薄膜の製造方法、ならびに当該金属化合物薄膜を含む半導体装置の製造方法
JP2009059882A (ja) 半導体装置
US7601578B2 (en) Defect control in gate dielectrics
US7465618B2 (en) Semiconductor device and method for fabricating the same
US6984565B2 (en) Method of manufacturing a semiconductor device
JP2005116727A (ja) 絶縁体薄膜の製造方法と絶縁体薄膜および半導体装置の製造方法と半導体装置
JP2008288226A (ja) 半導体装置およびその製造方法
KR20070059707A (ko) 유전막의 전기적 특성 향상을 위한 반도체 소자의 제조방법
JP2010034440A (ja) 半導体装置及びその製造方法
JP5050351B2 (ja) 半導体装置の製造方法
WO2004107451A1 (ja) Mis型電界効果トランジスタを備える半導体装置及びその製造方法並びに金属酸化膜の形成方法
US7592234B2 (en) Method for forming a nitrogen-containing gate insulating film
JP4477981B2 (ja) 半導体装置の製造方法
JPWO2006009025A1 (ja) 半導体装置及び半導体装置の製造方法
JP2004247474A (ja) 半導体装置及びその製造方法並びに成膜方法
US11791153B2 (en) Deposition of hafnium oxide within a high aspect ratio hole
KR102532520B1 (ko) 문턱 전압이 제어된 반도체 소자 및 그 제조방법
JP4220991B2 (ja) 半導体装置の製造方法
JP2010283040A (ja) 半導体装置及びその製造方法
JP2004289082A (ja) 高誘電率ゲート絶縁膜の形成方法
US20050189598A1 (en) Logic embedded-memory integrated circuits

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100426

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100426

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120831

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120904

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121001

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151005

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5103056

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350