JP7210682B2 - 材料構造を改良するための処理 - Google Patents

材料構造を改良するための処理 Download PDF

Info

Publication number
JP7210682B2
JP7210682B2 JP2021186256A JP2021186256A JP7210682B2 JP 7210682 B2 JP7210682 B2 JP 7210682B2 JP 2021186256 A JP2021186256 A JP 2021186256A JP 2021186256 A JP2021186256 A JP 2021186256A JP 7210682 B2 JP7210682 B2 JP 7210682B2
Authority
JP
Japan
Prior art keywords
layer
processing chamber
gate dielectric
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021186256A
Other languages
English (en)
Other versions
JP2022080883A (ja
Inventor
シュリーニヴァース ガンディコッタ,
イーシオン ヤン,
ジャクリーン サマンサ レンチ,
ヨン ヤン,
スティーブン シー.エイチ. ハング,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/951,858 external-priority patent/US11417517B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022080883A publication Critical patent/JP2022080883A/ja
Application granted granted Critical
Publication of JP7210682B2 publication Critical patent/JP7210682B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

[0001]本明細書に記載の実施形態は、一般に、半導体デバイスの製造に関連し、より具体的には、半導体構造内に高品質の高誘電率誘電体材料層および金属ゲート構造を形成するシステムおよび方法に関連する。
[0002]高いデバイス性能と低い電力消費を実現するため、金属酸化物半導体電界効果トランジスタ(MOSFET)のサイズが縮小してきたので、従来の二酸化ケイ素(SiO)ゲート誘電体の厚さは、物理的な限界まで減少した。その結果、二酸化ケイ素ゲート誘電体を高誘電率誘電体材料に置き換えることが、さらなるスケーリングを達成するためには不可避であった。様々な高誘電率誘電体材料の中で、酸化ハフニウム(HfO)が、その高い誘電率とシリコン基板上での優れた熱安定性により、45nm MOSFETテクノロジーノードから適用されてきた。ただし、32nm MOSFETテクノロジーノード以降の酸化膜換算膜厚(EOT)をさらにスケーリングするには、高誘電率誘電体材料層の厚さを単純に薄くするだけでは、高誘電率誘電体材料層を通るリーク電流が増加するため、問題がある。
[0003]加えて、ポリシリコン枯渇効果に関連する望ましくない電圧降下を低減し、MOSFETの駆動電流性能と動作速度を向上させるために、従来の多結晶シリコン(ポリシリコン)ゲートは、金属層(例えば、チタン(Ti)、タンタル(Ta)、タングステン(W))および金属含有導電性化合物層(例えば、窒化チタン(TiN)、窒化タンタル(TaN))で形成された金属ゲートに置き換えられてきた。しかしながら、そのような金属ゲートは、金属含有前駆体(例えば、塩化チタン、TiCl)および窒素含有前駆体(例えば、アンモニア、NH)を使用する炉ベースのプロセスによって、通常、形成される。このプロセスは、高い酸素含有量を含み得るので、将来のスケーラビリティには理想的でない可能性がある。
[0004]したがって、所望の構造的および電気的特性を確保するように制御することができる化学構造を有する薄い(例えば、1nm未満のEOT)高誘電率誘電体材料層を形成するために、かつ高い酸素含有量なしで金属ゲートを形成するために使用できるシステムおよび方法が必要である。
[0005]本開示の実施形態は、基板上に形成された半導体構造上に高誘電率誘電体キャップ層を形成する方法を提供する。この方法は、半導体構造上に高誘電率誘電体キャップ層を堆積すること、高誘電率誘電体キャップ層上に犠牲シリコンキャップ層を堆積すること、堆積直後の状態の高誘電率誘電体キャップ層を硬化および高密度化するためのキャップ後アニールプロセスを実行すること、ならびに犠牲シリコンキャップ層を除去すること、を含む。
[0006]本開示の実施形態は、基板上に形成された半導体構造上に高誘電率誘電体キャップ層を形成する方法を、さらに提供する。この方法は、半導体構造上に高誘電率誘電体キャップ層を堆積すること、高誘電率誘電体キャップ層上に犠牲シリコンキャップ層を堆積すること、堆積直後の状態の高誘電率誘電体キャップ層を硬化および高密度化するためのキャップ後アニールプロセスを実行すること、ならびに犠牲シリコンキャップ層を除去すること、を含む。
[0007]本開示の実施形態は、処理システムを、さらに提供する。システムは、第1の処理チャンバ、第2の処理チャンバ、第3の処理チャンバ、第4の処理チャンバ、およびシステムコントローラを含む。システムコントローラは、第6の処理チャンバ内で高誘電率ゲート誘電体層上に高誘電率誘電体キャップ層を堆積し、第7の処理チャンバ内で高誘電率誘電体キャップ層上に犠牲シリコンキャップ層を堆積し、第8の処理チャンバ内でキャップ後アニールプロセスを実行して、堆積直後の状態の高誘電率誘電体キャップ層を硬化および高密度化し、第9の処理チャンバ内で犠牲シリコンキャップ層を除去するように、構成されている。基板は、処理システム内の真空環境を破壊することなく、第1、第2、第3、および第4の処理チャンバ間を移送される。
[0008]本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって行われ、そのいくつかが、添付の図面に示されている。しかしながら、添付の図面は、本開示の典型的な実施形態のみを示し、したがって、その範囲を限定すると見なされるべきではなく、本開示は、他の同等に有効な実施形態を認めることができることに留意されたい。
一実施形態による例示的なマルチチャンバ処理システムの概略上面図である。 一実施形態による半導体構造を形成する方法のプロセスフロー図である。 一実施形態による半導体構造の概略図である。 一実施形態による半導体構造の概略図である。 一実施形態による半導体構造を形成する方法のプロセスフロー図である。 一実施形態による半導体構造の概略図である。 一実施形態による半導体構造の概略図である。 一実施形態による半導体構造の概略図である。
[0014]理解を容易にするため、可能な場合には、図に共通する同一の要素を示すために同一の参照番号が使用されている。一実施形態の要素および特徴は、さらに説明することなく、他の実施形態に有益に組み込まれ得ることが企図される。
[0015]ゲート構造が、より小さな寸法にスケーリングするにつれて、改善を提供するための新しい材料構造が、求められている。高誘電率誘電体材料を使用すると、酸化ケイ素などの材料を使用する従来のゲート構造よりも、ゲート構造の誘電率が高くなる。ただし、酸化ケイ素と同様に、ゲート構造の厚さが薄くなると、リーク電流が増加する。例えば、酸化膜換算膜厚が減少すると、ゲートリークが増加する。したがって、ゲートリークと酸化膜換算膜厚の間の逆の関係は、トランジスタと製造されるデバイスの性能に限界を形成する可能性がある。
[0016]高誘電率誘電体材料は、同様の物理的厚さの酸化ケイ素よりも、チャネルに対する、より優れた静電制御を提供することができる。ゲートリークを増加させることなく酸化膜換算膜厚を薄くすることを、業界が求め続けるにつれ、既知の高誘電率材料の誘電率(「κ値」とも呼ばれる)を最大化する努力は、形態的特性により限界に達しつつある。従来の技術は、κ値の上限を設定する可能性のある高誘電率材料の自然の特性を克服するのに苦労し、その後、新しい膜を組み込もうとしてデバイスを改造してきた。
[0017]加えて、多結晶シリコン(ポリシリコン)ゲートに代わる、金属層と金属含有導電性化合物で形成された金属ゲートの典型的な炉ベースのプロセスは、プロセス中に高い酸素含有量を含み得るので、将来のスケーラビリティには理想的でない可能性がある。
[0018]本明細書に記載の実施形態は、薄い(例えば、1nm未満のEOT)高誘電率誘電体材料層を形成し、かつ金属ゲートを形成するためのシステムおよび方法を提供する。特定の形態または粒子構造を示す高誘電率誘電体材料を製造することにより、より高い誘電率と、それに続く改善されたデバイス性能が、可能になり得る。例示的なデバイスにおける膜内形態を制御するために、処理が実行されて、特定の膜形態を誘発することができる活性化された基板表面を提供し、ならびに形成後に膜を安定化させることができ、これにより、より高い誘電率をもたらすことができる。高い酸素含有量なしで金属ゲートを形成することにより、酸化膜換算膜厚(EOT)のさらなるスケーリングが可能になる。
[0019]図1は、本開示のいくつかの例によるマルチチャンバ処理システム100の例の概略上面図である。処理システム100は、一般に、ファクトリインターフェース102、ロードロックチャンバ104、106、それぞれの移送ロボット112、114を備えた移送チャンバ108、110、保持チャンバ116、118、および処理チャンバ120、122、124、126、128、130を含む。本明細書に詳述するように、処理システム100内のウェハは、ウェハを処理システム100の外部の周囲環境(例えば、ファブ内に存在し得るような大気環境)に曝すことなく、様々なチャンバ内で処理され、様々なチャンバ間で移送され得る。例えば、ウェハは、処理システム100内においてウェハ上で実行される様々なプロセス間で低圧または真空環境を破壊することなく、低圧(例えば、約300Torr以下)または真空環境において様々なチャンバ内で処理され、様々なチャンバ間で移送され得る。したがって、処理システム100は、ウェハのいくつかの処理のための統合されたソリューションを提供することができる。
[0020]本明細書で提供される教示に従って適切に改変され得る処理システムの例には、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているEndura(登録商標)、Producer(登録商標)またはCentura(登録商標)統合処理システムまたは他の適切な処理システムが含まれる。他の処理システム(他の製造業者からのものを含む)が、本明細書に記載された態様から利益を得るように適合され得ることが企図される。
[0021]図1の図示の例では、ファクトリインターフェース102は、ウェハの移送を容易にするために、ドッキングステーション140およびファクトリインターフェースロボット142を含む。ドッキングステーション140は、1つ以上の前方開口式一体型ポッド(FOUP)144を受け入れるように構成されている。いくつかの例では、各ファクトリインターフェースロボット142は、一般に、ウェハをファクトリインターフェース102からロードロックチャンバ104、106に移送するように構成された、それぞれのファクトリインターフェースロボット142の一端に配置されたブレード148を備える。
[0022]ロードロックチャンバ104、106は、ファクトリインターフェース102に連結されたそれぞれのポート150、152と、移送チャンバ108に連結されたそれぞれのポート154、156とを有する。移送チャンバ108は、保持チャンバ116、118に連結されたそれぞれのポート158、160と、処理チャンバ120、122に連結されたそれぞれのポート162、164とを、さらに有する。同様に、移送チャンバ110は、保持チャンバ116、118に連結されたそれぞれのポート166、168と、処理チャンバ124、126、128、130に連結されたそれぞれのポート170、172、174、176とを有する。ポート154、156、158、160、162、164、166、168、170、172、174、176は、例えば、移送ロボット112、114によってウェハを通過させるための、かつ、それぞれのチャンバ間にシールを提供して、ガスがそれぞれのチャンバ間を通るのを防ぐためのスリットバルブを備えたスリットバルブ開口部であり得る。一般に、ウェハを通過させるために任意のポートが開く。それ以外の場合、ポートは閉じられる。
[0023]ロードロックチャンバ104、106、移送チャンバ108、110、保持チャンバ116、118、および処理チャンバ120、122、124、126、128、130は、ガスおよび圧力制御システム(具体的には示されていない)に流体連結され得る。ガスおよび圧力制御システムは、1つ以上のガスポンプ(例えば、ターボポンプ、クライオポンプ、粗引きポンプ)、ガス源、様々なバルブ、および様々なチャンバに流体連結された導管を含むことができる。動作中、ファクトリインターフェースロボット142は、ウェハをFOUP144からポート150または152を介してロードロックチャンバ104または106に移送する。次に、ガスおよび圧力制御システムは、ロードロックチャンバ104または106をポンプダウンする。さらに、ガスおよび圧力制御システムは、移送チャンバ108、110および保持チャンバ116、118を内部の低圧または真空環境(不活性ガスを含み得る)で維持する。したがって、ロードロックチャンバ104または106のポンプダウンは、例えば、ファクトリインターフェース102の大気環境と移送チャンバ108の低圧または真空環境との間で、ウェハを渡すことを容易にする。
[0024]ポンプダウンされたロードロックチャンバ104または106内のウェハを、移送ロボット112が、ポート154または156を介して、ロードロックチャンバ104または106から移送チャンバ108に移送する。次に、移送ロボット112は、処理のためにそれぞれのポート162、164を介して処理チャンバ120、122のいずれかに、さらなる移送を待って保持するためにそれぞれのポート158、160を介して保持チャンバ116、118のいずれかに、および/またはそれらの間で、ウェハを移送することができる。同様に、移送ロボット114は、ポート166または168を介して保持チャンバ116または118内のウェハにアクセスすることができ、処理のためにそれぞれのポート170、172、174、176を介して処理チャンバ124、126、128、130のいずれかに、さらなる移送を待って保持するためにそれぞれのポート166、168を介して保持チャンバ116、118のいずれかに、および/またはそれらの間で、ウェハを移送することができる。様々なチャンバ内およびチャンバ間でのウェハの移送および保持は、ガスおよび圧力制御システムによって提供される低圧または真空環境で行うことができる。
[0025]処理チャンバ120、122、124、126、128、130は、ウェハを処理するための任意の適切なチャンバであり得る。いくつかの例では、処理チャンバ122は、洗浄プロセスを実行することができ、処理チャンバ120は、エッチングプロセスを実行することができ、処理チャンバ124、126、128、130は、それぞれのエピタキシャル成長プロセスを実行することができる。処理チャンバ122は、カリフォルニア州サンタクララのアプライドマテリアルズから入手可能なSiCoNi(商標)前洗浄チャンバであり得る。処理チャンバ120は、カリフォルニア州サンタクララのアプライドマテリアルズから入手可能なSelectra(商標)エッチングチャンバであり得る。
[0026]システムコントローラ190が、処理システム100またはその構成要素を制御するために、処理システム100に連結されている。例えば、システムコントローラ190は、処理システム100のチャンバ104、106、108、116、118、110、120、122、124、126、128、130の直接制御を使用して、またはチャンバ104、106、108、116、118、110、120、122、124、126、128、130に関連付けられたコントローラを制御することによって、処理システム100の動作を制御することができる。動作中、システムコントローラ190は、それぞれのチャンバからのデータ収集およびフィードバックが、処理システム100のパフォーマンスを調整することを可能にする。
[0027]システムコントローラ190は、一般に、中央処理装置(CPU)192、メモリ194、およびサポート回路196を含む。CPU192は、産業環境で使用することができる任意の形態の汎用プロセッサの1つであり得る。メモリ194、すなわち非一時的なコンピュータ可読媒体は、CPU192によってアクセス可能であり、ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)などのメモリ、フロッピーディスク、ハードディスク、またはローカルもしくはリモートの他の形式のデジタルストレージのうちの1つ以上であり得る。サポート回路196は、CPU192に連結され、キャッシュ、クロック回路、入力/出力サブシステム、電源などを含み得る。本明細書に開示される様々な方法は、一般に、例えばソフトウェアルーチンとして、メモリ194(または特定のプロセスチャンバのメモリ)に格納されたコンピュータ命令コードを実行するCPU192によって、CPU192の制御下で実施され得る。コンピュータ命令コードがCPU192によって実行されるとき、CPU192は、様々な方法に従ってプロセスを実行するようにチャンバを制御する。
[0028]他の処理システムは、他の構成にすることができる。例えば、より多くのまたはより少ない処理チャンバが、移送装置に連結されてもよい。図示の例では、移送装置は、移送チャンバ108、110および保持チャンバ116、118を含む。他の例では、より多くのもしくはより少ない移送チャンバ(例えば、1つの移送チャンバ)および/またはより多くのもしくはより少ない保持チャンバ(例えば、保持チャンバなし)が、処理システムにおける移送装置として実施され得る。
[0029]図2は、本開示の1つ以上の実施態様による半導体構造300を形成する方法200のプロセスフロー図である。図3Aおよび図3Bは、方法200の様々な状態に対応する半導体構造300の一部の断面図である。図3Aおよび図3Bは、半導体構造300の部分的な概略図のみを示し、半導体構造300は、図に示されるような態様を有する任意の数のトランジスタセクションおよび追加の材料を含み得ることを理解されたい。図2に示される方法ステップは、順次的に記載されているが、省略および/もしくは追加された、ならびに/または別の望ましい順序で再配置された1つ以上の方法ステップを含む他のプロセスシーケンスが、本明細書に提供される本開示の実施形態の範囲内にあることにも、留意されたい。
[0030]方法200は、基板302の表面を前洗浄するためのブロック210の前洗浄プロセスから始まる。前洗浄プロセスは、NHOH(水酸化アンモニウム)、H(過酸化水素)、およびHO(水)を含むスタンダードクリーン1(SC1)エッチング溶液などのエッチング溶液を使用するウェットエッチングプロセスによって、またはドライエッチングプロセス、例えば、基板302の表面がN、NF、およびNHプラズマ副生成物に曝される、SiConi(商標)遠隔プラズマ支援ドライエッチングプロセスによって、基板302の表面をエッチングすることを含み得る。前洗浄プロセスは、図1に示す処理チャンバ122または120などの前洗浄チャンバで実行できる。
[0031]ブロック220において、図3Aに示されるように、界面形成プロセスが実行されて、基板302の前洗浄された表面上に界面層304を形成する。界面形成プロセスは、亜酸化窒素(NO)ガスを利用する改良されたインシトゥ(その場)蒸気発生(eISSG)プロセスなどの適切な熱酸化プロセスを含み得る。ブロック220で形成された界面層304は、薄いアモルファス酸化ケイ素(SiO)層であり、酸化ケイ素の1つ以上の単分子層に対応する、約3Åから約10Åの間、例えば約5Åの、厚さを有する。いくつかの実施形態では、界面層304は、HおよびOガスを利用するインシトゥ蒸気発生(ISSG)プロセス、またはNHおよびOガスを利用する高速熱酸化(RTO)プロセスによって形成され得る。界面層304は、その上に堆積される高誘電率誘電体材料層の核形成層として機能し、基板302と高誘電率誘電体材料層の間の界面の品質(例えば、界面状態密度、蓄積容量、周波数分散、およびリーク電流など)を改善し得る。界面形成プロセスは、図1に示す処理チャンバ120、122、124、126、128、または130などの処理チャンバで実行することができる。
[0032]いくつかの実施形態では、ブロック220の界面形成プロセスは省略され、界面層304は、基板302上に高誘電率誘電体材料層を堆積する前に形成されない。その場合、界面層304は、基板302上に堆積された高誘電率誘電体材料層を通して基板302を熱酸化する、以下に説明するブロック250またはブロック290の熱酸化プロセスによって形成される。ブロック250またはブロック290の熱酸化プロセスによって形成された界面層304は、信頼できるデバイス特性(例えば、界面状態密度、蓄積容量、周波数分散、およびリーク電流など)を確保し、高誘電率誘電体材料層から基板302への原子拡散を低減するのに十分な厚さであり得、約0.3nmから約1nmの間、例えば約0.5nmの厚さを有する。
[0033]ブロック230では、堆積プロセスが実行されて、半導体構造300の露出表面(すなわち、界面層304がブロック220で形成される場合は、図3Bに示されるような界面層304、そして界面層304がブロック220で形成されない場合は、基板302)上に高誘電率ゲート誘電体層306が堆積される。高誘電率ゲート誘電体層306は、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、酸化イッテルビウム(Y)、酸化アルミニウム(Al)、第3の元素が既存の金属酸化物高誘電率誘電体ホスト材料にドープされている、HfZrO、HfLaOx、HfTiOなどの3成分の高誘電率誘電体膜、などの高誘電率誘電体材料で形成され得る。堆積プロセスは、金属含有前駆体および酸素含有前駆体が半導体構造300の露出表面に交互に送達される原子層堆積(ALD)プロセスを含み得る。いくつかの実施形態では、金属含有前駆体は、酸素含有前駆体を送達する前にパージされる。金属は、ハフニウム(Hf)、ジルコニウム(Zr)、チタン(Ti)などの遷移金属、ランタン(La)、イッテルビウム(Yb)、イットリウム(Y)などの希土類金属、ストロンチウム(Sr)などのアルカリ土類金属、またはアルミニウム(Al)などの他の金属であり得る。酸化剤については、金属と反応することができる任意の酸素含有前駆体を使用することができる。例えば、酸素含有前駆体は、水、二原子酸素、オゾン、ヒドロキシル含有前駆体もしくはアルコール、窒素および酸素含有前駆体、ローカルもしくはリモートで強化された酸素を含むプラズマ強化された酸素、または基板302上に金属の酸化物の層を生成するために金属に組み込まれ得る酸素を含む任意の他の材料であり得るか、またはそれらを含み得る。一例では、二酸化ハフニウム(HfO)層を形成するために、金属含有前駆体は四塩化ハフニウム(HfCl)であり、酸化剤は水(HO)である。ALDプロセスは、200℃から約400℃の間、例えば約270℃の温度で、実行することができる。ALDプロセスによって堆積された高誘電率ゲート誘電体層306は、アモルファスであり得、約10Åから約30Åの間の厚さを有し得る。堆積プロセスは、図1に示す処理チャンバ120、122、124、126、128、または130などの処理チャンバで実行することができる。
[0034]ブロック240では、任意選択の堆積後アニールプロセスが実行されて、堆積直後の状態の高誘電率ゲート誘電体層306を硬化および高密度化する。堆積直後の状態のアモルファス高誘電率ゲート誘電体層306の結晶化が、起こり得る。堆積後アニールプロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なRADOX(商標)チャンバなどの高速熱処理(RTP)チャンバで実行される、窒素(N)およびアルゴン(Ar)雰囲気などの不活性雰囲気での熱アニールプロセスを含み得る。RTPチャンバは、図1に示す処理チャンバ120、122、124、126、128、および130のいずれかであり得る。堆積後アニールプロセスは、界面層304および高誘電率誘電体層306を熱的に硬化および高密度化することができる。
[0035]堆積後アニールプロセスは、約1秒間~約60秒間、約500℃から約800℃の間の温度で、および約0.01Torrから100Torrの間の圧力で実行できる。
[0036]ブロック250では、ブロック240の堆積後アニールプロセスの代わりに、任意選択の再酸化プロセスが実行されて、基板302を熱酸化する。再酸化プロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なRADOX(商標)チャンバなどの高速熱処理(RTP)チャンバで実行される、酸素(O)、亜酸化窒素(NO)、およびH雰囲気での熱アニールプロセスを含み得る。RTPチャンバは、図1に示す処理チャンバ120、122、124、126、128、および130のいずれかであり得る。ブロック250の再酸化プロセスは、高誘電率ゲート誘電体層306を通して下にある層を熱酸化することができ、したがって、界面層304がブロック220で形成されている場合、界面層304を約3Åから約10Åの間の厚さまで厚くすることができ、界面層304がブロック220で形成されていない場合、高誘電率誘電体層306との界面近くの基板302内に界面層304を形成することができる。
[0037]再酸化プロセスは、約1秒間~約30秒間、約400℃から約900℃の間の温度で、および約0.01Torrから100Torrの間の圧力で実行できる。
[0038]ブロック260において、プラズマ窒化プロセスが実行されて、窒素原子を、高誘電率ゲート誘電体層306の空孔および欠陥に挿入する。プラズマ窒化プロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なCENTURA(登録商標)DPNチャンバなどのDPNチャンバで実行されるデカップルド(decoupled)プラズマ窒化(DPN)プロセスであり得る。DPNチャンバは、図1に示す処理チャンバ120、122、124、126、128、および130のいずれかであり得る。プラズマ窒化プロセスは、高誘電率ゲート誘電体層306を窒素プラズマに曝し、これにより、窒素ラジカルまたは窒素原子が、高誘電率ゲート誘電体層306の厚さ全体にわたって、高誘電率ゲート誘電体層306内に組み込まれることが可能になる。プラズマ窒化プロセス中に、窒素原子は、酸素(O)と準安定結合を形成することができる。プラズマプロセスで使用できるガスには、窒素(N)、アンモニア(NH)、またはそれらの混合物などの窒素含有ガスが含まれる。一例では、窒素ガスは、約3%から約8%の窒素(N)と混合されたアンモニア(NH)である。プラズマ窒化プロセスは、堆積直後の状態の高誘電率ゲート誘電体層306の空孔および欠陥への窒素の取り込みの結果として高誘電率ゲート誘電体層306の厚さを変化させることがない。
[0039]窒化プロセスは、約0℃から約500℃の間の温度で、約10秒間~約300秒間、実行できる。
[0040]ブロック270において、任意選択の熱窒化プロセスが実行されて、窒素原子を、プラズマ窒化された高誘電率ゲート誘電体層306の空孔および欠陥にさらに挿入する。熱窒化プロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なRADOX(商標)チャンバなどの高速熱処理(RTP)チャンバで実行される、アンモニア(NH)雰囲気での熱アニールプロセスを含み得る。RTPチャンバは、図1に示す処理チャンバ120、122、124、126、128、および130のいずれかであり得る。
[0041]熱窒化プロセスは、約10秒間~約300秒間、約700℃から約900℃の間の温度で、および約10Torrから740Torrの間の圧力で実行できる。
[0042]ブロック280では、窒化後アニールプロセスが実行されて、プラズマ窒化された高誘電率ゲート誘電体層306内の残りの化学結合を不動態化する。窒化後アニールプロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なRADOX(商標)チャンバなどの高速熱処理(RTP)チャンバで実行される、窒素(N)およびアルゴン(Ar)雰囲気でのスパイク熱アニールプロセスを含み得る。RTPチャンバは、図1に示す処理チャンバ120、122、124、126、128、および130のいずれかであり得る。窒化後アニールプロセスは、ブロック240のプラズマ窒化プロセスで形成された準安定窒素結合を不動態化することができ、アモルファス高誘電率ゲート誘電体層306の結晶化が、起こり得る。
[0043]スパイク熱アニールプロセスは、約1秒間~約30秒間、約700℃から約850℃の間の温度で、および約10Torrから740Torrの間の圧力で実行できる。
[0044]ブロック290では、ブロック280の窒化後アニールプロセスの代わりに、窒化後アニールおよび再酸化プロセスが実行されて、ブロック280のように、高誘電率ゲート誘電体層306内の残りの化学結合を不動態化すると同時に、ブロック250のように、基板302を熱酸化する。ブロック290における窒化後アニールおよび再酸化プロセスは、ブロック250における再酸化プロセスと同じである。したがって、ブロック290における窒化後アニールおよび再酸化プロセスの詳細は、ここでは省略される。
[0045]図4は、本開示の1つ以上の実施態様による、半導体構造300内のゲート誘電体層306上に金属ゲート構造500を形成する方法400のプロセスフロー図である。図5A、図5B、および図5Cは、方法400の様々な状態に対応する、半導体構造300内の金属ゲート構造500の一部の断面図である。図5A、図5B、および図5Cは、半導体構造300の部分的な概略図のみを示し、半導体構造300は、図に示されるような態様を有する任意の数のトランジスタセクションおよび追加の材料を含み得ることを理解されたい。図4に示される方法ステップは、順次的に記載されているが、省略および/もしくは追加された、ならびに/または別の望ましい順序で再配置された1つ以上の方法ステップを含む他のプロセスシーケンスが、本明細書に提供される本開示の実施形態の範囲内にあることにも、留意されたい。
[0046]方法400は、図5Aに示されるように、半導体構造300のゲート誘電体層306上に高誘電率誘電体キャップ層502を堆積するための、ブロック410における堆積プロセスから始まる。高誘電率誘電体キャップ層502は、TiSiN、TaSiN、TiAlN、TaAlN、TiGaN、TaGaN、TiGeN、TaGeN、TiInN、TaInN、TiHfN、またはTaHfNなどの、シリコン(Si)、アルミニウム(Al)、ガリウム(Ga)、ゲルマニウム(Ge)、インジウム(In)、またはハフニウム(Hf)がドープされたチタン(Ti)またはタンタル(Ta)を含む金属窒化物材料で形成され得る。そのようなドープされた金属窒化物材料で形成された高誘電率誘電体キャップ層502では、ブロック430におけるその後のシリコン堆積プロセス中にシリコン(Si)マイグレーションを防ぐことができる。ブロック410の堆積プロセスは、原子層堆積(ALD)プロセスを含むことができ、このプロセスでは、チタン(Ti)またはタンタル(Ta)を含む金属含有前駆体、窒素含有前駆体、およびドーパント含有前駆体が、ゲート誘電体層306の表面に送達される。チタン(Ti)またはタンタル(Ta)を含む金属含有前駆体の例、および窒素含有前駆体の例は、ブロック420の説明に記載されている。ドーパント含有前駆体には、アルミニウム(Al)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、インジウム(In)、またはシリコン(Si)が含まれる。アルミニウム(Al)を含むドーパント含有前駆体の例には、塩化アルミニウム(AlCl)および臭化アルミニウム(AlBr)などのアルミニウム(Al)の無機化合物、ならびにトリメチルアルミニウム(TMA、(CHAl)、ジメチルアルミニウムハイドライド(DMAH、(CHAlH)、トリス(ジエチルアミノ)アルミニウム(TDEAA、Al(N(C)、トリメチルアミンアラン(TMAA、AlH-N(CH)、トリエチルアミンアラン(TEAA、AlH-N(C)、ジメチルエチルアミンアラン(AlH-CN(CH)、トリイソブチルアルミニウム(TiBA、[Al(CHCHCH)、トリエチルアルミニウム(TEAl、Al(C)、ジメチルアルミニウムハイドライド、(DMAH、(CHAlH)、およびジエチルアルミニウムクロリド(DEAC、(CAlCl)などのアルミニウム(Al)の有機金属化合物が含まれる。ガリウム(Ga)を含むドーパント含有前駆体の例には、三臭化ガリウム(GaBr)および三塩化ガリウム(GaCl)などのガリウム(Ga)の無機化合物、ならびにトリメチルガリウム(Ga(CH)、トリエチルガリウム(Ga(C)、トリイソプロピルガリウム(Ga(CH(CH)、トリス(ジメチルアミド)ガリウム(Ga(N(CH)、およびトリ-tert-ブチルガリウム(Ga(C(CH)などのガリウム(Ga)の有機金属化合物が含まれる。ゲルマニウム(Ge)を含むドーパント含有前駆体の例には、ジゲルマン(Ge)およびゲルマン(GeH)などのゲルマニウム(Ge)の無機化合物、ならびにテトラメチルゲルマニウム((CHGe)などのゲルマニウム(Ge)の有機金属化合物が含まれる。ハフニウム(Hf)を含むドーパント含有前駆体の例には、塩化ハフニウム(IV)(HfCl)などのハフニウム(Hf)の無機化合物、ならびにハフニウム(IV)tert-ブトキシド(Hf[OC(CH)、テトラキス(ジエチルアミド)ハフニウム(IV)([(CHCHN]Hf)、テトラキス(ジメチルアミド)ハフニウム(IV)([(CHN]Hf)、およびテトラキス(エチルメチルアミド)ハフニウム(IV)(TEMAH、[(CH)(C)N]Hf)などのハフニウム(Hf)の有機金属化合物が含まれる。インジウム(In)を含むドーパント含有前駆体の例には、三塩化インジウム(InCl)およびヨウ化インジウム(I)(InI)などのインジウム(In)の無機化合物、ならびにトリエチルインジウム(In(CHCH)およびインジウム(III)アセチルアセトナート(In(OCCHCHOCCH)などのインジウム(In)の有機金属化合物が含まれる。シリコン(Si)を含むドーパント含有前駆体の例には、シラン(SiH)、ジシラン(Si)などのシリコンの無機化合物、ならびにトリメチルシラン((CHSiH)およびネオペンタシラン((SiHSi)などのシリコン(Si)の有機金属化合物が含まれる。
[0047]金属含有前駆体、窒素含有前駆体、およびドーパント含有前駆体が送達されるシーケンスは、変えることができる。いくつかの実施形態では、金属含有前駆体、窒素含有前駆体、およびドーパント含有前駆体が、交互に送達される。いくつかの実施形態では、金属含有前駆体およびドーパント含有前駆体が、同時に送達され、パージ後、窒素含有前駆体が送達される。以下の表1は、いくつかの非限定的なシーケンスの変形例を示している。
[0048]表1
Figure 0007210682000001
[0049]ブロック410のALDプロセスは、約200℃から約700℃の間、例えば、約300℃から約600℃の間の温度で実行することができる。ブロック410のALDプロセスによって堆積された高誘電率誘電体キャップ層502は、アモルファスであり得、約2Åから約200Åの間、例えば、約10Åから約15Åの間の厚さを有し得る。堆積プロセスは、図1に示す処理チャンバ120、122、124、126、128、または130などの処理チャンバで実行することができる。
[0050]ブロック420では、任意選択の金属キャップアニールプロセスが実行されて、堆積直後の状態の高誘電率誘電体キャップ層502を硬化および高密度化する。堆積直後の状態の高誘電率誘電体キャップ層502の結晶化が、起こり得る。ブロック420の任意選択の金属キャップアニールプロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なRADOX(商標)チャンバなどの高速熱処理(RTP)チャンバで実行される、窒素(N)およびアルゴン(Ar)雰囲気などの不活性雰囲気での熱アニールプロセスを含み得る。RTPチャンバは、図1に示す処理チャンバ120、122、124、126、128、および130のいずれかであり得る。
[0051]ブロック420の任意選択の金属キャップアニールプロセスは、約1秒間~約10秒間、約700℃から約850℃の間の温度で、および約0.1Torrから100Torrの間の圧力で実行できる。
[0052]ブロック430では、図5Bに示されるように、堆積プロセスが実行されて、犠牲シリコンキャップ層504が、高誘電率誘電体キャップ層502上に堆積される。犠牲シリコンキャップ層504は、ブロック440におけるその後のアニールプロセス中に、下にある高誘電率ゲート誘電体層306および高誘電率誘電体キャップ層504を物理的および化学的に保護することができる。犠牲シリコンキャップ層504は、水素化アモルファスシリコン(a-Si:H)などのアモルファスシリコンで形成されている。アモルファスシリコンは、拡散のための経路を導く粒界を含む多結晶シリコンと比較して、原子の拡散を少なくすることができる。ブロック430の堆積プロセスは、原子層堆積(ALD)プロセスまたは化学気相堆積(CVD)プロセスであり得、このプロセスでは、高誘電率誘電体キャップ層502がその上に形成された半導体構造300が、シリコン前駆体に曝される。シリコン前駆体の例は、ポリシラン(Si)である。例えば、ポリシランには、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、イソテトラシラン、ネオペンタシラン(Si12)、シクロペンタシラン(Si10)、ヘキサシラン(C14)、シクロヘキサシラン(Si12)、または一般に、Si(x=2以上)、およびそれらの組み合わせが含まれる。
[0053]犠牲シリコンキャップ層504は、約30Åから約50Åの間の厚さを有し得る。ブロック430の堆積プロセスは、図1に示す処理チャンバ120、122、124、126、128、または130などの処理チャンバで実行することができる。
[0054]ブロック440では、キャップ後アニール(PCA)プロセスが実行されて、堆積直後の状態の高誘電率誘電体キャップ層502を硬化および高密度化する。堆積直後の状態の高誘電率誘電体キャップ層502および堆積直後の状態の犠牲シリコンキャップ層504の結晶化が、起こり得る。ブロック440のPCAプロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なRADOX(商標)チャンバなどの高速熱処理(RTP)チャンバで実行される、窒素(N)およびアルゴン(Ar)雰囲気などの不活性雰囲気での熱アニールプロセスを含み得る。RTPチャンバは、図1に示す処理チャンバ120、122、124、126、128、および130のいずれかであり得る。
[0055]ブロック440のPCAプロセスは、約1秒間~約10秒間、約900℃から約1000℃の間、例えば約900℃の温度で、および約0.1Torrから100Torrの間の圧力で実行できる。
[0056]ブロック450において、犠牲シリコンキャップ層504を剥がすために、除去プロセスが実行される。除去プロセスは、ドライプラズマエッチングプロセスを含み得る。
[0057]ブロック460では、図5Cに示すように、ブロック460での除去プロセスに続いて、堆積プロセスが実行されて、硬化および高密度化された高誘電率誘電体キャップ層502上に金属層506が堆積される。金属層506は、タングステン(W)またはコバルト(Co)で形成され得る。金属層506は、p型ドープまたはn型ドープされ得る。ブロック480の堆積プロセスは、WFなどのタングステン含有前駆体、またはコバルト含有前駆体を使用する化学気相堆積(CVD)プロセスを含み得る。
[0058]本明細書に記載のドープされた金属窒化物材料で形成された高誘電率誘電体キャップ層502は、例えば、ブロック460における、WFなどのフッ素含有前駆体を使用する堆積プロセスにおいて、フッ素バリアとして有効であり得る。本明細書に記載のドープされた金属窒化物材料で形成された高誘電率誘電体キャップ層502はまた、アルミニウム(Al)マイグレーションを防止し、したがってアルミニウムバリアの必要性を排除し得るが、窒化チタン(TiN)などの金属窒化物材料で形成された従来の高誘電率誘電体キャップ層は、アルミニウムマイグレーションを防止できない。本明細書に記載のドープされた金属窒化物材料で形成された高誘電率誘電体キャップ層502はまた、高誘電率誘電体キャップ層502と金属層506との間の界面で有効仕事関数を増加させる仕事関数層としても機能し得る。
[0059]いくつかの実施形態では、ブロック410における高誘電率誘電体キャップ層502を堆積する堆積プロセス、およびブロック430における犠牲シリコンキャップ層504を堆積する堆積プロセスは、処理システム100などの処理システム内で低圧または真空環境を破壊することなく実行される。低圧または真空環境を破壊しないプロセスは、大気環境に導入された水分による汚染を低減することができる。
[0060]いくつかの実施形態では、ブロック410における高誘電率誘電体キャップ層502を堆積する堆積プロセス、ブロック430における犠牲シリコンキャップ層504を堆積する堆積プロセス、およびブロック440におけるキャップ後アニール(PCA)プロセスは、処理システム100などの処理システム内で低圧または真空環境を破壊することなく実行される。低圧または真空環境を破壊しないプロセスは、大気環境に導入された水分による汚染を低減することができ、さらに、高誘電率ゲート誘電体層306を厚くするのを防止することができる。
[0061]本明細書に記載の実施形態では、高品質の薄い高誘電率誘電体材料層および金属ゲート構造を形成するシステムおよび方法が、提供される。このような高誘電率誘電体材料層の特性が、十分に制御できる。例えば、ブロック260および270における窒化プロセスは、高誘電率ゲート誘電体層306への約3原子%から約20原子%の間の窒素取り込みを提供して、より多くの窒素取り込みよりも高いκ値、およびより少ない窒素取り込みよりも優れた構造安定化を達成するように、制御され得る。ブロック240、270、280、および290のアニールプロセスはまた、高誘電率ゲート誘電体層306を通るリーク電流を低減するために、約20Åよりも大きいサイズを有する粒子を高誘電率ゲート誘電体層306内に提供するように制御され得る。
[0062]本明細書に記載の金属ゲート構造は、酸化膜換算膜厚(EOT)の減少、それを通るリーク電流の減少、および有効仕事関数の増加を示し得る。本明細書に記載の金属ゲート構造はまた、アルミニウム(A)バリア特性を示し、これにより、金属ゲート構造上にアルミニウム層を直接形成することができる。この金属ゲート構造は、MOSFET、ダイナミックランダムアクセスメモリ(DRAM)、およびフラッシュメモリの任意の金属ゲートアプリケーションおよび/または任意のバリアアプリケーションにおいて有利に使用できる。
[0063]上記は本開示の実施形態に向けられているが、本開示の他のさらなる実施形態が、その基本的な範囲から逸脱することなく考案され、その範囲は、以下の特許請求の範囲によって決定される。
100 処理システム
102 ファクトリインターフェース
104 ロードロックチャンバ
106 ロードロックチャンバ
108 移送チャンバ
110 移送チャンバ
112 移送ロボット
114 移送ロボット
116 チャンバ
118 チャンバ
120 処理チャンバ
122 処理チャンバ
124 処理チャンバ
126 処理チャンバ
128 処理チャンバ
130 処理チャンバ
140 ステーション
142 ファクトリインターフェースロボット
144 前方開口式一体型ポッド(FOUP)
148 ブレード
150 ポート
152 それぞれのポート
154 ポート
156 ポート
158 ポート
160 ポート
162 ポート
164 ポート
166 ポート
168 ポート
170 ポート
172 ポート
174 ポート
176 ポート
190 システムコントローラ
192 中央処理装置(CPU)
194 メモリ
196 サポート回路
200 方法
210 ブロック
220 ブロック
230 ブロック
240 ブロック
250 ブロック
260 ブロック
270 ブロック
280 ブロック
290 ブロック
300 半導体構造
302 基板
304 界面層
306 ゲート誘電体層
400 方法
410 ブロック
420 ブロック
430 ブロック
440 ブロック
450 ブロック
460 ブロック
480 ブロック
500 金属ゲート構造
502 誘電体キャップ層
504 犠牲シリコンキャップ層
506 金属層

Claims (25)

  1. 半導体構造を形成する方法であって、前記方法が、
    基板上に形成された半導体構造上に高誘電率誘電体キャップ層を形成することを含み、前記高誘電率誘電体キャップ層を形成することが、
    前記半導体構造上に前記高誘電率誘電体キャップ層を堆積させること、
    前記高誘電率誘電体キャップ層上に犠牲シリコンキャップ層を堆積させること、
    堆積直後の状態の前記高誘電率誘電体キャップ層を硬化および高密度化するように、キャップ後アニールプロセスを実行すること、ならびに
    前記犠牲シリコンキャップ層を除去すること、
    を含む、方法。
  2. 前記高誘電率誘電体キャップ層を形成することが、真空を破壊することなく処理システム内で実行される、請求項1に記載の方法。
  3. 前記基板上に前記半導体構造を形成することを、さらに含み、前記半導体構造を形成することが、
    前記基板の表面を前洗浄すること、
    前記基板の前洗浄された前記表面上に界面層を形成すること、
    前記界面層上に高誘電率ゲート誘電体層を堆積させること、
    堆積された前記高誘電率ゲート誘電体層内に窒素原子を挿入するように、プラズマ窒化プロセスを実行すること、および
    プラズマ窒化された前記高誘電率ゲート誘電体層内の化学結合を不動態化するように、窒化後アニールプロセスを実行すること、
    を含む、請求項1に記載の方法。
  4. 前記界面層が、酸化ケイ素(SiO)を含み、
    前記界面層を形成することが、亜酸化窒素(NO)ガスを利用して前記基板を熱酸化することを含む、請求項3に記載の方法。
  5. 前記高誘電率ゲート誘電体層が、酸化ハフニウム(HfO)を含む、請求項3に記載の方法。
  6. 前記プラズマ窒化プロセスが、堆積された前記高誘電率ゲート誘電体層を、窒素(N)およびアンモニア(NH)ガスの混合物を使用した窒素プラズマに曝すことを含む、請求項3に記載の方法。
  7. 前記窒化後アニールプロセスが、堆積された前記高誘電率ゲート誘電体層を、700℃から850℃の間の温度で、窒素(N)およびアルゴン(Ar)雰囲気中でスパイクアニールすることを含む、請求項3に記載の方法。
  8. 堆積された前記高誘電率ゲート誘電体層を硬化および高密度化するように、前記プラズマ窒化プロセスの前に堆積後アニールプロセスを実行することを、さらに含み、前記堆積後アニールプロセスが、堆積された前記高誘電率ゲート誘電体層を、500℃から800℃の間の温度で、窒素(N)およびアルゴン(Ar)雰囲気中でアニールすることを含む、請求項3に記載の方法。
  9. プラズマ窒化された前記高誘電率ゲート誘電体層内に窒素原子をさらに挿入するように、前記窒化後アニールプロセスの前に熱窒化プロセスを実行することを、さらに含み、前記熱窒化プロセスが、プラズマ窒化された前記高誘電率ゲート誘電体層を、700℃から900℃の間の温度で、アンモニア(NH)雰囲気中でアニールすることを含む、請求項3に記載の方法。
  10. 前記高誘電率誘電体キャップ層が、TiSiNを含む、請求項1に記載の方法。
  11. 堆積直後の状態の前記高誘電率誘電体キャップ層を、700℃から850℃の間の温度で、窒素(N)雰囲気中で硬化および高密度化するように、前記犠牲シリコンキャップ層の堆積の前に金属キャップアニールプロセスを実行することを、さらに含む、請求項1に記載の方法。
  12. 前記キャップ後アニールプロセスが、前記高誘電率誘電体キャップ層を、900℃から1000℃の間の温度で、窒素(N)雰囲気中でアニールすることを含む、請求項1に記載の方法。
  13. 半導体構造を形成する方法であって、前記方法が、
    基板上に形成された半導体構造上に高誘電率誘電体キャップ層を形成することを含み、前記高誘電率誘電体キャップ層を形成することが、
    前記半導体構造上に前記高誘電率誘電体キャップ層を堆積させること、
    前記高誘電率誘電体キャップ層上に犠牲シリコンキャップ層を堆積させること、
    堆積直後の状態の前記高誘電率誘電体キャップ層を硬化および高密度化するように、キャップ後アニールプロセスを実行すること、ならびに
    前記犠牲シリコンキャップ層を除去すること、
    を含む、方法。
  14. 前記高誘電率誘電体キャップ層を形成することが、真空を破壊することなく処理システム内で実行される、請求項13に記載の方法。
  15. 前記基板上に前記半導体構造を形成することを、さらに含み、前記半導体構造を形成することが、
    前記基板の表面を前洗浄すること、
    前記基板上に高誘電率ゲート誘電体層を堆積させること、および
    堆積された前記高誘電率ゲート誘電体層内に窒素原子を挿入するように、プラズマ窒化プロセスを実行すること、
    を含む、請求項13に記載の方法。
  16. 亜酸化窒素(NO)ガスを利用して前記基板を熱酸化することを含む、前記基板の前洗浄された前記表面上に界面層を形成することを、さらに含み、前記界面層が、酸化ケイ素(SiO)を含む、請求項15に記載の方法。
  17. 前記高誘電率ゲート誘電体層が、酸化ハフニウム(HfO)を含む、請求項15に記載の方法。
  18. 前記プラズマ窒化プロセスが、堆積された前記高誘電率ゲート誘電体層を、窒素(N)およびアンモニア(NH)ガスの混合物を使用した窒素プラズマに曝すことを含む、請求項15に記載の方法。
  19. 前記基板を熱酸化するように、前記プラズマ窒化プロセスの前に再酸化プロセスを実行すること、および
    プラズマ窒化された前記高誘電率ゲート誘電体層内の化学結合を不動態化するように、前記プラズマ窒化プロセスに続いて窒化後アニールプロセスを実行すること、
    をさらに含み、
    前記再酸化プロセスが、前記高誘電率ゲート誘電体層を、400℃から900℃の間の温度で、酸素(O)、亜酸化窒素(NO)、およびH雰囲気中でアニールすることを含み、
    前記窒化後アニールプロセスが、プラズマ窒化された前記高誘電率ゲート誘電体層を、700℃から850℃の間の温度で、窒素(N)およびアルゴン(Ar)雰囲気中でスパイクアニールすることを含む、請求項15に記載の方法。
  20. プラズマ窒化された前記高誘電率ゲート誘電体層内の残りの化学結合を不動態化し、前記基板を熱酸化するように、前記プラズマ窒化プロセスに続いて再酸化プロセスを実行することを、さらに含み、前記再酸化プロセスが、前記高誘電率ゲート誘電体層を、400℃から900℃の間の温度で、酸素(O)、亜酸化窒素(NO)、およびH雰囲気中でアニールすることを含む、請求項15に記載の方法。
  21. 前記高誘電率誘電体キャップ層が、TiSiNを含む、請求項13に記載の方法。
  22. 堆積直後の状態の前記高誘電率誘電体キャップ層を、700℃から850℃の間の温度で、窒素(N)雰囲気中で硬化および高密度化するように、前記犠牲シリコンキャップ層の堆積の前に金属キャップアニールプロセスを実行することを、さらに含む、請求項13に記載の方法。
  23. 前記キャップ後アニールプロセスが、前記高誘電率誘電体キャップ層を、900℃から1000℃の間の温度で、窒素(N)雰囲気中でアニールすることを含む、請求項13に記載の方法。
  24. 第1の処理チャンバ、
    第2の処理チャンバ、
    第3の処理チャンバ、
    第4の処理チャンバ、および
    システムコントローラ、
    を備える処理システムであって、前記システムコントローラが、
    前記第1の処理チャンバ内で、高誘電率誘電体キャップ層を、基板上に形成された半導体構造上に堆積させること、
    前記第2の処理チャンバ内で、前記高誘電率誘電体キャップ層上に犠牲シリコンキャップ層を堆積させること、
    前記第3の処理チャンバ内で、堆積直後の状態の前記高誘電率誘電体キャップ層を硬化および高密度化するように、キャップ後アニールプロセスを実行すること、ならびに
    前記第4の処理チャンバ内で、前記犠牲シリコンキャップ層を除去すること、
    を行うように構成されており、
    前記基板が、前記処理システム内の真空環境を破壊することなく、前記第1の処理チャンバ、前記第2の処理チャンバ、前記第3の処理チャンバ、および前記第4の処理チャンバの間で移送される、処理システム。
  25. 前記処理システムが、
    第5の処理チャンバ、
    第6の処理チャンバ、
    第7の処理チャンバ、
    第8の処理チャンバ、および
    第9の処理チャンバ、
    をさらに備え、
    前記システムコントローラが、さらに、
    前記第5の処理チャンバ内で、前記基板の表面を前洗浄すること、
    前記第6の処理チャンバ内で、前記基板の前洗浄された前記表面上に界面層を形成すること、
    前記第7の処理チャンバ内で、前記界面層上に高誘電率ゲート誘電体層を堆積させること、
    堆積された前記高誘電率ゲート誘電体層を、前記第8の処理チャンバ内で、窒素プラズマに曝すこと、および
    プラズマ窒化された前記高誘電率ゲート誘電体層を、前記第9の処理チャンバ内で、アニールすること、
    を行うように構成されており、
    前記基板が、前記処理システム内の真空環境を破壊することなく、前記第5の処理チャンバ、前記第6の処理チャンバ、前記第7の処理チャンバ、前記第8の処理チャンバ、および前記第9の処理チャンバの間で移送される、請求項24に記載の処理システム。
JP2021186256A 2020-11-18 2021-11-16 材料構造を改良するための処理 Active JP7210682B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/951,858 US11417517B2 (en) 2019-05-03 2020-11-18 Treatments to enhance material structures
US16/951,858 2020-11-18

Publications (2)

Publication Number Publication Date
JP2022080883A JP2022080883A (ja) 2022-05-30
JP7210682B2 true JP7210682B2 (ja) 2023-01-23

Family

ID=81668783

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021186256A Active JP7210682B2 (ja) 2020-11-18 2021-11-16 材料構造を改良するための処理

Country Status (4)

Country Link
JP (1) JP7210682B2 (ja)
KR (2) KR102634254B1 (ja)
CN (1) CN114551230A (ja)
TW (1) TWI830087B (ja)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008288227A (ja) 2007-05-15 2008-11-27 Renesas Technology Corp 半導体装置の製造方法
US20190139759A1 (en) 2017-11-06 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuits with Doped Gate Dielectrics
JP2019156842A (ja) 2018-03-16 2019-09-19 三星電子株式会社Samsung Electronics Co.,Ltd. ランタン化合物及びそれを用いた薄膜形成方法並びに集積回路素子の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100395507B1 (ko) * 2001-11-27 2003-08-25 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
KR100444603B1 (ko) * 2001-12-22 2004-08-16 주식회사 하이닉스반도체 탄탈륨 펜타 옥사이드-알루미늄 옥사이드 유전체막 제조방법 및 이를 적용한 반도체 소자
JP5284108B2 (ja) * 2006-02-10 2013-09-11 インターモレキュラー, インコーポレイテッド 材料、単位工程および工程順序のコンビナトリアル変化のための方法およびシステム
US7531399B2 (en) * 2006-09-15 2009-05-12 Taiwan Semiconductor Manufacturing Company Semiconductor devices and methods with bilayer dielectrics
US20120329285A1 (en) * 2011-06-22 2012-12-27 United Microelectronics Corp. Gate dielectric layer forming method
US20140099785A1 (en) * 2012-10-04 2014-04-10 Intermolecular, Inc. Sacrificial Low Work Function Cap Layer
CN106653589A (zh) * 2016-12-16 2017-05-10 上海华力微电子有限公司 高压低热预算高k后退火工艺
US11088029B2 (en) * 2018-09-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stack treatment
US10629700B1 (en) * 2018-09-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate process and device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008288227A (ja) 2007-05-15 2008-11-27 Renesas Technology Corp 半導体装置の製造方法
US20190139759A1 (en) 2017-11-06 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuits with Doped Gate Dielectrics
JP2019156842A (ja) 2018-03-16 2019-09-19 三星電子株式会社Samsung Electronics Co.,Ltd. ランタン化合物及びそれを用いた薄膜形成方法並びに集積回路素子の製造方法

Also Published As

Publication number Publication date
TWI830087B (zh) 2024-01-21
TW202226339A (zh) 2022-07-01
KR20240019200A (ko) 2024-02-14
CN114551230A (zh) 2022-05-27
KR102634254B1 (ko) 2024-02-05
KR20220068166A (ko) 2022-05-25
JP2022080883A (ja) 2022-05-30

Similar Documents

Publication Publication Date Title
TWI757322B (zh) 用於鈍化半導體表面之方法及相關系統
US20060153995A1 (en) Method for fabricating a dielectric stack
US20230245925A1 (en) Method of tuning film properties of metal nitride using plasma
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
US11955332B2 (en) Treatments to enhance material structures
JP7210682B2 (ja) 材料構造を改良するための処理
KR20220125783A (ko) 디바이스 성능을 개선하기 위한 처리들
TW202418358A (zh) 增強材料結構的處理
JP7313414B2 (ja) 材料構造を改良するための処理
TWI837538B (zh) 增強材料結構的處理
US20210057215A1 (en) Treatments to enhance material structures
US20230377879A1 (en) Barrier layer for preventing aluminum diffusion
US20220238680A1 (en) Threshold voltage modulation for gate-all-around fet architecture
TW202416357A (zh) 增強材料結構的處理
JP2024520404A (ja) アモルファスシリコンベース取り除きおよびシールeot

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230111

R150 Certificate of patent or registration of utility model

Ref document number: 7210682

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150