CN114551230A - 增强材料结构的处置 - Google Patents

增强材料结构的处置 Download PDF

Info

Publication number
CN114551230A
CN114551230A CN202111371028.2A CN202111371028A CN114551230A CN 114551230 A CN114551230 A CN 114551230A CN 202111371028 A CN202111371028 A CN 202111371028A CN 114551230 A CN114551230 A CN 114551230A
Authority
CN
China
Prior art keywords
layer
cap layer
gate dielectric
substrate
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111371028.2A
Other languages
English (en)
Inventor
斯里尼瓦斯·甘迪科塔
杨逸雄
杰奎琳·S·阮奇
杨勇
史蒂文·C·H·洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/951,858 external-priority patent/US11417517B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114551230A publication Critical patent/CN114551230A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes

Abstract

一种在形成在衬底上的半导体结构上形成高κ介电帽层的方法包括以下步骤:在半导体结构上沉积高κ介电帽层;在高κ介电帽层上形成牺牲硅帽层;执行后帽退火工艺以硬化和致密化所沉积的高κ介电帽层;和去除牺牲硅帽层。

Description

增强材料结构的处置
技术领域
本文描述的多个实施方式一般涉及半导体器件制造,尤其是,涉及在半导体结构中形成高质量高κ介电材料层和金属栅极结构的系统和方法。
背景技术
随着金属氧化物半导体场效应晶体管(MOSFET)已经为了实现高器件性能和低功耗而减小尺寸,传统的二氧化硅(SiO2)栅极电介质的厚度已经减小到它的物理极限(physical limit)。因此,为了实现进一步的缩小(scaling),用高κ介电材料替代二氧化硅栅极电介质已经是在所难免的。在各种高κ介电材料中,自45nm MOSFET技术节点开始,已经应用了氧化铪(HfO2),这是因为氧化铪(HfO2)有高介电常数和在硅衬底上优越的热稳定性。但是,对于32nm MOSFET技术节点及以后的技术节点的等效氧化物厚度(equivalent oxidethickness,EOT)的进一步缩小而言,简单地减小高κ介电材料层的厚度是成问题的,因为流过高κ介电材料层的漏电流增加了。
另外,传统的多晶硅(polycrystalline silicon,polysilicon)栅极已经被由金属层(例如,钛(Ti)、钽(Ta)、钨(W))和含金属导电化合物层(例如,氮化钛(TiN)、氮化钽(TaN))形成的金属栅极所替代,以减小与多晶硅耗尽效应(depletion effect)相关的不期望的压降,以及提高MOSFET的驱动电流性能和运行速度。然而,这样的金属栅极通常由一种炉基工艺(furnace-based process)形成,这种工艺使用含金属前驱物(例如,氯化钛,TiCl4)和含氮前驱物(例如,氨,NH3)。这种工艺可以包括高浓度氧含量,因此对于未来可缩放性(future scalability)可能并不理想。
因此,需要能够用来形成薄的(例如EOT小于1nm)高κ介电材料层和用来在没有高浓度氧含量的情况下形成金属栅极的系统和方法,这种材料层具有能够受控以确保期望的结构特性和电气特性的化学结构。
发明内容
本公开内容的多个实施方式提供一种在形成在衬底上的半导体结构上形成高κ介电帽(cap)层的方法。该方法包括以下步骤:在所述半导体结构上沉积所述高κ介电帽层;在所述高κ介电帽层上沉积牺牲(sacrificial)硅帽层;执行后帽退火(post cap anneal)工艺,以硬化和致密化(densify)所沉积的高κ介电帽层;和去除所述牺牲硅帽层。
本公开内容的多个实施方式还提供一种在形成在衬底上的半导体结构上形成高κ介电帽层的方法。该方法包括以下步骤:在所述半导体结构上沉积所述高κ介电帽层;在所述高κ介电帽层上沉积牺牲硅帽层;执行后帽退火工艺,以硬化和致密化所沉积的高κ介电帽层;和去除所述牺牲硅帽层。
本公开内容的多个实施方式进一步提供一种处理系统。所述处理系统包括第一处理腔室、第二处理腔室、第三处理腔室、第四处理腔室和系统控制器。该系统控制器被构造成:在所述第一处理腔室中,在所述高κ栅极介电层上沉积高κ介电帽层;在所述第二处理腔室中,在所述高κ介电帽层上沉积牺牲硅帽层;在所述第三处理腔室中,执行后帽退火工艺,以硬化和致密化所沉积的高κ介电帽层;和在所述第四处理腔室中,去除所述牺牲硅帽层。在所述第一处理腔室、所述第二处理腔室、所述第三处理腔室和所述第四处理腔室之间传送所述衬底而不破坏所述处理系统中的真空环境。
附图说明
为了能够详细理解本公开内容的上述特征的方式,可以通过参考多个实施方式来对以上简要概括的本公开内容进行更具体的描述,在附图中图示出这些实施方式中的一些实施方式。但是,应注意的是,附图仅图示出本公开内容的典型实施方式,因此不应视为对本公开内容的范围的限制,因为本公开内容可以允许有其他等效的实施方式。
图1是根据一个实施方式的示例多腔室处理系统的示意性俯视图。
图2是根据一个实施方式的形成半导体结构的方法的工艺流程图。
图3A和图3B是根据一个实施方式的半导体结构的示意图。
图4是根据一个实施方式的形成半导体结构的方法的工艺流程图。
图5A、图5B和图5C是根据一个实施方式的半导体结构的示意图
为了便于理解,只要可能,就用相同的参考标记指代各图共有的相同元件。考虑到的是,一个实施方式的元件和特征可以有益地结合到其他实施方式中而无需进一步声明。
具体实施方式
随着栅极结构缩到更小的尺寸,一直在寻求新的材料结构来提供改进。相较于利用诸如氧化硅之类材料的传统栅极结构,高κ介电材料的使用增大了栅极结构的介电常数。然而,类似于氧化硅,随着栅极结构的厚度减小,漏电流增加。例如,栅极泄漏(gateleakage)随着有效氧化物厚度减小而增加。因此,栅极泄漏与有效氧化物厚度之间的反比关系可能形成对所生产的器件和晶体管性能的限制。
相较于类似物理厚度的氧化硅,高κ介电材料可以提供对沟道(channel)的更大的静电控制(electrostatic control)。随着本行业继续寻求在不增加栅极泄漏的情况下更低的有效氧化物厚度,由于形态学特征(morphological characteristics)的缘故,对已知的高κ材料的介电常数(也称为“κ值”)最大化的努力将要达到极限。传统的技术已经在努力克服高κ材料的固有特性(这可能设定κ值的上限),以及随后的器件改造以尝试结合新的膜。
另外,由金属层和含金属导电化合物形成替代多晶硅(polysilicon)的金属栅极的典型炉基工艺可以在该工艺过程中包含高浓度氧含量,因此对于未来可缩放性可能并不理想。
本文描述的多个实施方式提供用于形成薄的(例如EOT小于1nm)高κ介电材料层和形成金属栅极的系统和方法。通过生产呈现特定形态或者晶粒(grain)结构的高κ介电材料,可以实现更高的介电常数和随之改进的器件性能。为了控制示例性器件中的膜内形态(in-film morphology),可以进行处置(treatment)以提供能够引起特定膜形态的活化衬底表面(activated substrate surface),以及在形成后对膜进行稳定化,这可以造成更高的介电常数。在没有高浓度氧含量的情况下形成金属栅极使得能够进一步缩小等效氧化物厚度(EOT)。
图1是根据本公开内容的一些示例的多腔室处理系统100的示例的示意性俯视图。该处理系统100一般包括:工厂接口102;装载锁定腔室104、106;具有相应的传送机械手112、114的传送腔室108、110;保持腔室116、118;和处理腔室120、122、124、126、128、130。如本文所详述的那样,可以在各种腔室中处理在处理系统100中的晶片和在各种腔室之间传送这些晶片而不将这些晶片暴露给处理系统100外部的周围环境(例如,诸如可能存在于晶片厂(fab)中的大气环境)。例如,可以在低压(例如,小于或等于约300托)或真空环境中在各种腔室中处理这些晶片和在各种腔室之间传送这些晶片,而不破坏处理系统100中对晶片执行的各种工艺之间的低压或真空环境。因此,处理系统100可以为晶片的一些处理提供集成的解决方案。
可以根据本文提供的教导适当修改的处理系统的示例包括可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司商业获得的
Figure BDA0003362247800000041
或者
Figure BDA0003362247800000042
集成处理系统或者其他适当的处理系统。预期其他处理系统(包括来自其他制造商的那些处理系统)可适于受益于本文所述的多个方面。
在图1的图示示例中,工厂接口102包括坞站(docking station)140和工厂接口机械手142以帮助传送晶片。坞站140被构造成接纳一个或者多个前开式标准舱(FOUP)144。在一些示例中,每个工厂接口机械手142一般包括叶片148,叶片148设置在相应工厂接口机械手142的一端上,被构造成将晶片从工厂接口102传送至装载锁定腔室104、106。
装载锁定腔室104、106具有耦接到工厂接口102的相应端口150、152和耦接到传送腔室108的相应端口154、156。传送腔室108还有耦接到保持腔室116、118的相应端口158、160和耦接到处理腔室120、122的相应端口162、164。类似地,传送腔室110具有耦接到保持腔室116、118的相应端口166、168和耦接到处理腔室124、126、128、130的相应端口170、172、174、176。端口154、156、158、160、162、164、166、168、170、172、174、176可以是例如带有狭缝阀的狭缝阀开口,用于借助传送机械手112、114使晶片从中通过并用于在相应的腔室之间提供密封以防止气体在各腔室之间通过。通常,任何端口开放以用于传送晶片从中通过。否则,端口被关闭。
装载锁定腔室104、106、传送腔室108、110、保持腔室116、118和处理腔室120、122、124、126、128、130可以流体耦接到气体和压力控制系统(未具体图示出)。气体和压力控制系统可以包括一个或多个气泵(例如,涡轮泵、低温泵(cryo-pump)、低真空泵(roughingpump))、气源、各种阀和流体耦接到各种腔室的导管。在操作中,工厂接口机械手142通过端口150或152将晶片从FOUP 144传送到装载锁定腔室104或106。然后气体和压力控制系统泵空(pump down)装载锁定腔室104或106。气体和压力控制系统进一步将传送腔室108、110和保持腔室116、118保持在内部低压或真空环境(该环境可包括惰性气体)。因此,装载锁定腔室104或106的泵空便于在例如工厂接口102的大气环境与传送腔室108的低压或真空环境之间传递晶片。
带着已经被泵空的装载锁定腔室104或106中的晶片,传送机械手112通过端口154或156将晶片从装载锁定腔室104或106传送到传送腔室108中。传送机械手112然后能够将晶片传送到处理腔室120、122和保持腔室116、118中的任何腔室和/或在这些腔室中的任何腔室之间传送晶片,通过相应端口162、164将晶片传送到用于处理的处理腔室120、122,通过相应端口158、160将晶片传送到用于保持以等待进一步传送的保持腔室116、118。类似地,传送机械手114能够通过端口166或168接取保持腔室116或118中的晶片,并且能够将晶片传送到处理腔室124、126、128、130和保持腔室116、118中的任何腔室和/或在这些腔室中的任何腔室之间传送晶片,通过相应端口170、172、174、176将晶片传送到用于处理的处理腔室124、126、128、130,通过相应端口166、168将晶片传送到用于保持以等待进一步传送的保持腔室116、118。晶片在各个腔室内和之间的传送和保持能够在由气体和压力控制系统提供的低压或真空环境中进行。
处理腔室120、122、124、126、128、130可以是用于处理晶片的任何合适的腔室。在一些示例中,处理腔室122可以能够执行清洁工艺,处理腔室120可以能够执行蚀刻工艺,而处理腔室124、126、128、130可以能够执行相应的外延生长工艺。处理腔室122可以是能够从美国加利福尼亚州的圣塔克拉拉的应用材料公司获得的SiCoNiTM预清洁腔室。处理腔室120可以是能够从美国加利福尼亚州的圣塔克拉拉的应用材料公司获得的SelectraTM蚀刻腔室。
系统控制器190耦接到处理系统100,用于控制处理系统100或者处理系统100的部件。例如,系统控制器190可以使用对处理系统100的腔室104、106、108、116、118、110、120、122、124、126、128、130的直接控制来控制处理系统100的操作,或者通过控制与腔室104、106、108、116、118、110、120、122、124、126、128、130相关联的控制器来控制处理系统100的操作。在操作中,系统控制器190能够实现数据收集和来自相应腔室的反馈以协调处理系统100的运行。
系统控制器190一般包括中央处理单元(CPU)192、存储器194和支持电路196。CPU192可以是能够在工业设置中使用的任何形式的通用处理器之一。存储器194或非暂时性计算机可读介质能够由CPU 192存取并且可以是诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他形式的本地或远程数字存储装置之类的一个或多个存储器。支持电路196耦接到CPU 192并且可以包括高速缓存、时钟电路、输入/输出子系统、电源和类似装置等。本文公开的各种方法通常可以在CPU 192的控制下通过CPU 192执行存储在存储器194(或特定工艺腔室的存储器)中作为例如软件例程的计算机指令代码来实施。当计算机指令代码由CPU 192执行时,CPU 192控制这些腔室以根据各种方法执行工艺。
其他处理系统可以采用其他配置。例如,更多或更少的处理腔室可以耦接到传送设备。在图示的示例中,传送设备包括传送腔室108、110和保持腔室116、118。在其他示例中,更多或更少的传送腔室(例如,一个传送腔室)和/或更多或更少的保持腔室(例如,没有保持腔室)可以作为处理系统中的传送设备来实现。
图2是根据本公开内容的一个或多个实施方式的形成半导体结构300的方法200的工艺流程图。图3A和图3B是对应于方法200的各种状态的半导体结构300的一部分的截面图。应当理解,图3A和图3B仅示出了半导体结构300的局部示意图,而半导体结构300可以包含任意数量的晶体管部分和具有如图所示多个方面的附加材料。还应该注意的是,虽然图2中所示的方法步骤是按顺序描述的,但包括一个或多个已被省略和/或添加的、和/或已按另一所需顺序重新排列的一个或多个方法步骤的其他工艺顺序也落入本文提供的本公开内容的多个实施方式的范围内。
方法200始于方框210中的预清洁工艺,以预清洁衬底302的表面。该预清洁工艺可以包括:通过干蚀刻工艺或者使用蚀刻溶液的湿蚀刻工艺来蚀刻衬底302的表面,蚀刻溶液诸如是包含NH4OH(氢氧化铵(ammonium hydroxide))、H2O2(过氧化氢(hydrogenperoxide))和H2O(水)的标准清洁1(Standard Clean 1,SC1)蚀刻溶液,干蚀刻工艺例如是SiConiTM远程等离子体辅助干蚀刻工艺,其中衬底302的表面被暴露于N2、NF3和NH3等离子体副产物。可以在诸如图1中所示的处理腔室122或者120之类的预清洁腔室中执行该预清洁工艺。
在方框220中,执行界面形成工艺,以在衬底302的预清洁后的表面上形成界面层(interfacial layer)304,如图3A中所示。界面形成工艺可以包括适当的热氧化工艺,诸如是利用一氧化二氮(N2O)气体的增强型原位蒸汽生成(enhanced in-situ steamgeneration,eISSG)工艺。在方框220中形成的界面层304是一种薄的非晶氧化硅(SiO2)层,具有介于约
Figure BDA0003362247800000071
与约
Figure BDA0003362247800000072
之间的厚度,例如约
Figure BDA0003362247800000073
对应于氧化硅的一个或多个单层(monolayer)。在一些实施方式中,可以通过利用H2和O2气体的原位蒸汽生成(ISSG)工艺或者通过利用NH3和O2气体的快速热氧化(rapid thermal oxidation,RTO)工艺来形成界面层304。界面层304可以作为待沉积在界面层304上的高κ介电材料层的成核层(nucleationlayer),并提高衬底302与高κ介电材料层之间界面的质量(例如,诸如界面态密度(interface state density)、累积电容(accumulation capacitance)、频散(frequencydispersion)和漏电流之类)。可以在诸如图1中所示的处理腔室120、122、124、126、128或者130之类的处理腔室中执行该界面形成工艺。
在一些实施方式中,省去了方框220中的界面形成工艺,在高κ介电材料层沉积在衬底302上之前不形成界面层304。这种情况下,通过下面描述的方框250或者方框290中的热氧化工艺来形成界面层304,该工艺经由沉积在衬底302上的高κ介电材料层热氧化衬底302。具有介于约0.3nm与约1nm之间的厚度,例如,约0.5nm的厚度,通过方框250或者方框290中的热氧化工艺形成的界面层304可以厚得足以确保可靠的器件特性(例如,诸如界面态密度、累积电容、频散和漏电流之类)并且减少从高κ介电材料层向衬底302的原子扩散(atomic diffusion)。
在方框230中,执行沉积工艺,以在半导体结构300的暴露表面上(即,在方框220中形成界面层304的情况下在界面层304上,如图3B所示,和在方框220中不形成界面层304的情况下在衬底302上)沉积高κ栅极介电层306。高κ栅极介电层306可以由高κ介电材料(诸如二氧化铪(HfO2)、二氧化锆(ZrO2)、氧化镱(ytterbium oxide,Y2O3)、氧化铝(Al2O3)之类的材料)、具有掺入现有金属氧化物高κ介电晶核材料(host material)中的第三元素的三元高κ介电膜(诸如HfZrO、HfLaOx、HfTiO之类)形成。该沉积工艺可以包括原子层沉积(ALD)工艺,其中将含金属前驱物和含氧前驱物交替递送至半导体结构300的暴露表面。在一些实施方式中,在递送含氧前驱物之前,清除(purge)含金属前驱物。金属可以是诸如铪(Hf)、锆(Zr)或者钛(Ti)之类的过渡金属、诸如镧(La)、镱(Yb)或者钇(Y)之类的稀土金属、诸如锶(Sr)之类的碱土金属或者诸如铝(Al)之类的其他金属。对于氧化剂来说,可以使用可以与金属反应的任何含氧前驱物。例如,含氧前驱物可以是或者包含水、双原子氧(diatomicoxygen)、臭氧、含羟基的前驱物(hydroxyl-containing precursor)或者醇(alcohol)、含氮和氧的前驱物、包含本地或者远程增强的氧的等离子体增强氧、或者可以与金属结合以在衬底302之上产生金属的氧化物的层的含氧的任何其他材料。在一个示例中,含金属前驱物是四氯化铪(hafnium tetrachloride,HfCl4)并且氧化剂是水(H2O),以形成二氧化铪(HfO2)层。可以在介于200℃与约400℃之间的温度(例如,约270℃)执行ALD工艺。如由ALD工艺所沉积的那样,高κ栅极介电层306可以是非晶的并且具有介于约
Figure BDA0003362247800000081
与约
Figure BDA0003362247800000082
之间的厚度。可以在诸如图1中所示的处理腔室120、122、124、126、128或者130之类的处理腔室中执行该沉积工艺。
在方框240中,执行可选的沉积后退火工艺,以硬化和致密化所沉积的高κ栅极介电层306。可能发生所沉积的非晶高κ栅极介电层306的结晶化(crystallization)。该沉积后退火工艺可以包括在一种快速热处理(RTP)腔室中执行的惰性环境中(诸如在氮(N2)和氩(Ar)环境中)的热退火工艺,这种快速热处理(RTP)腔室诸如是可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司获得的RADOXTM腔室。这种RTP腔室可以是图1中所示的处理腔室120、122、124、126、128和130中的任意腔室。这种沉积后退火工艺可以用热的方法硬化和致密化界面层304和高κ介电层306。
可以在介于约500℃与约800℃之间的温度和介于约0.01Torr与100Torr之间的压力执行沉积后退火工艺达约1秒与约60秒之间的时间。
在方框250中,替代方框240中的沉积后退火工艺,执行可选的再氧化工艺,以热氧化衬底302。该再氧化工艺可以包括在一种快速热处理(RTP)腔室中执行的在氧(O2)、一氧化二氮(N2O)和H2环境中的热退火工艺,这种快速热处理(RTP)腔室诸如是可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司获得的RADOXTM腔室。这种RTP腔室可以是图1中所示的处理腔室120、122、124、126、128和130中的任意腔室。方框250中的再氧化工艺可以经由高κ栅极介电层306热氧化下面的层,因而在方框220中形成界面层304的情况下将界面层304增厚到介于约
Figure BDA0003362247800000091
与约
Figure BDA0003362247800000092
之间的厚度,而在方框220中不形成界面层304的情况下在与高κ介电层306的交界附近在衬底302中形成界面层304。
可以在介于约400℃与约900℃之间的温度和介于约0.01Torr与100Torr之间的压力执行再氧化工艺达约1秒与约30秒之间的时间。
在方框260中,执行等离子体氮化(plasma nitridation)工艺,以将氮原子插入到高κ栅极介电层306中的空隙和缺陷中。该等离子体氮化工艺可以是在一种去耦等离子体氮化(decoupled plasma nitridation,DPN)腔室中执行的DPN工艺,这种DPN腔室诸如是可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司获得的
Figure BDA0003362247800000093
DPN腔室。这种DPN腔室可以是图1中所示的处理腔室120、122、124、126、128和130中的任意腔室。该等离子体氮化工艺将高κ栅极介电层306暴露于氮等离子体,这可以在高κ栅极介电层306的整个厚度上使氮自由基(radicals)或者氮原子能够被结合到高κ栅极介电层306内。在该等离子体氮化工艺期间,氮原子可以形成与氧(O)的亚稳态键(metastable bond)。可以在该等离子体工艺中使用的气体包括含氮气体,诸如氮气(N2)、氨气(NH3)或者这些气体的混合物。在一个示例中,这种含氮气体是混合有约3%至约8%的氮气(N2)的氨气(NH3)。作为氮结合到所沉积的高κ栅极介电层306的空隙和缺陷中的结果,该等离子体氮化工艺可以不改变高κ栅极介电层306的厚度。
可以在介于约0℃与约500℃之间的温度执行氮化工艺达约10秒与约300秒之间的时间。
在方框270中,执行可选的热氮化工艺,以进一步将氮原子插入到等离子体氮化后的高κ栅极介电层306中的空隙和缺陷中。该热氮化工艺可以包括在一种快速热处理(RTP)腔室中执行的氨(NH3)环境中的热退火工艺,这种快速热处理(RTP)腔室诸如是可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司获得的RADOXTM腔室。这种RTP腔室可以是图1中所示的处理腔室120、122、124、126、128和130中的任意腔室。
可以在介于约700℃与约900℃之间的温度和介于约10Torr与740Torr之间的压力执行热氮化工艺达约10秒与约300秒之间的时间。
在方框280中,执行氮化后退火(post-nitridation anneal)工艺,以钝化等离子体氮化后的高κ栅极介电层306中剩余的化学键。该氮化后退火工艺可以包括在一种快速热处理(RTP)腔室中执行的氮(N2)和氩(Ar)环境中的尖峰热退火(spike thermal anneal)工艺,这种快速热处理(RTP)腔室诸如是可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司获得的RADOXTM腔室。这种RTP腔室可以是图1中所示的处理腔室120、122、124、126、128和130中的任意腔室。该氮化后退火工艺可以钝化在方框240中的等离子体氮化工艺中形成的亚稳态氮键,并且可能发生非晶高κ栅极介电层306的结晶化。
可以在介于约700℃与约850℃之间的温度和介于约10Torr与740Torr之间的压力执行尖峰热退火工艺达约1秒与约30秒之间的时间。
在方框290中,替代方框280中的氮化后退火工艺,执行氮化后退火和再氧化工艺,以同时如方框280中那样钝化高κ栅极介电层306中剩余的化学键和如方框250中那样热氧化衬底302。方框290中的氮化后退火和再氧化工艺与方框250中的再氧化工艺相同。因此,这里省略方框290中的氮化后退火和再氧化工艺的细节。
图4是根据本公开内容的一个或多个实施方式的形成半导体结构300中栅极介电层306之上的金属栅极结构500的方法400的工艺流程图。图5A、图5B和图5C是对应于方法400的各种状态的半导体结构300中金属栅极结构500的一部分的截面图。应当理解,图5A、图5B和图5C仅示出了半导体结构300的局部示意图,而半导体结构300可以包含任意数量的晶体管部分和具有如图所示多个方面的附加材料。还应该注意的是,虽然图4中所示的方法步骤是按顺序描述的,但包括一个或多个已被省略和/或添加的、和/或已按另一所需顺序重新排列的一个或多个方法步骤的其他工艺顺序也落入本文提供的本公开内容的多个实施方式的范围内。
方法400始于方框410中的沉积工艺,以在半导体结构300的栅极介电层306上沉积高κ介电帽层502,如图5A所示。高κ介电帽层502可以由包含掺杂有硅(Si)、铝(Al)、镓(Ga)、锗(Ge)、铟(In)或铪(Hf)的钛(Ti)或者钽(Ta)的金属氮化材料形成,这样的材料诸如是TiSiN、TaSiN、TiAlN、TaAlN、TiGaN、TaGaN、TiGeN、TaGeN、TiInN、TaInN、TiHfN或者TaHfN。由如此掺杂的金属氮化材料形成的高κ介电帽层502可以防止在方框430中的后续硅沉积工艺期间的硅(Si)迁移(migration)。方框410中的该沉积工艺可以包括原子层沉积(ALD)工艺,其中将包含钛(Ti)或钽(Ta)的含金属前驱物、含氮前驱物和含掺杂物的前驱物递送至栅极介电层306的表面。在方框420的描述中列出了包含钛(Ti)或钽(Ta)的含金属前驱物的实例和含氮前驱物的实例。含掺杂物的前驱物包含铝(Al)、镓(Ga)、锗(Ge)、铪(Hf)、铟(In)或硅(Si)。包含铝(Al)的含掺杂物的前驱物的实例包括铝(Al)的无机化合物和铝(Al)的有机金属化合物(organometallic compounds),铝(Al)的无机化合物诸如是氯化铝(AlCl3)和溴化铝(AlBr3),铝(Al)的有机金属化合物诸如是三甲基铝(trimethylaluminum,TMA,(CH3)3Al)、二甲基氢化铝(dimethylaluminum hydride,DMAH,(CH3)2AlH)、三(二乙氨基)铝(tris(diethylamino)aluminum,TDEAA,Al(N(C2H5)2)3)、三甲胺铝烷(trimethylamine alane,TMAA,AlH3-N(CH3)3)、三乙胺铝烷(triethylamine alane,TEAA,AlH3-N(C2H5)3)、二甲基乙胺铝烷(dimethylethylamine alane,(AlH3-C2H5N(CH3)2)、三异丁基铝(triisobutylaluminum,TiBA,[Al(CH3)2CHCH2]3))、三乙基铝(triethylaluminum,TEAl,Al(C2H5)3)、二甲基氢化铝(dimethylaluminum hydride,DMAH,(CH3)2AlH)和二乙基氯化铝(diethylaluminum chloride,DEAC,(C2H5)2AlCl)。包含镓(Ga)的含掺杂物的前驱物的实例包括镓(Ga)的无机化合物和镓(Ga)的有机金属化合物,镓(Ga)的无机化合物诸如是三溴化镓(GaBr3)和三氯化镓(GaCl3),镓(Ga)的有机金属化合物诸如是三甲基镓(trimethylgallium,Ga(CH3)3)、三乙基镓(triethylgallium,Ga(C2H5)3)、三异丙基镓(triisopropylgallium,Ga(CH(CH3)2)3)、三(二甲基酰胺)镓(tris(dimethylamido)gallium,Ga(N(CH3)2)3)和三叔丁基镓(tri-tert-butylgallium,Ga(C(CH3)3)3)。包含锗(Ge)的含掺杂物的前驱物的实例包括锗(Ge)的无机化合物和锗(Ge)的有机金属化合物,锗(Ge)的无机化合物诸如是乙锗烷(digermane,Ge2H6)和锗烷(germane,GeH4),锗(Ge)的有机金属化合物诸如是四甲基锗(tetramethylgermanium,(CH3)4Ge)。包含铪(Hf)的含掺杂物的前驱物的实例包括铪(Hf)的无机化合物和铪(Hf)的有机金属化合物,铪(Hf)的无机化合物诸如是氯化铪(四价)(hafnium(IV)chloride,HfCl4),铪(Hf)的有机金属化合物诸如是叔丁醇铪(四价)(hafnium(IV)tert-butoxide,Hf[OC(CH3)3]4)、四(二乙基酰胺)铪(四价)(tetrakis(diethylamido)hafnium(IV),[(CH2CH3)2N]4Hf)、四(二甲基酰胺)铪(四价)(tetrakis(dimethylamido)hafnium(IV),[(CH3)2N]4Hf)和四(乙基甲基酰胺基)铪(四价)(tetrakis(ethylmethylamido)hafnium(IV),TEMAH,[(CH3)(C2H5)N]4Hf)。包含铟(In)的含掺杂物的前驱物的实例包括铟(In)的无机化合物和铟(In)的有机金属化合物,铟(In)的无机化合物诸如是三氯化铟(indium trichloride,InCl3)和碘化铟(一价)(indium(I)iodide,InI),铟(In)的有机金属化合物诸如是三乙基铟(triethylindium,In(CH2CH3)3)和乙酰丙酮铟(三价)(indium(III)acetylacetonate,In(OCCH3CHOCCH3)3)。包含硅(Si)的含掺杂物的前驱物的实例包括硅(Si)的无机化合物和硅(Si)的有机金属化合物,硅(Si)的无机化合物诸如是硅烷(silane,SiH4)、乙硅烷(disilane,Si2H6),硅(Si)的有机金属化合物诸如是三甲基硅烷(trimethylsilane,(CH3)3SiH)和新戊硅烷(neopentasilane,(SiH3)4Si)。
可以改变递送含金属前驱物、含氮前驱物和含掺杂物的前驱物的顺序。在一些实施方式中,交替递送含金属前驱物、含氮前驱物和含掺杂物的前驱物。在一些实施方式中,同时递送含金属前驱物和含掺杂物的前驱物,并且在净化后,递送含氮前驱物。下面的表1示出几种非限制性的顺序变化情形。
表1
Figure BDA0003362247800000121
Figure BDA0003362247800000131
可以在介于约200℃与约700℃之间(例如,介于约300℃与约600℃之间)的温度执行方框410中的ALD工艺。如由方框410中的ALD工艺所沉积的那样,高κ介电帽层502可以是非晶的并且具有介于约
Figure BDA0003362247800000132
与约
Figure BDA0003362247800000133
之间的厚度。可以在诸如图1中所示的处理腔室120、122、124、126、128或者130之类的处理腔室中执行该沉积工艺。
在方框420中,执行可选的金属帽退火工艺,以硬化和致密化所沉积的高κ介电帽层502。可能发生所沉积的高κ介电帽层502的结晶化。方框420中的该可选的金属帽退火工艺可以包括在一种快速热处理(RTP)腔室中执行的惰性环境中(诸如在氮(N2)和氩(Ar)环境中)的热退火工艺,这种快速热处理(RTP)腔室诸如是可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司获得的RADOXTM腔室。这种RTP腔室可以是图1中所示的处理腔室120、122、124、126、128和130中的任意腔室。
可以在介于约700℃与约850℃之间的温度和介于约0.1Torr与100Torr之间的压力执行方框420中的可选的金属帽退火工艺达约1秒与约10秒之间的时间。
在方框430中,执行沉积工艺以在高κ介电帽层502上沉积牺牲硅帽层504,如图5B所示。牺牲硅帽层504可以在方框440中后续的退火工艺期间在物理和化学方面保护下面的高κ栅极介电层306和高κ介电帽层502。牺牲硅帽层504由非晶硅形成,非晶硅诸如是氢化非晶硅(a-Si:H)。与包含为扩散引路的晶界(grain boundaries leading path fordiffusion)的多晶硅相比,非晶硅可以提供更少的原子扩散。方框430中的沉积工艺可以是原子层沉积(ALD)工艺或者化学气相沉积(CVD)工艺,其中将上面形成有高κ介电帽层502的半导体结构300暴露给硅前驱物。硅前驱物的实例是多硅烷(poly-silanes,SixHy)。例如,多硅烷包括乙硅烷(disilane,Si2H6)、丙硅烷(trisilane,Si3H8)、四硅烷(tetrasilane,Si4H10)、异丁硅烷(isotetrasilane)、新戊硅烷(neopentasilane,Si5H12)、环戊硅烷(cyclopentasilane,Si5H10)、六硅烷(hexasilane,C6H14)、环己硅烷(cyclohexasilane,Si6H12)或者总的来说是SixHy(其中x=2或更大),以及它们的组合。
牺牲硅帽层504可以具有介于约
Figure BDA0003362247800000141
与约
Figure BDA0003362247800000142
之间的厚度。方框430中的沉积工艺可以在诸如图1中所示的处理腔室120、122、124、126、128或者130之类的处理腔室中执行。
在方框440中,执行后帽退火(post cap anneal,PCA)工艺,以硬化和致密化所沉积的高κ介电帽层502。可能发生所沉积的高κ介电帽层502和所沉积的牺牲硅帽层504的结晶化。方框440中的PCA工艺可以包括在一种快速热处理(RTP)腔室中执行的惰性环境中(诸如在氮(N2)和氩(Ar)环境中)的热退火工艺,这种快速热处理(RTP)腔室诸如是可以从位于美国加利福尼亚州圣塔克拉拉的应用材料公司获得的RADOXTM腔室。这种RTP腔室可以是图1中所示的处理腔室120、122、124、126、128和130中的任意腔室。
可以在介于约900℃与约1000℃之间的温度(例如约900℃)和介于约0.1Torr与100Torr之间的压力执行方框440中的PCA工艺达约1秒与约10秒之间的时间。
在方框450中,执行去除工艺以剥除牺牲硅帽层504。该去除工艺可包括干式等离子体蚀刻工艺。
继方框460中的去除工艺之后,在方框460中,执行沉积工艺以在硬化和致密化的高κ介电帽层502上沉积金属层506,如图5C中所示。金属层506可以由钨(W)或者钴(Co)形成。金属层506可以p型掺杂的或者n型掺杂的。方框450中的沉积工艺可以包括使用含钴前驱物或者诸如WF6之类的含钨前驱物的化学气相沉积工艺(CVD)。
本文描述的由掺杂的金属氮化材料形成的高κ介电帽层502可以在方框460中的使用诸如WF6之类的含氟前驱物的沉积工艺中例如有效地作为氟阻挡物(fluorinebarrier)。本文描述的由掺杂的金属氮化物材料形成的高κ介电帽层502还可以防止铝(Al)迁移,因而消除了对铝阻挡物的需求,而由诸如氮化钛(TiN)之类的金属氮化物材料形成的传统的高κ介电帽层允许铝迁移。本文描述的由掺杂的金属氮化物材料形成的高κ介电帽层502还可以用作功函数层,以增大高κ介电帽层502与金属层506之间界面处的有效功函数。
在一些实施方式中,在不破坏诸如处理系统100之类的处理系统中的低压或者真空环境的情况下,执行方框410中用来沉积高κ介电帽层502的沉积工艺和方框430中用来沉积牺牲硅帽层504的沉积工艺。不破坏低压或者真空环境的工艺可以减小因大气环境中引入的湿气导致的污染。
在一些实施方式中,在不破坏诸如处理系统100之类的处理系统中的低压或者真空环境的情况下,执行方框410中用来沉积高κ介电帽层502的沉积工艺、方框430中用来沉积牺牲硅帽层504的沉积工艺和方框440中的后帽退火(PCA)工艺。不破坏低压或者真空环境的工艺可以减小因大气环境中引入的湿气导致的污染并且进一步防止增厚高κ栅极介电层306。
在本文描述的多个实施方式中,提供了形成高质量薄的高κ介电材料层和金属栅极结构的系统和方法。这样的高κ介电材料层的特性可以得到很好的控制。例如,方框260和270中的氮化工艺可以受到控制以提供介于约3原子%与约20原子%之间的高κ栅极介电层306中的氮结合,从而实现与更高氮结合的情况相比更高的κ值,和与更低氮结合的情况相比更好的结构稳定性。方框240、270、280和290中的退火工艺也可以受到控制以在高κ栅极介电层306中提供具有大于约
Figure BDA0003362247800000151
的尺寸的晶粒,从而减少流过高κ栅极介电层306的漏电流。
本文描述的金属栅极结构可以展现出减小的等效氧化物厚度(EOT)、减小的穿过其中的漏电流和增大的有效功函数。本文描述的金属栅极结构还可以展现出铝(A)阻挡物特性,这使得能够在金属栅极结构上直接形成铝层。这种金属栅极结构能够有利地用在闪存、动态随机存取存储器(DRAM)和MOSFET中任何阻挡物应用和/或任何金属栅极应用中。
尽管前述内容涉及本公开内容的多个实施方式,但在不脱离本公开内容的基本范围的情况下可以设计本公开内容的其他和进一步的实施方式,并且本公开内容的范围由随附的权利要求书来确定。

Claims (25)

1.一种形成半导体结构的方法,所述方法包括以下步骤:
在形成在衬底上的半导体结构上形成高κ介电帽层,包括:
在所述半导体结构上沉积所述高κ介电帽层;
在所述高κ介电帽层上沉积牺牲硅帽层;
执行后帽退火工艺,以硬化和致密化所沉积的高κ介电帽层;和
去除所述牺牲硅帽层。
2.如权利要求1所述的方法,其中所述高κ介电帽层的所述形成的步骤是在不破坏真空的情况下在处理系统中执行的。
3.如权利要求1所述的方法,进一步包括以下步骤:
在所述衬底上形成所述半导体结构,包括:
预清洁所述衬底的表面;
在所述衬底的预清洁后的表面上形成界面层;
在所述界面层上沉积高κ栅极介电层;
执行等离子体氮化工艺,以在所沉积的高κ栅极介电层中插入氮原子;和
执行氮化后退火工艺,以钝化等离子体氮化后的高κ栅极介电层中的化学键。
4.如权利要求3所述的方法,其中
所述界面层包含氧化硅(SiO2),并且
所述界面层的所述形成的步骤包括:利用一氧化二氮(N2O)气体对所述衬底进行热氧化。
5.如权利要求3所述的方法,其中所述高κ栅极介电层包含氧化铪(HfO2)。
6.如权利要求3所述的方法,其中所述等离子体氮化工艺包括:将所述所沉积的高κ栅极介电层暴露给使用了氮气(N2)与氨气(NH3)的混合物的氮等离子体。
7.如权利要求3所述的方法,其中所述氮化后退火工艺包括:在介于700℃与850℃之间的温度且在氮(N2)和氩(Ar)环境中,对所述所沉积的高κ栅极介电层进行尖峰退火(spikeannealing)。
8.如权利要求3所述的方法,进一步包括以下步骤:
在所述等离子体氮化工艺之前,执行沉积后退火工艺,以硬化和致密化所述所沉积的高κ栅极介电层,其中所述沉积后退火工艺包括:在介于500℃与800℃之间的温度且在氮(N2)和氩(Ar)环境中,对所述所沉积的高κ栅极介电层进行退火。
9.如权利要求3所述的方法,进一步包括:
在所述氮化后退火工艺之前,执行热氮化工艺,以在所述等离子体氮化后的高κ栅极介电层中进一步插入氮原子,其中所述热氮化工艺包括:在介于700℃与900℃之间的温度且在氨(NH3)环境中,对所述等离子体氮化后的高κ栅极介电层进行退火。
10.如权利要求1所述的方法,其中所述高κ介电帽层包含TiSiN。
11.如权利要求1所述的方法,进一步包括:
在所述牺牲硅帽层的所述沉积的步骤之前,执行金属帽退火工艺,以在介于700℃与850℃之间的温度且在氮(N2)环境中硬化和致密化所述所沉积的高κ介电帽层。
12.如权利要求1所述的方法,其中所述后帽退火工艺包括:在介于900℃与1000℃之间的温度且在氮(N2)环境中对所述高κ介电帽层进行退火。
13.一种形成半导体结构的方法,所述方法包括以下步骤:
在形成在衬底上的半导体结构上形成高κ介电帽层,包括:
在所述半导体结构上沉积所述高κ介电帽层;
在所述高κ介电帽层上沉积牺牲硅帽层;
执行后帽退火工艺,以硬化和致密化所沉积的高κ介电帽层;和
去除所述牺牲硅帽层。
14.如权利要求13所述的方法,其中所述高κ介电帽层的所述形成的步骤是在不破坏真空的情况下在处理系统中执行的。
15.如权利要求13所述的方法,进一步包括以下步骤:
在所述衬底上形成所述半导体结构,包括:
预清洁所述衬底的表面;
在所述衬底上沉积高κ栅极介电层;和
执行等离子体氮化工艺,以在所沉积的高κ栅极介电层中插入氮原子。
16.如权利要求15所述的方法,进一步包括以下步骤:
在所述衬底的预清洁后的表面上形成界面层,包括:利用一氧化二氮(N2O)气体对所述衬底进行热氧化,其中所述界面层包含氧化硅(SiO2)。
17.如权利要求15所述的方法,其中所述高κ栅极介电层包含氧化铪(HfO2)。
18.如权利要求15所述的方法,其中所述等离子体氮化工艺包括:将所述所沉积的高κ栅极介电层暴露给使用了氮气(N2)与氨气(NH3)的混合物的氮等离子体。
19.如权利要求15所述的方法,进一步包括以下步骤:
在所述等离子体氮化工艺之前,执行再氧化工艺,以热氧化所述衬底;和
在所述等离子体氮化工艺之后,执行氮化后退火工艺,以钝化等离子体氮化后的高κ栅极介电层中的化学键,其中
所述再氧化工艺包括:在介于400℃与900℃之间的温度且在氧(O2)、一氧化二氮(N2O)和H2的环境中,对所述高κ栅极介电层进行退火;并且
所述氮化后退火工艺包括:在介于700℃与850℃之间的温度且在氮(N2)和氩(Ar)的环境中,对所述等离子体氮化后的高κ栅极介电层进行尖峰退火。
20.如权利要求15所述的方法,进一步包括以下步骤:
在所述等离子体氮化工艺之后,执行再氧化工艺,以钝化等离子体氮化后的高k栅极介电层中剩余的化学键并且热氧化所述衬底,其中所述再氧化工艺包括:在介于400℃与900℃之间的温度且在氧(O2)、一氧化二氮(N2O)和H2的环境中,对所述高κ栅极介电层进行退火。
21.如权利要求13所述的方法,其中所述高κ介电帽层包含TiSiN。
22.如权利要求13所述的方法,进一步包括:
在所述牺牲硅帽层的所述沉积的步骤之前,执行金属帽退火工艺,以在介于700℃与850℃之间的温度且在氮(N2)环境中硬化和致密化所述所沉积的高κ介电帽层。
23.如权利要求13所述的方法,其中所述后帽退火工艺包括:在介于900℃与1000℃之间的温度且在氮(N2)环境中对所述高κ介电帽层进行退火。
24.一种处理系统,包括:
第一处理腔室;
第二处理腔室;
第三处理腔室;
第四处理腔室;和
系统控制器,所述系统控制器被构造成:
在所述第一处理腔室中,在形成在衬底上的半导体结构上沉积高κ介电帽层;
在所述第二处理腔室中,在所述高κ介电帽层上沉积牺牲硅帽层;
在所述第三处理腔室中,执行后帽退火工艺,以硬化和致密化所沉积的高κ介电帽层;和
在所述第四处理腔室中,去除所述牺牲硅帽层,
其中,在所述第一处理腔室、所述第二处理腔室、所述第三处理腔室和所述第四处理腔室之间传送所述衬底而不破坏所述处理系统中的真空环境。
25.如权利要求24所述的处理系统,进一步包括:
第五处理腔室;
第六处理腔室;
第七处理腔室;
第八处理腔室;和
第九处理腔室,其中
所述系统控制器被进一步构造成:
在所述第五处理腔室中预清洁所述衬底的表面;
在所述第六处理腔室中,在所述衬底的预清洁后的表面上形成界面层;
在所述第七处理腔室中,在所述界面层上沉积高κ介电层;
在所述第八处理腔室中,将所沉积的高κ栅极介电层暴露给氮等离子体;和
在所述第九处理腔室中,对等离子体氮化后的高κ栅极介电层进行退火,并且
在所述第五处理腔室、所述第六处理腔室、所述第七处理腔室、所述第八处理腔室和所述第九处理腔室之间传送所述衬底而不破坏所述处理系统中的真空环境。
CN202111371028.2A 2020-11-18 2021-11-18 增强材料结构的处置 Pending CN114551230A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/951,858 US11417517B2 (en) 2019-05-03 2020-11-18 Treatments to enhance material structures
US16/951,858 2020-11-18

Publications (1)

Publication Number Publication Date
CN114551230A true CN114551230A (zh) 2022-05-27

Family

ID=81668783

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111371028.2A Pending CN114551230A (zh) 2020-11-18 2021-11-18 增强材料结构的处置

Country Status (4)

Country Link
JP (1) JP7210682B2 (zh)
KR (2) KR102634254B1 (zh)
CN (1) CN114551230A (zh)
TW (1) TWI830087B (zh)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100395507B1 (ko) * 2001-11-27 2003-08-25 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
KR100444603B1 (ko) * 2001-12-22 2004-08-16 주식회사 하이닉스반도체 탄탈륨 펜타 옥사이드-알루미늄 옥사이드 유전체막 제조방법 및 이를 적용한 반도체 소자
KR101388389B1 (ko) * 2006-02-10 2014-04-22 인터몰레큘러 인코퍼레이티드 재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치
US7531399B2 (en) * 2006-09-15 2009-05-12 Taiwan Semiconductor Manufacturing Company Semiconductor devices and methods with bilayer dielectrics
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20120329285A1 (en) * 2011-06-22 2012-12-27 United Microelectronics Corp. Gate dielectric layer forming method
US20140099785A1 (en) * 2012-10-04 2014-04-10 Intermolecular, Inc. Sacrificial Low Work Function Cap Layer
KR102551351B1 (ko) 2018-03-16 2023-07-04 삼성전자 주식회사 란타넘 화합물과 이를 이용한 박박 형성 방법 및 집적회로 소자의 제조 방법
CN106653589A (zh) * 2016-12-16 2017-05-10 上海华力微电子有限公司 高压低热预算高k后退火工艺
US10522344B2 (en) * 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics
US11088029B2 (en) * 2018-09-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stack treatment
US10629700B1 (en) * 2018-09-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate process and device

Also Published As

Publication number Publication date
KR20220068166A (ko) 2022-05-25
KR102634254B1 (ko) 2024-02-05
JP7210682B2 (ja) 2023-01-23
JP2022080883A (ja) 2022-05-30
KR20240019200A (ko) 2024-02-14
TW202226339A (zh) 2022-07-01
TWI830087B (zh) 2024-01-21

Similar Documents

Publication Publication Date Title
US20060153995A1 (en) Method for fabricating a dielectric stack
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
US11955332B2 (en) Treatments to enhance material structures
KR20230004821A (ko) 플라즈마를 사용하여 금속 질화물의 막 특성들을 조정하기 위한 방법
KR20220125783A (ko) 디바이스 성능을 개선하기 위한 처리들
KR102634254B1 (ko) 반도체 구조를 형성하는 방법 및 이의 처리 시스템
US20210057215A1 (en) Treatments to enhance material structures
JP7313414B2 (ja) 材料構造を改良するための処理
TWI837538B (zh) 增強材料結構的處理
US20210193468A1 (en) Treatments To Improve Device Performance
US20230377879A1 (en) Barrier layer for preventing aluminum diffusion
US20220238680A1 (en) Threshold voltage modulation for gate-all-around fet architecture
TW202416357A (zh) 增強材料結構的處理
KR20240013219A (ko) 비정질 실리콘-기반 스캐빈징 및 밀봉 eot
TW202412185A (zh) 防止鋁擴散之阻障層

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination