KR101388389B1 - 재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치 - Google Patents

재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치 Download PDF

Info

Publication number
KR101388389B1
KR101388389B1 KR1020087019691A KR20087019691A KR101388389B1 KR 101388389 B1 KR101388389 B1 KR 101388389B1 KR 1020087019691 A KR1020087019691 A KR 1020087019691A KR 20087019691 A KR20087019691 A KR 20087019691A KR 101388389 B1 KR101388389 B1 KR 101388389B1
Authority
KR
South Korea
Prior art keywords
substrate
regions
processing
processes
testing
Prior art date
Application number
KR1020087019691A
Other languages
English (en)
Other versions
KR20090014139A (ko
Inventor
토니 피 치앙
데이비드 이 라조브스키
커트 와이너
거스 핀토
토마스 보우시
사샤 고레르
Original Assignee
인터몰레큘러 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/352,077 external-priority patent/US8084400B2/en
Priority claimed from US11/419,174 external-priority patent/US8772772B2/en
Application filed by 인터몰레큘러 인코퍼레이티드 filed Critical 인터몰레큘러 인코퍼레이티드
Publication of KR20090014139A publication Critical patent/KR20090014139A/ko
Application granted granted Critical
Publication of KR101388389B1 publication Critical patent/KR101388389B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/40Minimising material used in manufacturing processes

Abstract

재료들, 단위 프로세스들, 및 프로세스 시퀀스들의 변화들을 이용하여 제조 기술들을 분석하고 최적화하는 방법을 제공한다. 그 방법에서, 반도체 제조 프로세스 시퀀스 및 건설의 서브셋을 최적화를 위해 분석한다. 제조 프로세스 시퀀스의 서브셋의 실행 동안, 소정 구조물을 생성하기 위해 재료들, 단위 프로세스들, 및 프로세스 시퀀스를 변경한다. 조합 프로세싱 동안, 반도체 기판의 개별 영역들 간에 재료들, 단위 프로세스들, 또는 프로세스 시퀀스를 변경하며, 여기서 각각의 영역들 내에서 프로세스는 상용 반도체 제조 공정의 결과로서 대표되는 사실상 균일하거나 일치하는 결과를 산출한다. 또한, 프로세스 시퀀스를 최적화하기 위한 툴을 제공한다.
조합 프로세스, 재료들, 단위 프로세스들, 프로세스 시퀀스

Description

재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치{METHOD AND APPARATUS FOR COMBINATORIALLY VARYING MATERIALS, UNIT PROCESS AND PROCESS SEQUENCE}
배경
집적 회로 (IC), 반도체 디바이스, 평판 패널 디스플레이, 광전자 디바이스, 데이터 저장 디바이스, 자기 전자 디바이스, 자기 광학 디바이스, 및 패키징된 디바이스 등의 제조는 많은 단위 프로세싱 단계들의 통합과 시퀀싱을 수반한다. 예를 들어, IC 제조는 통상 세정, 표면 준비, 성막 (deposition), 리소그래피, 패터닝, 에칭, 평탄화, 임플란테이션, 열 어닐링, 및 다른 관련 단위 프로세싱 단계와 같은 일련의 프로세싱 단계들을 포함한다. 단위 프로세싱 단계들의 정밀 시퀀싱 및 통합은 속도, 전력 소모, 수율 및 신뢰성과 같은 원하는 성능 사양들을 충족하는 기본 디바이스들의 형성을 가능하게 한다. 또한, 디바이스 제조에 채용된 도구들 및 장치는 생산성 및 비용 편익을 위해 단위 프로세싱 단위당 더 많은 IC 를 맞추기 위하여 12 인치 (또는 300 밀리미터) 직경의 웨이퍼로 이동과 같은 기판 사이즈들을 전 보다 증가시키는 프로세싱을 가능토록 발전되었다. 생산성을 증가시키고 제조 비용을 감소시키는 다른 방법들은 배치 반응기들 (batch reators) 의 사용을 포함함으로써 병렬적으로 다수의 모놀리딕 기판들 (monolithic substrates) 을 프로세싱할 수 있다. 이들 프로세싱 단계들에서, 모놀리딕 기판 또는 배치식 모놀리딕 기판들은 균일하게, 즉 주어진 모놀리딕 기판 전역에 물질적 특성, 화학적 특성, 전기적 특성 및 기타 특성의 동일 결과를 갖는 동일한 방식으로 프로세싱된다.
모놀리딕 기판 및/또는 일련의 모놀리딕 기판들 전역에 균일하게 프로세싱하는 능력은 제조 효율성 및 비용 효과성뿐만 아니라 반복성 및 제어에 대해 이점이 있다. 그러나, 전체 기판에 걸친 균일한 프로세싱은 동일한 재료들, 프로세스들 및 프로세스 시퀀스 통합 방식을 이용하여 전체 기판을 명목상 동일하게 만들기 때문에 새로운 재료, 새로운 프로세스들, 및/또는 새로운 프로세스 시퀀스 통합 방식들을 최적화, 검증 또는 조사시 불리할 수 있다. 각각 그렇게 프로세싱된 기판은 본질적으로 기판 당 오직 하나의 가능한 변화를 나타낸다. 따라서, 종래의 프로세싱 기술들 하에서 전체 웨이퍼의 균일한 프로세싱은 기판 당 데이터 포인트가 적게 되고, 다양한 데이터를 누적하는데 시간이 많이 들고, 이러한 데이터를 획득하는데 비용이 많이 든다.
따라서, 반도체 제조 프로세스들에 대한 대안적 재료들, 프로세스들, 및 프로세스 시퀀스 통합 방식들을 보다 효율적으로 평가하기 위하여 기판의 전역에 걸쳐 재료들, 프로세스들, 및 프로세스 시퀀스 통합 방식들의 어레이를 보다 효율적으로 스크리닝 및 분석하게 할 필요성이 있다.
요약
본 발명의 실시형태들은 다수의 가능한 재료들, 프로세스들, 및 프로세스 시퀀스들을 갖는 반도체 제조 작업을 스크리닝하는 방법 및 시스템을 제공하여 최적 의 제조 방법이나 통합 시퀀스, 또는 최적의 제조 방법들의 상대적으로 작은 세트를 유도한다. 이하에서는 본 발명의 몇몇 실시형태들을 서술한다.
본 발명의 일 양태에서는, 재료들, 단위 프로세스들, 및 프로세스 시퀀스들의 변화들을 이용하는 반도체 제조 방법을 분석하고 최적화하는 방법을 제공한다. 그 방법에서는, 최적화를 위해 반도체 제조 프로세스 시퀀스 및 빌드의 서브셋을 분석한다. 제조 프로세스 시퀀스의 서브셋의 실행 동안, 소정 구조물을 만들기 위한 재료들, 단위 프로세스들, 및 프로세스 시퀀스는 변경된다. 예를 들어, 기판 상의 개별 영역들에서 블랭킷 성막들 및 조합 변화들의 조합을 통해 인터커넥트 애플리케이션들의 접착층을 분석할 수 있다. 조합 프로세싱 동안, 재료들, 단위 프로세스들, 또는 프로세스 시퀀스는 반도체 기판의 개별 영역들 간에 변경되며, 여기서 각각의 영역들 내에서 프로세스는 상용 반도체 제조 공정의 결과로서 대표되는 사실상 균일하거나 일치하는 결과를 산출한다. 또한, 제어된 방식으로 변화를 도입하여, 테스팅이 테스팅 이상을 야기하는 외부 요소들과 관계되어야 함 없이 변화로 의한 어떤 차이들을 결정한다.
일 실시형태에서는, 반도체 제조 공정의 재료들, 단위 프로세스들, 및 프로세스 시퀀스를 방법론적으로 최적화하기 위하여 조합 프로세스 시퀀스 동안 1 차, 2 차, 및 3 차 스크리닝 레벨들을 규정한다. 다른 실시형태에서는, 스크리닝 동안 물리적 특성, 화학적 특성, 전기적 특성, 자기적 특성 등에 대해 각 영역의 구조물, 일련의 구조물들 또는 부분적 구조물(들)을 테스팅한다. 이 테스팅의 결과들에 기초하여 원하는 특성들을 갖는 재료들, 단위 프로세스들, 및 프로세스 시퀀스들을 포함하는 곳에 추가 스크리닝을 수행하나, 원하는 특성을 갖지 않는 다른 재료들, 프로세스들, 및 프로세스 시퀀스들을 제거한다. 일단 원하는 특성을 갖는 재료들, 단위 프로세스들, 및 프로세스 시퀀스들의 일부를 식별하면, 종래의 방식, 즉, 비-조합적으로 그들 양태들을 수행할 수 있고, 재료들, 단위 프로세스들, 또는 프로세스 시퀀스의 다른 양태들을 조합적으로 변경할 수 있다. 궁국적으로, 본 프로세스의 반복적으로 되풀이하는 것은, 재료-중심적 관점과는 반대로 프로세스와 프로세스 시퀀스의 상호 작용을 고려하는 최적화된 반도체 제조 프로세스 시퀀스를 산출한다.
본 발명의 다른 양태에서, 그 위에 정의된 디바이스들을 포함할 수 있는 생산 웨이퍼를 제조하는 프로세스 시퀀스를 최적화하는 툴을 제공한다. 일 실시형태에서, 생산 웨이퍼는 적어도 직경 6 인치이지만, 생산 웨이퍼가 6 인치보다 작거나 큰 직경을 포함한 임의의 적합한 사이즈 또는 형상일 수 있다. 툴은 부착된 다수의 모듈들을 구비한 메인 프레임을 포함한다. 모듈들 중 하나는 조합 프로세싱 모듈이다. 조합 모듈을 통해, 프로세싱되는 웨이퍼의 영역들 중에서 프로세스 시퀀스, 단위 프로세스들, 프로세스 조건들, 및/또는 재료들의 순서를 변경한다. 일 실시형태에서, 메인 프레임은 조합 프로세싱 모듈 및 종래의 프로세싱 모듈을 포함한다. 모듈들은 프로세스 시퀀스 순서에 따라 반도체 기판 상의 구조물을 정의하도록 구성된다. 조합 프로세싱 모듈에서 프로세스 시퀀스 순서 중 하나 이상의 프로세스들을 수행한다. 조합 모듈에서 수행된 프로세스 또는 프로세스들은 조합 프로세싱 모듈을 통해 반도체 기판의 개별 영역들에서 변경된다.
본 발명의 다른 양태들은 본 발명의 원리를 예시적 방법으로 설명하는 첨부된 도면들을 참조하여 후술하는 상세한 설명으로부터 명백하게 된다.
도면의 간단한 설명
본 발명은 첨부된 도면을 참조하여 후술하는 상세한 설명에 의해 쉽게 이해된다. 동일한 참조부호들은 동일한 구성 요소들을 나타낸다.
도 1 은 본 발명의 일 실시형태에 따라 사이트 분리된 프로세싱 및/또는 종래의 프로세싱을 포함하는 조합 프로세싱 시퀀스 통합에 대한 일반적 방법론을 설명하는 단순화된 개략도이다.
도 2a 내지 도 2c 는 본 발명의 일 실시형태에 따른 분리된 영역 및 다소 중첩한 영역들을 나타내는 단순화된 개략도들이다.
도 3 은 본 발명의 일 실시형태에 따른 스크리닝 프로세스에 대한 테스팅 계층 (testing hierarchy) 을 나타내는 단순화된 개략도이다.
도 4 는 본 발명의 일 실시형태에 따른 반도체 디바이스들의 제조를 위한 재료들, 프로세스들, 및 프로세스 시퀀스들을 평가 시 사용하는 스크리닝 프로세스의 개요를 나타내는 단순화된 개략도이다.
도 5a 및 도 5b 는 본 발명의 일 실시형태에 따라 통합된 고 생산성 조합 (HPC: High productivity combinatorial) 시스템을 나타내는 단순화된 개략도들이다.
도 6 은 본 발명의 일 실시형태에 따라 반도체 제조 프로세스를 위한 최적화된 프로세스 시퀀스를 선택하는 방법 공정을 도시하는 흐름도이다.
도 7 은 본 발명의 일 실시형태에 따라 사이트 분리된 프로세싱을 포함하는 프로세스 시퀀스 통합을 평가하기 위해 종래의 프로세싱과 조합 프로세스를 통합하는 구체적 실시예를 나타내는 단순화된 개략도이다.
도 8a 및 도 8b 는 본 발명의 일 실시형태에 따라 구리 캡핑층에 적용되는 바와 같이 본 명세서에서 서술된 스크리닝 프로세스의 예시적 작업흐름을 도시한다.
도 9a 내지 도 9c 는 본 발명의 일 실시형태에 따라 게이트 스택 구성용 프로세스 시퀀스에 대해 스크리닝 프로세스의 적용을 나타낸다.
도 10a 및 도 10b 는 본 발명의 일 실시형태에 따라 메모리 디바이스용 금속-절연체-금속 (MIM) 구조를 평가하는 예시적 스크리닝 기술을 나타낸다.
도 11 은 본 발명의 일 실시형태에 따라 스크리닝 용도들 마다 조합 프로세싱 시퀀스들로부터 정의된 구조물들을 갖는 기판의 단순화된 단면도를 나타낸다.
상세한 설명
본 명세서에 기술되는 실시형태들은 재료들, 단위 프로세스들, 및 프로세스 통합 시퀀스들을 평가하는 방법 및 시스템을 제공하여 반도체 제조 공정들을 개선한다. 그러나 이들 구체적 상세함의 일부 또는 전부 없이도 본 발명을 실시할 수도 있음이 당업자에게 명백하다. 다른 경우들에서, 본 발명을 쓸데없이 모호하게 하지 않도록 공지된 프로세스 공정들을 자세히 서술하지 않았다.
본 명세서에 서술되는 실시형태들은 반도체 제조 공정들의 광역 최적 시퀀스에 도달하기 위하여 단위 제조 공정들 간의 상호작용 영향들, 이러한 단위 제조 공정들에 영향을 미치는데 이용된 프로세스 조건들뿐만 아니라 단위 제조 공정들 내에 이용된 구성 요소들의 재료 특성들을 고려함으로써 프로세스 시퀀스 통합에 조합 기술의 적용을 가능케 한다. 일련의 국부 최적화들만을 고려하기보다 오히려, 즉, 분리 시 각 제조 단위 공정에 대한 최선의 조건들 및 재료들만을 고려하기보다 오히려, 이하에서 서술되는 실시형태들은 수행되는 많은 프로세싱 공정들 및 반도체 디바이스 제조 시 이러한 많은 프로세싱 공정들이 수행되는 순서로 인해 유도된 상호작용 영향들을 고려한다. 따라서, 광역 최적 시퀀스 순서는 유도되고, 본 유도의 일부로서 최적 시퀀스 순서의 단위 프로세스 공정들에 사용되는 단위 프로세스들, 단위 프로세스 파라미터들 및 재료들도 고려된다.
또한 아래 서술되는 실시형태들은 반도체 디바이스를 제조하는데 사용된 전체 프로세스 시퀀스의 일부 또는 서브셋을 분석한다. 일단 분석을 위해 프로세스 시퀀스의 서브셋을 식별하면, 디바이스 또는 구조물의 그 일부를 만드는데 사용되는 재료들, 단위 프로세스들 및 프로세스 시퀀스를 최적화하도록 조합 프로세스 시퀀스 통합 테스트를 수행한다. 본 명세서에 서술되는 몇몇 실시형태들의 프로세싱 동안, 프로세싱된 반도체 기판 상에 반도체 디바이스의 실제 제조 동안 형성되는 구조물들과 동등한 구조물들을 형성한다. 예를 들어, 이러한 구조물들은 트렌치들, 비아들, 배선 라인들, 캡핑층들, 마스킹층들, 다이오드들, 메모리 소자들, 게이트 스택들, 트랜지스터들, 또는 반도체 칩들 상에서 발견되는 중간 구조물을 생성하는 임의의 다른 일련의 층들이나 단위 프로세스들을 포함할 수도 있으나 이에 제한되지 않는다. 조합 프로세싱이 어떤 재료들, 단위 프로세스들, 또는 프로세스 시퀀스들을 변경하지만, 층들이나 구조물들의 구성 또는 두께나 세정, 표면 준비, 에칭, 성막, 평탄화, 임플란테이션, 표면 처리 등과 같은 단위 프로세스의 작용은 각 개별 영역에 걸쳐 사실상 균일하다. 또한, 상이한 재료들이나 단위 프로세스들이 조합 프로세싱 동안 기판의 상이한 영역들에서 구조물 형성 시 대응하는 층들이나 단계들에 대해 사용될 수도 있으나, 각 층의 적용이나 주어진 단위 프로세스의 사용은 의도적으로 적용되는 상이한 영역들 전반에 걸쳐 사실상 변함이 없거나 균일하다. 따라서, 프로세싱은 희망하는 바와 같이 영역 내에서 균일 (영역 내부 균일성) 하고 영역들 간에 균일 (영역간 균일성) 하다. 실험의 설계에 의해 원하는 바와 같이, 영역들 간에서, 예를 들어, 층의 두께가 변경되는 곳에서 프로세스가 변경될 수 있다는 점이나 그 영역들 간에서 다양한 프로세스 파라미터들 중 하나가 변경될 수도 있다는 점 등을 주목해야 한다.
그 결과는 영역 내에서 및 적용 가능한 것처럼, 상이한 영역들에 걸쳐 균일하게 적용되었던 구조물들 또는 단위 프로세스 시퀀스들을 포함하는 기판 상의 일련의 영역들이다. 이 프로세스 균일성은 변경된 파라미터 (예를 들어, 재료들, 단위 프로세스들, 단위 프로세스 파라미터들, 또는 프로세스 시퀀스들) 에 의해 테스트 결과들의 변화가 있고 프로세스 균일성의 부족하지 않도록 상이한 영역들 내에 및 상이한 영역들에 걸쳐 특성의 비교를 허용한다. 반면에, 그라디언트 프로세싱 기술들 (gradient processing techniques) 은 층들에 걸친 변화를 요구하고 층들 내의 비-균일성은 발생하여 다양한 재료 혼합물의 빠른 스캔이 획득된다. 본 명세서에서 서술된 실시형태들에서는, 기판 상의 개별 영역들의 위치들을 필요에 따라 정의할 수 있으나, 바람직하게는 실험의 툴링 (tooling) 및 설계의 편이를 위해 체계화한다. 또한, 각 영역 내의 구조물들의 수, 변이들 및 위치는 수행될 각 영역 내의 및 영역들에 걸친 테스트 결과들에 대한 유효한 통계적 분석이 가능하도록 설계된다. 그라디언트 프로세싱 기술들은 상용 반도체 칩으로부터의 구조물들을 만들기 위해 임의의 위치들에서 균일성 또는 일치성을 전달할 수 없으나, 기판의 다양한 영역들 간에 재료들, 단위 프로세스들 또는 프로세스 시퀀스들이 변하는 영향의 통계적 분석을 가능하게 한다. 즉, 특정 테스팅 목적을 위해 그라디언트 프로세스 공정의 출력이 개별화되고, 이 출력은 그라디언트 프로세스들이 반도체 디바이스의 상용 생성 동안 사용된 많은 프로세스들에 쉽게 전환되지 않는 것과 같이 프로세스 시퀀스 상호작용들에 대해 임의의 데이터를 제공할 수 없다.
그라디언트 기술이 위와 같은 제한들을 갖지만, 이것은 재료 특성들의 빠른 스캔을 가능케 하고 본 명세서에서 서술되는 기술들의 프론트 엔드와 병합되어 분석 및 최적화되는 조합 프로세스 시퀀스 통합과 병합될 가능한 재료 후보들을 식별할 수도 있다. 그러나, 위치 내에서 내제적 변화 및 비-균일성 때문에, 그라디언트 프로세싱 기술들은 프로세스 시퀀스 통합 기술들의 평가에 사용되는 것이 불가능하다.
도 1 은 본 발명의 일 실시형태에 따라 사이트 분리된 프로세싱 및/또는 종래의 프로세싱을 포함하는 조합 프로세스 시퀀스 통합에 대한 일반적 방법론을 나타내는 단순화된 개략적도이다. 일 실시형태에서, 초기에 종래의 프로세스 N 을 이용하여 기판을 프로세싱한다. 이후, 일 예시적 실시형태에서는, 사이트 분리된 프로세스 N+1 을 이용하여 기판을 프로세싱한다. 사이트 분리된 프로세싱 동안, 미국 특허 출원 제 11/672,473 호 또는 제 11/352,077 호에 서술된 HPC 모듈과 같고, 본 출원의 도 5a 및 도 5b 에 더 기술되는 고 생산성 조합 (HPC) 모듈을 이용할 수도 있다. 이후, 사이트 분리된 프로세스 N+2 을 이용하여 기판을 프로세싱하고, 그 다음 종래의 프로세스 N+3 을 이용하여 프로세싱할 수 있다. 테스팅을 수행하고 그 결과들을 평가한다. 그 테스팅은 물리적 테스트, 화학적 테스트, 음향적 테스트, 자기적 테스트, 전기적 테스트, 광학적 테스트 등을 포함할 수 있다. 이 평가에서, 프로세스 N 또는 프로세스 N+3 중 어느 하나에 대한 사이트 분리된 프로세싱을 이용하여 추가적으로 조합 프로세스 시퀀스 통합을 수행할 수 있도록 다양한 사이트 분리된 프로세스들로부터 (예를 들어, 단계 N+1 및 단계 N+2 로부터) 의 특정 프로세스가 선택되고 고정될 수도 있다. 예를 들어, 다음 프로세스 시퀀스는 사이트 분리된 프로세스 N 을 이용한 기판의 프로세싱, 테스팅이 이후에 수행되는, 프로세스 N+1, 프로세스 N+2, 및 프로세스 N+3 에 대한 종래의 프로세싱을 포함한다.
도 1 에 대한 프로세싱 시퀀스에 종래의 프로세스들 및 조합 프로세스들의 다양한 다른 조합들을 포함할 수 있다는 점을 이해해야 한다. 즉, 전체 프로세스 흐름의 임의의 원하는 세그먼트들 및/또는 부분들에 조합 프로세스 시퀀스 통합을 적용할 수 있다. 원하는 바와 같이 프로세스 흐름 내에서 각 프로세스 공정 및/또는 일련의 프로세스 공정들 후에 물리적 테스팅, 화학적 테스팅, 음향적 테스팅, 자기적 테스팅, 전기적 테스팅, 광학적 테스팅 등을 포함하는 특성 테스팅을 수행할 수 있다. 테스팅에 의해 제공된 피드백은 어떤 재료들, 프로세스들, 프로세스 조건들 및 프로세스 시퀀스들을 선택하고 다른 것들을 제거하는데 사용된다. 또한, 전체 모놀리딕 기판들, 예를 들어 도시된 바와 같은 웨이퍼들 또는 쿠폰들 (coupons) 또는 웨이퍼 쿠폰들과 같은 모놀리딕 기판들의 일부들에 위의 흐름을 적용할 수 있다.
조합 프로세싱 공정들 하에서는 상이한 영역들의 프로세싱 조건들을 독립적으로 제어할 수 있다. 따라서, 프로세스 재료량들, 반응종들, 프로세싱 온도들, 프로세싱 시간들, 프로세싱 압력들, 프로세싱 유동률, 프로세싱 전력들, 프로세싱 시약 조성물들, 반응이 억제되는 비율, 프로세스 재료들의 성막 순서, 프로세스 시퀀스 단계들 등은 기판 상의 영역에서 영역마다 변화될 수 있다. 따라서, 예를 들어, 재료들을 탐색할 때, 제 1 영역 및 제 2 영역에 전달되는 프로세싱 재료는 동일하거나 상이할 수 있다. 만약 제 1 영역에 전달되는 프로세싱 재료가 제 2 영역에 전달되는 프로세싱 재료와 동일한 경우, 상이한 농도들로 기판 상의 제 1 영역 및 제 2 영역에 이 프로세싱 재료를 제공할 수 있다. 또한, 상이한 프로세싱 파라미터들 하에서 재료를 성막할 수 있다. 조정될 수 있는 파라미터들은 프로세스 재료량들, 반응종들, 프로세싱 온도들, 프로세싱 시간들, 프로세싱 압력들, 프로세싱 유동률, 프로세싱 전력들, 프로세싱 시약 조성물들, 반응이 억제되는 비율, 프로세스가 행해지는 분위기들, 재료들이 성막되는 순서 등을 포함하나 이에 제한되지 않는다. 반도체 제조 시 공통으로 사용되는 다른 프로세스 파라미터들이 변화될 수도 있는 것처럼, 이들 프로세스 파라미터들은 예시적이며 배타적인 리스트일 것을 의미하지는 않는다.
위에서 언급된 바와 같이, 재료 성막의 내재적 불균일함에 의존하는 그라디언트 프로세싱 기술들에 반하여 영역 내에서 프로세스 조건들은 사실상 균일하다. 즉, 본 명세서에서 기술되는 실시형태들에서는 종래의 방식으로, 사실상 일관되고 사실상 균일한 프로세싱을 국부적으로 수행하나, 기판상에 재료들, 프로세스들 및 프로세스 시퀀스들이 광역적으로 변화할 수도 있다. 따라서, 테스팅은 동일한 것으로 의미되는 프로세스들 간의 프로세스 변동 차이들로부터 간섭 없이 최적 값을 찾는다. 일 영역이 일 실시형태에서 다른 영역과 인접할 수도 있거나 다른 영역들이 분리되어, 결국, 비중첩될 수도 있음이 이해되어야 한다. 영역들이 인접하는 경우, 재료들 또는 정밀한 프로세스 상호작용들이 알려지지 않으나, 영역들의 일부, 일반적으로 면적의 적어도 50% 이상이 균일하고 모든 테스팅이 그 영역 내에서 발생하는 약간의 중첩이 있을 수도 있다. 또한, 테스트들의 결과에 부정적인 영향이 없는 프로세스들의 재료들을 갖는 경우에만 잠재적 중첩이 허락된다. 본 명세서에서는 영역들 또는 개별 영역들로서 두 종류의 영역들을 참조한다.
도 2a 내지 도 2c 는 본 발명의 일 실시형태에 따라 분리된 영역들 및 다소 중첩한 영역들을 나타내는 단순화된 개략도들이다. 도 2a 에서는, 일반적으로 다수의 다이들 또는 구조물들을 포함하는 다수의 영역들 (202) 을 갖는 웨이퍼 (200) 를 나타낸다. 웨이퍼 (200) 를 도시하지만, 웨이퍼의 쿠폰 또는 어떤 부분 상에 본 명세서에서 논의된 영역들을 배치시킬 수도 있음이 이해되어야 한다. 도 2b 에서는 그 위에 정의된 인접 영역들 (204) 을 구비한 영역 (202) 을 나타낸다. 영역들 (204) 의 각 경우는 영역들의 다른 것과 경계를 공유한다. 각 영역 (204) 내에서, 영역의 실체적 부분 (206) 예를 들어, 그 영역의 적어도 50% 이상은 균일하고, 원하는 테스팅은 부분 (206) 내에서 수행될 수 있다. 당업자는 단위 프로세싱 공정들에 마스크들이 사용되는 경우 영역들 (204) 간의 섀도우잉 (shadowing) 이 발생할 수도 있음을 이해한다. 그러나, 이 현상은 본 원하는 균일하고 일관된 특성들을 갖는 영역의 실체적 부분 (206) 을 생성하고 테스팅하는 능력에 영향을 미치지 않는다.
도 2c 는 몇몇 다이를 갖는 예시적 영역을 나타낸다. 일반적으로, 영역들은 하나보다 많은 다이를 포함하나, 시스템 또는 일련의 실험들은, 적용 가능하다면, 각 영역이 하나의 다이 또는 다이의 일부를 포함하도록 설정될 수 있다. 일 실시형태에서, 도 5b 을 참조하여 기술되는 습식 프로세싱 툴은 도 2c 에 설명되는 바와 같이 분리된 영역들을 제공할 수 있다. 본 명세서에서 정의된 툴들이 층들을 통해 특성들의 공간 변화를 가능케 하는 것이 이해되어야 한다. 도 2a 내지 도 2c 는 정의하는 영역들과 같이 해석될 수도 있으나, 이에 제한되지 않는다. 문제가 되고 있는 기술에 대해 요구된 바와 같이 집적 회로 (IC) 반도체 디바이스, 평판 패널 디스플레이, 광전자 디바이스, 데이터 저장 디바이스, 자기 전자 디바이스, 자기 광학 디바이스, 패키징된 디바이스 등의 제조를 포함하는 실험, 툴링 또는 다른 사이트 분리된 프로세싱 기술들의 설계에 의해 영역을 정의할 수도 있다. 상술한 바와 같이, 다이 사이즈와 상관하는 영역 및 영역들의 사이즈에 관계없이, 본 명세서에서 서술된 스크리닝 기술에 영향 없이 영역들을 다소 중첩하거나 분리시킬 수도 있다.
도 3 은 본 발명의 일 실시형태에 따라 반도체 디바이스의 제조에 대한 재료들, 단위 프로세스들, 및 프로세스 시퀀스들을 평가할 때 사용하는 고 생산성 조합 (HPC) 스크리닝 프로세스의 개요를 설명하는 단순화된 개략도이다. 도 3 에 도시된 바와 같이, 1차 스크리닝은 재료들 발견에 병합되고 집중된다. 여기서, 재료들은 다음 레벨의 스크리닝에 대해 가능한 후보들을 선택하기 위하여 소정 특성들에 대해 재료들을 스크리닝할 수도 있다. 초기 1 차 스크리닝에서, 차후에 수백의 후보들로 감소되는 수천의 후보들이 있을 수도 있다. 이후, 재료들 및 단위 프로세스들 개발을 조사할 2 차 스크리닝 프로세스들에 이들 수백의 후보들을 이용하거나 제시할 수 있다. 2 차 스크리닝 레벨에서는, 추가적으로 프로세스 통합을 고려하여 수백의 후보들에서 수십의 후보들로 좁힐 수도 있다. 그 후, 3 차 스크리닝에서는 재료들, 단위 프로세스들, 및 프로세스 시퀀스 통합의 관점에서 몇몇 최선의 가능한 최적화들을 식별하기 위해 프로세스 통합 및 디바이스 검증을 통해 이들 후보들을 더욱 좁힌다.
일 실시형태에서, 1 차 테스팅 및 2 차 테스팅은 쿠폰 상에서 발생하나, 3 차 테스팅은 제조 사이즈 웨이퍼 상에서 수행될 수도 있다. 이 멀티-레벨 스크리닝 프로세스를 통하여, 수천의 옵션들로부터 최선의 가능한 후보들을 식별하였다. 이 유형의 스크리닝을 수행하는데 요구된 시간은 변화되나, HPC 방법들을 통해 얻어진 효율성은 종래의 기술 또는 방식보다 매우 빠른 개발 시스템을 제공한 다. 1 차, 2 차, 3 차로서 이들 스테이지들을 정의하지만, 이들은 이들 단계에 놓인 임의의 레이블들이다. 또한, 1 차 스크리닝은 재료 검색에 한정될 필요가 없고 단위 프로세스 또는 프로세스 시퀀스들에 집중될 수 있으나, 일반적으로 후속 스크리닝 레벨들보다 단순한 기판, 적은 단계들 및 빠른 테스팅을 수반한다.
또한, 스테이지들은 중첩할 수도 있고 2 차로부터 1 차로, 3 차로부터 2 차 및/또는 1 차로 피드백되어 재료들, 단위 프로세스들 및 프로세스 시퀀스들의 선택을 더욱 최적화한다. 이 방식으로, 2 차 스크리닝은 여전히 1차 스크리닝을 완료하면서 및/또는 추가적으로 1차 스크리닝 후보들을 생성하면서 시작하고, 3 차 스크리닝은 일단 2 차 스크리닝으로부터 합리적인 옵션들의 세트를 식별한 후 시작할 수 있다. 따라서, 일 실시형태에서, 스크리닝 공정들을 파이프라인화할 수 있다. 일반적 문제와 같이 그리고 다른 곳에서 더욱 상세히 논의된 바와 같이, 구조물들, 프로세스 시퀀스들, 및 테스트의 복잡성 레벨은 각 스크리닝 레벨과 함께 증가한다. 또한, 일단 3 차 스크리닝을 통해 일 세트의 재료들, 단위 프로세스들, 및 프로세스 시퀀스들을 식별한 후, 전체 제조 프로세스에 통합되고 제품에 대해 검증되어야 하며, 이것은 4 차 스크리닝 또는 제조 검증으로서 검토될 수 있다. 추가의 분리 레벨에서는, 제조 프로세스에서 웨이퍼가 떼어지고, 조합적으로 이를 프로세싱하며, 3 차 스크리닝 및/또는 4 차 스크리닝 하에서 제조 프로세스에 이를 되돌리게 할 수 있다.
다양한 스크리닝 레벨들에서, 프로세스 툴들은 동일 또는 상이할 수도 있다. 예를 들어, 건식 프로세싱에서, 1 차 스크리닝 툴은 예를 들어, 미국 특허 제 5,985,356 호에서 기술된 이용 가능한 조합 스퍼터링 툴일 수도 있다. 이 툴은 단순히 재료 특성 분석을 위해 영역들의 멀티-재료 샘플들을 준비할 때 효율적이다. 2 차 스크리닝 기술 및/또는 3 차 스크리닝 기술의 경우, 변경된 클러스터 툴은 도 5a 에 기술되는 바와 같이 조합 챔버를 가지고 개선될 수도 있다. 다른 실시예에서, 습식 프로세싱에서, 1 차 스크리닝 및 2 차 스크리닝은 도 5b 에 기술되는 조합 툴에서 구현될 수 있다. 여기서, 주요 차이점들은 툴들의 능력이 아니라, 사용된 기판들, 프로세스 변화들 및 생성된 구조물 및 행한 테스팅이다. 3 차 툴의 경우, 통합되고 보다 복잡한 프로세싱 및 분석에 대해 미국 출원 제 11/647,881 호에서 기술되는 조합 챔버 및 비-조합 챔버를 갖는 습식 반응기를 사용할 수 있다.
통상, 개발 또는 스크리닝 사이클에서, 복수의 재료들, 복수의 프로세스들, 복수의 프로세싱 조건들, 복수의 재료 적용 시퀀스들, 복수의 프로세스 통합 시퀀스들, 및 이들의 조합에 대한 많은 순열을 수반하여 합성 또는 프로세싱되는 많은 재료들이 있다. 이들 많은 재료들의 테스팅은 부착력 또는 저항력과 같은 단순 테스트를 사용할 수도 있고, 각 재료 또는 단위 프로세스의 하나 이상의 원하는 특성들에 대해 테스팅하는 것이 가능하도록 블랭킷 웨이퍼 (또는 쿠폰) 또는 기본 테스트 구조물을 갖는 웨이퍼를 포함할 수도 있다. 일단 성공적인 재료들 또는 단위 프로세스들이 선택되었다면, 조합 기술들은 보다 큰 그림 내에 이들 재료들 또는 프로세스들을 분석하도록 적용된다. 즉, 조합 기술들은 선택된 재료들 또는 단위 프로세스들은 2 차 테스팅 스테이지 동안 선택된 재료들 또는 단위 프로세스들이 더 엄격한 요구사항들을 충족하는지 여부를 결정한다. 2 차 스테이지 동안 프로세싱 및 테스팅은 예를 들어, 더 많은 테스트 구조물, 더 넓은 영역들, 더 많은 변화들, 더 복잡한 테스팅 등을 구비한 패터닝된 웨이퍼 또는 쿠폰을 이용하여 더 복잡할 수도 있다. 예를 들어, 상용 제품에 병합될 구조와 관련되거나 유도되는 특성들에 대해 재료 및 단위 프로세스 시퀀스에 의해 정의된 구조물을 테스트할 수 있다.
이 반복 프로세스는 상이한 파라미터들을 테스팅하는데 사용되는 보다 크고 보다 복잡한 테스트 회로들을 가지고 계속될 수 있다. 이 접근 방법은 기판 자원 (substrate real estate) 의 효과적 사용을 최대화하고, 스크리닝 스테이지마다 필요한 질문들의 수준에 답하는데 요구된 복잡성의 수준을 갖는 대응하는 반응기 및 테스트 회로 설계를 최적화함으로써 조합 스크리닝 프로세스의 생산성을 증가시키는 역할을 한다. 복잡한 반응기들 및/또는 테스트 회로 설계들은 재료들, 프로세싱 조건들, 프로세스 시퀀스 등의 원하는 특성들이 이전 스크리닝 스테이지를 통해 사실상 알려지고/알려지거나 개량되었을 때 후속 스크리닝 스테이지에서 이용된다.
프로세스 시퀀스 통합의 효과성을 더 평가하고 이전 스크린에 체크 및 상관 비히클 (check and correlation vehicle) 을 제공하기 위하여 몇몇 스크리닝 레벨들에 대해 이전 테스팅으로부터 생성된 테스트 구조물들의 서브섹션들을 후속의 보다 복잡한 스크리닝 레벨들과 병합할 수도 있다. 이 능력이 개발자로 하여금 후속 프로세스의 결과들이 이전 프로세스의 결과와 어떻게 상이한지를 발견하게, 즉 프로세스 상호 작용들을 고려하게 하는 것이 이해되어야 한다. 일 실시예에서, 재료 호환성은 1 차 스크리닝에서 1차 테스트 비히클로서 사용될 수 있고, 이후 (1차 스크린에서 진전된) 재료들을 병합하는 구체적 구조물은 2 차 스크리닝에 대해 사용된다. 본 명세서에서 언급된 바와 같이, 2 차 스크리닝의 결과는 또한 1 차 스크리닝으로 피드백 될 수도 있다. 이후, 테스트 구조물들의 수 및 다양성은 테스팅의 유형들에 따라 3 차 스크리닝에서 증가된다, 예를 들어, 전기적 테스팅이 추가될 수도 있고 또는 디바이스 특성이 테스팅되어 소정 임계 파마미터들이 충족되는지 여부를 결정할 수도 있다. 물론, 전기적 테스팅은 다른 스크리닝 스테이지들에서 수행될 수도 있는 것처럼 3 차 테스팅에 대해서 보류되지 않는다. 일반적으로 임계 파라미터들은 재료들 및 프로세스 시퀀스로부터 생성된 구조물들을 상용 제품, 예를 들어, 반도체 다이에 병합하는데 필요한 요구 사항들을 중점적으로 다룬다.
도 4 는 본 발명의 일 실시형태에 따른 스크리닝 프로세스에 대한 테스팅 계층을 도시한 간략화된 개략도이다. 일부 기본적인 특성을 테스팅하기 위한 초기 (1 차 레벨) 테스트에서, 대안적으로 블랭킷 (blanket) 기판 (또는 상이한 재료들의 다수의 블랭킷 기판들) 일 수도 있는 제 1 기판 (400) 상에 비교적 간단하고 작은 테스트 구조물이 형성된다. 일반적으로, 이용가능하다면, 상이한 영역들은 모두 동일한 테스트 구조물을 가질 것이지만, 그렇게 할 필요는 없다. 일 실시형태에서, 테스팅을 용이하게 하기 위해 각각의 영역 내의 동일한 위치에 구조물들이 위치된다. 반응 시퀀스가 (즉, 프로세스 시퀀스 내의 다양한 스테이지에서) 완료되면, 테스트 구조물을 이용하여 결과가 테스팅되며, 다음 레벨의 스크리닝을 위해 그 결과가 스크리닝된다. 그 후, 2 차 레벨의 프로세싱 및 테스팅을 위해 제 2 기판 (402) 의 영역에서 더 복잡한 테스트 구조물이 사용된다. 1 차 레벨 테스트로부터의 테스트 구조물은 2 차 레벨의 하나 이상의 영역에서 더 복잡한 테스트 구조물과 함께 통합될 수도 있다. 즉, 일 실시형태에서, 2 차 레벨에 대한 제 2 기판 (402) 상의 구조는 1 차 레벨에 대한 제 1 기판의 테스트 구조에 누적될 수도 있다. 따라서, 양자의 테스트 구조물로부터의 결과는 2 차 레벨에서 획득될 수도 있다. 그 후, 1 차 레벨로부터의 테스트 구조물의 결과는 2 차 레벨로부터의 테스트 결과와 비교되어, 더 간단한 1 차 스크린의 유효성을 결정하기 위해 상관을 확립하고 정보를 획득한다. 양호하지 않은 상관이 발생하면, 1 차 스크린의 스크리닝 메트릭은, 더 정교한 2 차 레벨 스크리닝 결과에 대한 양호한 상관을 획득하기 위해 조정된다. 이러한 방식에서, 1 차 스크린은, 더 정교하고 시간 소비적인 2 차 레벨 테스팅을 실패할 이러한 후보들을 스크리닝하는 신속하고 더 간단한 수단으로서 사용될 수 있다. 이것은, 더 넓은 위상 공간이 1 차 레벨에서 더 효율적인 방식으로 조사되게 한다.
도 4 를 계속 참조하면, 동일한 개념이 3 차 레벨에 적용되며, 여기서, 테스팅 및 스크리닝의 복잡도가 증가하고, 제 3 기판 (404) 상의 더 큰 반응기 (reactor) 영역, 및 더 복잡하고 더 큰 테스트 구조물을 요구한다. 3 차 테스팅 레벨 내의 1 차 구조 및 2 차 구조의 분석을 위해 결과들이 또 다른 레벨을 제공하도록, 1 차 레벨 및 2 차 레벨로부터의 테스트 구조가 제 3 기판 (404) 에 포함될 수도 있다는 것을 인식해야 한다. 도 4 에 도시된 바와 같이, 스크리닝 레벨이 일부 인스턴스에서 현재 수행될 수도 있으므로, 스크리닝을 추가적으로 향상시키기 위해 다운스트림 프로세스 각각에 결과들이 피드백될 수도 있다. 2 차 레벨 스크리닝에 대한 스크리닝 메트릭은 3 차 스크리닝 결과에 대한 양호한 상관을 보장하기 위해 조정된다. 이것은, 2 차 스크린의 사용이 더 효율적인 방식으로 더 큰 위상 공간을 어드레싱하게 한다. 조합에서, 1 차, 2 차, 및 3 차 스크리닝은 스크리닝 펀넬 (funnel) 을 형성한다.
데이터 정교함 및 데이터 품질을 제외하고 1 차 레벨, 2 차 레벨 및 3 차 레벨 사이의 차이점을 관측하는 일 방식은, 그 1 차 레벨이 그 2 차 레벨 및 그 3 차 레벨보다 기판의 단위 면적당 더 많은 변화를 갖는 (즉, 1 차 스크린에서 영역이 더 작은) 경향이 있다는 것이다. 몇몇 실시형태에서, 단위 면적당 1 차 및 2 차 변화는, 기판 상의 구조 또는 프로세스 시퀀스를 통해 형성되는 구조 (또는 부분적인 구조) 에 의해 정의되는 1 차 레벨과 2 차 레벨 사이의 변화와 동일 또는 유사할 수도 있다. 도 4 에 설명된 스크리닝을 수행하는 경우, 웨이퍼 또는 쿠폰의 조합인 종래의 프로세싱을 포함하기 위해 도 1 에 도시된 전체 방식이 사용될 수 있다는 것을 인식해야 한다.
도 5a는 본 발명의 일 실시형태에 따른 통합된 고 생산 조합 (HPC) 시스템을 도시한 단순화된 개략도이다. HPC 시스템은 복수의 프로세싱 모듈들을 지지하는 프레임 (400) 을 포함한다. 프레임 (400) 이 일 실시형태를 따른 단위 프레임일 수도 있다는 것을 인식할 것이다. 일 실시형태에서, 프레임 (400) 내의 환경은 제어된다. 로드 록/팩토리 인터페이스 (402) 는 HPC 시스템의 복수의 모듈들로의 액세스를 제공한다. 로봇 (414) 은, 모듈들 사이에서의 기판 (또는 마스크) 의 이동 및 로드 록 (402) 으로의 및 그 로드 록으로부터의 이동을 위해 제공된다. 모듈 (404) 은 일 실시형태에 따른 배향/가스제거 모듈일 수도 있다. 모듈 (406) 은 본 발명의 일 실시형태에 따른 플라즈마 또는 비-플라즈마 계열의 세정 모듈일 수도 있다.
모듈 (408) 은 본 발명의 일 실시형태에 따른 라이브러리 모듈로서 지칭된다. 모듈 (408) 에서, 프로세싱 마스크로서 또한 지칭되는 복수의 마스크들이 저장된다. 그 마스크들은, 건식 조합 프로세싱 모듈들에서 프로세싱되는 기판에 특정한 패턴을 적용하기 위해 이러한 모듈에서 사용될 수도 있다. 모듈 (410) 은, 본 발명의 일 실시형태에 따른 HPC 물리 기상 증착 모듈을 포함한다. 모듈 (412) 은 본 발명의 일 실시형태에 따른 종래의 증착 모듈이다. 일 실시형태에서, 중앙화된 제어기, 즉, 컴퓨팅 디바이스 (411) 는 HPC 시스템의 프로세스를 제어할 수도 있다. HPC 시스템의 추가적인 세부사항은, 미국 특허 출원 제 11/672,478호 및 11/672,473호에 설명되어 있다.
도 5b 는 본 발명의 일 실시형태에 따른 스크리닝 프로세스를 수행하는데 사용될 수도 있는 습식 프로세싱 공정을 위해 구성된 조합 모듈을 도시한다. 셀 어레이 (700) 는 기판 (302) 에 접촉된다. 임의의 다른 영역에서 수행될 프로세싱으로부터의 임의의 간섭 없이 습식 프로세싱 공정이 수행될 수도 있도록, 기판상에 별개의 영역을 정의하는데 탄성 중합체 시일이 사용된다. 지지 암 (312) (support arm) 에 탑재된 디스펜서 (708) 는 습식 프로세싱 에이전트를 별개의 영 역에 전달하는데 사용된다. 습식 조합 모듈의 추가적인 세부사항은 미국 특허 출원 제 11/352,077호에 개시되어 있다.
일 실시형태에서, 습식 프로세싱 또는 건식 프로세싱을 위한 조합 모듈은, 동시적인, 병렬로 또는 급속한 직렬 (rapid serial) 로, (i) 설계, (ii) 합성물, (iii) 프로세싱, (iv) 프로세스 시퀀싱, (v) 프로세스 통합, (vi) 디바이스 통합, (vii) 분석, 또는 (viii) 3개 이상의 화합물, 조성물, 혼합물, 프로세스, 또는 종합적 조건, 또는 그로부터 유도된 구조의 특성을 위해 사용되는 기법, 방법, 프로세스, 테스트 비히클, 종합적인 절차, 기술, 또는 이들의 조합을 실행할 수 있다. 집적 회로 디바이스의 설계, 프로세스 개발, 제조 프로세스 자격, 및 제조 프로세스 제어에 사용되는 테스트 구조물 또는 칩과 같은, 물리적, 전기적, 광분해, 및/또는 자기적 특성화 디바이스를 테스트 비히클이 포함하지만 이에 제한되지는 않는다는 것을 인식해야 한다.
도 6 은, 본 발명의 일 실시형태에 따른 반도체 제조 프로세스를 위한 최적화된 프로세스 시퀀스를 선택하는 방법의 공정을 도시한 흐름도이다. 그 방법은 공정 600 으로 개시하며, 여기서, 프로세스 시퀀스를 결정하는 반도체 제조 프로세스가 식별된다. 공정들의 시퀀스를 요구하는 임의의 적절한 반도체 제조 프로세스가 여기에 설명된 방법을 통해 평가될 수도 있다는 것을 당업자는 인식할 것이다. 물론, 그 시퀀스 공정은, 건식, 습식 또는 임의의 다른 가능한 제조 프로세스, 또는 이들의 몇몇 조합에 기초할 수도 있다. 그 후, 방법은 공정 602 로 진행하여, 반도체 제조 프로세스에 대한 제 1 프로세스 시퀀스 순서가 선택 된다. 제조 프로세스에 대한 프로세스 시퀀스가 다수의 공정들로 구성되므로, 이러한 공정들의 순서에서의 변화가 가능하다. 따라서, 공정 602 에서, 시퀀스 순서의 변화들 중 하나가 선택된다. 도 1 을 참조하여 설명된 바와 같이, 그 변화는 상이한 영역들 또는 프로세스 시퀀스를 갖는 상이한 단계들에 적용될 수도 있지만, 영역내에서, 테스팅될 프로세스 시퀀스의 통계적인 검증을 위해 서로 비교될 수 있는 그 영역 내에서 구조물들 또는 부분적인 구조물들을 생성하기 위해 프로세싱은 실질적으로 균일하다. 유사하게, 이러한 구조물들은, 효과를 야기하는 영역들 사이의 비-균일도를 염려하지 않고도 최적의 재료, 단위 프로세스, 또는 프로세스 시퀀스를 결정하기 위해 다른 영역들의 구조물들과 비교될 수 있다.
그 후, 방법은 공정 604 로 진행하여, 식별된 반도체 제조 프로세스들 중 하나를 조합적으로 변경하면서 제 1 프로세스 시퀀스 순서가 실행된다. 웨이퍼의 쿠폰 또는 일부가 사용될 수도 있으므로, 여기에서는 웨이퍼의 제조 사이즈의 사용이 선택적임을 유의해야 한다. 여기에서는, 도 2에 도시된 바와 같이, 제조 프로세스에 대한 후보들의 수를 작게 하기 위한 정보를 제공하기 위하여 시퀀스를 결정하는 공정들 중 하나는 조합적으로 변경된다. 조합적으로 변경될 공정은, 여기에 설명된 1 차, 2 차, 및 3 차 스크리닝 방식을 통해 평가될 수도 있다. 도 4 에 도시된 바와 같이, 1 차 스크리닝은 프로세싱 동안 사용된 재료에 좀 더 집중될 수도 있다. 재료들, 프로세스들 및 프로세스 시퀀스들을 평가하기 위해 추가적인 정보를 제공하도록 조합 영역들 내에서의 시퀀스 순서가 웨이퍼에 걸쳐 변경될 수도 있음을 당업자는 인식해야 한다.
그 후, 도 6 의 방법은 공정 606 으로 진행하여, 식별된 반도체 제조 프로세스들 중 하나에 의해 형성된 적어도 부분적인 구조물의 특성들이 평가된다. 이러한 평가로부터의 결과는, 추가적으로 테스팅하기 위하여, 추가적인 프로세스 시퀀스들을 정의하거나 프로세스 시퀀스, 또는 시퀀스 순서 또는 재료들의 조합을 선택하는데 사용될 수도 있다. 공정 604 를 통해 식별된 재료들은 추가적인 스크리닝에 사용된다. 도 6 에 설명된 프로세스는 반복되며, 스크리닝의 다양한 스테이지들로부터의 결과들은 사용자가 최적의 전역해 (global solution) 를 발견할 수 있게 한다.
도 7 내지 도 11 은 특정한 반도체 제조 프로세스 흐름에 적용되는 여기에 설명된 스크리닝 기술의 예시이다. 도 7, 도 8a 및 도 8b 는 무전해 구리 캡핑 (electroless copper capping) 애플리케이션에 대한 프로세스 시퀀스 통합의 평가에 관한 것이다. 도 9a 내지 도 9c 는 금속 게이트 애플리케이션에 대한 프로세스 시퀀스 통합의 평가에 관한 것이다. 도 10a, 도 10b 및 도 11 은 메모리 디바이스를 위한 금속-절연체-금속 애플리케이션에 대한 프로세스 시퀀스 통합의 평가에 관한 것이다.
도 7, 도 8a 및 도 8b 는, 본 발명의 일 실시형태에 따라 유전체 부분에 의해 분리된 영역의 전기 전도성 부분상에 캡핑층의 형성을 용이하게 함으로써, 전기 이동 (electromigration) 이슈를 해결하기 위해 신규한 재료, 단위 프로세스 및/또는 프로세스 시퀀스 통합 방식을 발견하기 위한 조합 프로세싱 접근법을 도시한다. 여기에 설명된 사이트-분리된 멀티-프로세싱 방법 및 시스템은, 기판의 2개 이 상의 영역들이 상이한 프로세스 또는 프로세스들의 시퀀스, 또는 프로세싱 이력을 효과적으로 수신하기 위해, 아래에 리스팅된 단위 프로세스 단계들, 프로세스들의 시퀀스화, 및 이들의 조합 중 하나 이상에서의 변화를 조사하는데 사용될 수 있다.
도 7 은, 본 발명의 일 실시형태에 따른 사이트 분리된 프로세싱을 포함하는 프로세스 시퀀스 통합을 평가하기 위해 종래의 프로세싱과 조합 프로세스를 통합하기 위한 특정 예를 도시하는 간략화된 개략도이다. 도 7의 실시형태하의 프로세싱 시퀀스의 일 예는, 사이트 분리된 선세정 프로세싱 공정을 초기에 사용하여 기판을 프로세싱하는 것을 포함한다. 사이트 분리된 선세정 프로세스는, 다수의 세정 화학물질들 사이에서, 그 화학물질들의 상이한 희석들, 기판 표면상의 상이한 잔류 시간들, 상이한 세정 화학물질들의 적용 순서 등을 평가하는데 사용될 수도 있다. 그 후, 종래의 분자 마스크 프로세싱, 종래의 무전해 캡 프로세스 공정, 및 종래의 스트립 및 세정 공정을 사용하여 기판이 프로세싱된다. 여기에서 사용된 바와 같이, 종래의 프로세스들은, 영역들의 조합 프로세싱과 비교되는 바와 같이 모놀리딕 (monolithic) 기판의 실질적으로 균일한 프로세싱을 지칭한다.
이하, 전기 테스팅 (E-테스트) 이 수행된다. 라인 저항에 대한 영향, 정전용량에 대한 영향, 및 라인-투-라인 누설에 대한 영향을 포함하는 E-테스트의 결과로부터, 가장 유리한 결과들과 관련된 선세정 프로세스가 선택되며, 추가적인 조합 프로세스 시퀀스 통합이 실행된다. 예를 들어, 선세정 가능성의 비교적 작은 서브셋이 종래의 프로세스로서 선택 및 설정된다. 그 후, 무전해 캡 프로세스는 조합적으로 평가될 수도 있으며, 여기서, 선세정, 분자 마스크, 및 스트립 및 세정 공정이 종래의 프로세스를 사용하여 수행된다. 무전해 캡 프로세스의 평가는 상이한 환원제, 착화제, 버퍼, 계면활성제, 프로세스에 대한 온도, pH 범위, 코발트 및/또는 다른 소스 금속 및/또는 금속 합금 농도, 증착 시간 등의 평가를 포함한다.
조합적으로, 이러한 프로세스들 각각의 평가는, 도 3 및 도 4 를 참조하여 설명된 바와 같이 1 차, 2 차 및 3 차 평가를 포함하는 방법론적 접근법을 포함할 수도 있다. 프로세스 시퀀스를 결정하는 개별 프로세스들 각각은, 그 개별 프로세스들 사이의 프로세스 상호작용을 고려하는 전역 최적 (global optimum) 이 식별되도록 하는 방식으로 평가될 수도 있다. 상술된 실시형태들이 프로세스 시퀀스에서 하나의 프로세스 공정을 조합적으로 수행하는 것을 고려하지만, 이는 제한하려는 의미가 아니다. 예를 들어, 다수의 공정들이 상이한 재료 프로세스들 및 프로세스 시퀀스들을 더 효율적으로 평가하기 위해 조합적으로 수행되는 임의의 프로세스 공정에 조합 프로세스가 포함될 수 있다는 것을 인식해야 한다.
도 8a 는 본 발명의 일 실시형태에 따라 구리 캡핑층에 적용되는 바와 같이 여기에 설명된 스크리닝 프로세스의 예시적인 작업 흐름을 도시한다. 기판의 영역은, (SiO2, SiCOH, SiOC, SiCO, SiC, SiCN 등과 같은) 유전체 영역 (1000), 및 (구리 또는 산화 구리와 같은) 전기 전도성 부분 (1002) 을 포함한다. 세정 이후, 마스킹 층 (1004) 은 적어도 영역의 유전체 부분 (1000) 상에 형성된다. 일 실시형태에서, (단계 1006 에 의해 나타낸 바와 같이) 마스킹 층 (1004) 이 영 역의 모든 부분상에 형성되지만, (단계 1008 에 의해 나타낸 바와 같이) 그 영역의 전기 전도성 부분 (1002) 으로부터 용이하게 제거가능하며, 그 영역의 유전체 부분 (1000) 상에만 마스킹 층 (1004) 을 생성하게 하는 방식으로, 그 영역이 프로세싱된다. 또 다른 실시형태에서, 공정 1010 에 의해 나타낸 바와 같이, 마스킹 층 (1004) 은 영역의 유전체 부분 (1000) 에 대해 선택적이며 그 영역의 유전체 부분 (1000) 상에만 형성되도록 그 영역이 프로세싱된다. 그 후, 무전해 코발트 (Co) 합금 증착 프로세스 (1012) 는 영역의 전기 전도성 부분 (1002) 상에 (CoW, CoWP, CoWB, CoB, CoBP, CoWBP, Co 함유 합금 등과 같은) 캡핑층 (1014) 을 증착시키며, 그 영역에서, 마스킹 층 (1004) 은 캡핑층 (1014) 형성이 그 영역의 유전체 부분 (1000) 을 넘지 않도록 억제한다. 일 실시형태에서, 마스킹 층 (1004) 의 형성 이후, (질화 실리콘, 탄화 실리콘, 탄화질화 실리콘 등과 같은) 유전체 장벽층 (1018) 이 캡핑층 (1014) 및 마스킹 층 (1004) 의 상단에 후속하여 형성된다.
또 다른 실시형태에서, 도 8b 에 도시된 바와 같이, 무전해 합금 증착 (1012) 에 의한 캡핑층 (1014) 의 형성 이후, 후속하여 마스킹 층 (1004) 은 유전체 부분 (1000) 으로부터 제거되며 (1020), 그에 의해, 유전 부분 (1000) 위에 형성될 수도 있는 임의의 원치 않는 캡핑층 잔류물을 제거한다. 이러한 방식으로, 유전체 부분(들)(1000) 에 대한 도전성 부분(들) (1002) 상의 캡핑층 형성의 효과적인 선택도가 개선된다. 일 실시형태에서, 희생 마스킹 층 (1004) 의 제거 이후, (질화 실리콘, 탄화 실리콘, 탄화질화 실리콘 등과 같은) 유전체 장벽층 (1018) 이 캡핑층 (1014) 및 유전체 부분(들) (1000) 의 상단상에 후속하여 형성된 다 (1022).
따라서, 상기-참조된 접근법과 관계된 단위 프로세스 단계들은 예를 들어 다음을 포함한다.
1. 세정 용액(들)을 전달하여, 노출된 유전체 표면으로부터 유기 및 금속 오염물을 제거하는 단계;
2. 세정 및/또는 환원 (reducing) 용액(들)을 전달하여 노출된 구리 표면으로부터 산화 구리 및 오염물을 제거하는 단계;
3. 습윤, 기능화 및/또는 유기 코팅제를 전달하여 기판의 유전체 부분상에 마스킹 층을 형성하는 단계;
4. Co 함유막의 무전해 도금을 위한 (Co 함유제, 전이 금속 함유제, 환원제, pH 조절기, 계면활성제, 습윤제, DI 워터, DMAB, TMAH 등을 포함하지만 이에 제한되지는 않는) 멀티컴포넌트 도금 화학물질을 전달 및 영향을 주는 단계;
5. 포스트 플레이트 에칭 및/또는 세정 용액(들)을 전달하여, 희생 마스킹 층을 제거하는 단계로서, 그에 의해, 유전체 영역(들) 위에 형성될 Co 미립자 및 다른 원치않는 오염물과 같은 과도한 도금 재료가 마스킹 층의 제거를 통해 제거되는, 그 희생 마스킹 층을 제거하는 단계;
6. 포스트 세정 용액(들)을 전달하여, Co 미립자와 같은 과도한 도금 재료 및/또는 오염물을 캡핑층으로부터 제거하는 단계;
7. 그 영역을 린스하는 단계; 및
8. 그 영역을 건조시키는 단계.
상술된 사이트-분리된 멀티프로세싱 장치는, 다이의 각각의 영역이 상이한 프로세스 또는 프로세싱 이력을 효과적으로 수신하도록, 상기 리스팅된 단위 프로세스들 각각의 변화, 프로세스들의 시퀀스화, 및 이들의 조합을 검사하는데 사용될 수 있다. 여기에 설명된 실시형태들을 통해, 프로세스들 중 임의의 프로세스, 그 프로세스에서 사용된 프로세스 시퀀스 또는 재료는, 프로세스 상호작용뿐만 아니라 재료를 평가하기 위해 기판의 영역들 사이에서 변형될 수도 있다.
이러한 다음의 예는, 다마신 (단일 또는 듀얼) 구리 인터커넥트 형성에서 사용된 다공성 로우-k 유전체의 시일링 (sealing) 을 해결하기 위해 신규한 재료들/프로세스들/프로세스 시퀀스 통합 방식들을 발견하는 조합 프로세싱 접근법을 나타낸다. 로우-k 유전체의 중독, 연속적인 장벽층을 형성하지 못하는 불능, 얇고 연속적인 장벽층을 형성하지 못하는 불능 등을 유도할 수 있으며 이들 모두가 후속하여 불량한 디바이스 성능을 유도할 수 있는 원자층 증착 (ALD) 프로세스에서와 같이, 다공성 로우-k 유전체는 장벽층 형성 동안 프리커서 (precursor) 침투에 민감하다. 또한, 통상적으로, 다공성 로우-k 유전체는, 불량한 디바이스 신뢰도를 유도할 수 있는 표준 유전체들 (예를 들어, SiO2, FSG 등) 에 비교되는 바와 같이, 장벽층들 (예를 들어, Ta, TaxCy, TaxNy, TaxCyNz, W, WxCy, WxNy, WxCyNz, Ru 등) 에 대한 불량한 (즉, 약한) 접착 특성을 나타낸다. 다공성 로우-k 유전체의 노출된 공극을 시일링하고 및/또는 구리 인터커넥션 형성에서 사용된 장벽층에 대한 다공성 로우-k 유전체의 접착 특성을 개선시킬 수 있는 것이 바람직하다.
구리 인터커넥트 형성에서 사용된 다공성 로우-k 유전체를 시일링하기 위한 (상기-참조된 접근법과 관계된) 단위 프로세스 단계들은, 예를 들어, 다음을 포함한다.
1. 세정 용액(들)을 전달하여, 노출된 유전체 표면으로부터 유기 및 금속 오염물을 제거하는 단계;
2. 세정 및/또는 환원제(들)을 전달하여, 노출된 구리 표면으로부터 산화 구리 및 오염물을 제거하는 단계;
3. 노출된 유전체 표면의 노출된 공극을 실질적으로 채우고 및/또는 시일링하기 위해, 노출된 유전체 표면상의 분자로 구성된 자체-어셈블리된 층(들)으로부터 선택적으로 습윤, 기능화, 및/또는 코팅제를 전달하는 단계;
4. 세정 용액(들)을 전달하여, 노출된 구리 표면으로부터 (단계 3으로부터 기인한) 오염물 및/또는 잔류물을 제거하는 단계;
5. 그 영역을 린스하는 단계;
6. 그 영역을 건조시키는 단계; 및
7. 사후-프로세싱 처리, 예를 들어, 열, UV, IR 등을 수행하는 단계
도 9a 내지 도 9c 는 본 발명의 일 실시형태에 따른 게이트 적층 구조에 대한 프로세스 시퀀스로의 스크리닝 프로세스의 적용을 도시한다. 특히, 게이트 옥사이드로서의 사용을 위해, 높은 유전 상수 (하이 K 로 지칭됨) 재료의 사용이 반도체 디바이스의 제조에서 실행가능한 대체물이 되어가므로, 반도체 디바이스의 제조를 위한 프로세스 시퀀스로 이러한 재료들을 통합하는 것에 큰 관심이 존재한 다. 그러나, 관측된 이동도 열화 및/또는 임계 전압 시프트를 해결하기 위해, 계면 캡 층이 그러한 열화를 완화시키기 위해 게이트와 게이트 산화물 사이에 배치될 수도 있다.
도 9c 에 도시된 바와 같이, 실리콘 기판 (900) 은 하이 K 게이트 산화물 (902), 계면 캡 (904) 및 그 계면 캡 상에 배치된 게이트 (906) 를 갖는다. 상술된 스크리닝 기술을 통합하기 위한 일 접근법은, 도 9a 에서 기판위에 배치된 하이 K 재료를 고정시키는 것이다. 일 실시형태에서, 하이 K 재료는 하프늄 실리케이트 또는 하프늄 옥사이드일 수도 있다. 하이 K 컴포넌트를 고정시키는 것은 (예를 들어, 원자층 증착을 통해) 종래의 방식으로 이러한 공정을 수행하는 것을 지칭한다. 그 후, 금속 게이트를 형성하기 위한 프로세스 시퀀스는 조합적으로 변경된다. 탄탈륨 실리콘 질화물, 탄탈륨 질화물, 루테늄, 티타늄 질화물, 레늄, 플래티늄 등과 같은 다양한 금속들이 초기에 사용될 수 있다. 일 실시형태에서, 도 5a에서 설명된 HPC 시스템은 그러한 사이트 분리된 프로세싱에 영향을 주기 위해 사용될 수 있다. 결과적인 기판은 급속 가열 프로세싱 (RTP) 단계를 통해 프로세싱되며, 반도체 기판 위의 유전체 상의 금속의 결과적인 구조가 그 후 테스팅된다. 그러한 테스트는 열 안정도, 결정화, 박리 (delamination), 정전용량-전압, 평-대역 전압 (flat-band voltage), 효과적인 작업 기능 외삽 등을 포함한다.
테스팅 결과에 의해 입증된 바와 같이 결점이 구조로 도입되므로 (예를 들어, 페르미 레벨 피닝), 하이 K 게이트와 함께 금속을 사용하는 것이 적절하지 않 다고 결정될 수도 있다. 따라서, 도 9b 에 도시된 바와 같이, 상이한 프로세스 시퀀스가 평가되며, 여기서, 계면 캡은 게이트와 게이트 산화물 사이에 배치된다. 일 실시형태에서, 하이 K 프로세싱 및 금속 게이트 프로세싱은 고정되지만, 계면 캡 프로세싱은 조합적으로 변경된다. 기판은 RTP를 통해 어닐링되며, 결과적인 구조물은, 하이 K 재료와 게이트 재료 사이에 도입된 계면 캡으로 최적의 재료, 단위 프로세스 및 프로세스 시퀀스를 식별하기 위해 테스팅된다. 잠재적인 계면 캡 층의 예는, 란탄, 마그네슘, 스칸듐, 하프늄 플루오라이드, 란탄 플루오라이드 등을 포함한다. RTP 프로세싱은 급속 가열 산화를 포함할 수도 있다.
도 10a 및 도 10b는 본 발명의 일 실시형태에 따라 메모리 디바이스 엘리먼트에 대한 금속-절연체-금속 (MIM) 구조를 평가하기 위한 예시적인 스크리닝 기술을 도시한다. 이러한 예에서 메모리 디바이스 엘리먼트는, 높은 저항 상태와 낮은 저항 상태 사이에서 변하는 가변 저항 메모리 엘리먼트이다. 이러한 예에 대한 금속은, 도전성 엘리먼트 (예를 들어, W, Ta, Ni, Pt, Ir, Ru 등) 또는 도전성 화합물 (예를 들어, TiN, TaN, WN, RuO2, IrO2 등) 이며, MIM 구조에 대한 전극을 형성한다. 이러한 예의 유전체는, 티타늄 산화물, 니오븀 산화물, 지르코늄 산화물, 하프늄 산화물, 탄탈륨 산화물, 또는 니켈 산화물와 같은 전이 금속 산화물이다. 이러한 예에서, 유전체는 바이너리 금속 산화물 또는 BMO 로 또한 지칭된다.
이러한 예에 대한 최적의 프로세스 시퀀스는 여기에 설명된 스크리닝 접근법으로 개발되었다. 도 10a 는 시작 기판을 도시하며, 그 후, 금속 전극 M (예를 들어, TiN) 은, 즉 종래의 제조 프로세스 (예를 들어, 물리 기상 증착 또는 스퍼터링) 를 통해 기판 위에 균일하게 초기에 증착된다. 그 후, (예를 들어, 도 5a에서 설명된 HPC 시스템을 사용하는) 사이트 분리된 프로세싱은, 그 상에 증착된 금속 전극을 갖는 기판의 영역에서 절연층을 (예를 들어, 물리 기상 증착을 통해) 증착하는데 사용된다. 영역 사이에서 변경될 수도 있는 몇몇 아이템들은, 산소의 부분압, 가스 흐름, 증착을 위한 전력 레벨, 기판 온도, 적층의 타입 (등급 또는 수퍼 적층), 가스 종, 챔버 압력, 증착된 재료의 두께 등을 포함한다. 결과적인 기판은 RTP 를 통해 사후-프로세싱되며, 그 후, 테스팅된다. 따라서, 기판은 층 아래에 금속을 갖고, 산화물은 변경되며, 그 후 기판은 어닐링된다. 테스팅은 층들의 접착 특성, 저항도 테스팅, 탈습윤 (dewetting), 위상/결정화도, 및 합성물을 포함한다. 그 테스팅에 기초하여, 조합물의 특정 서브셋 (예를 들어, 불량한 접착도, 탈습윤을 나타내거나 너무 낮은 막저항도 등을 갖는 조합들) 이 제거된다.
그 후, 이러한 감소된 서브셋에 관하여, 도 10b에 의해 도시된 바와 같이, M-I 구조의 상단 상에 또 다른 전극을 놓는 효과가 평가된다. 여기에서, 저부 전극 및 유전체 프로세스는 고정되고, 상단 전극은 변경된다. 결과적인 구조는 상술된 바와 같이 어닐링되고 테스팅된다. 여기에서의 테스팅은, MIM 적층이 구성되므로, 저항도 스위칭 (예를 들어, 스위칭 없음, 모노-안정 스위칭, 바이-안정 스위칭 등) 을 위한 전류/전압 (I/V) 테스팅을 포함할 수도 있다. 상술된 바와 같이, 스크리닝 프로세스가 최적의 프로세스 시퀀스를 정의하기 위해 진행하므로, 테스팅은 더 정교하게 되어 간다. 스크리닝 프로세스는 도 10a 에서 최적의 금속 산화물 및 대응하는 단위 프로세스를 결정하였고, 그 후, 최적의 결과를 통합하여, 도 10b 에 관해 설명된 바와 같이 상부 전극과의 프로세스 상호작용을 결정하였다.
도 11 은, 본 발명의 일 실시형태에 따른 스크리닝 목적을 위해 조합 프로세싱 시퀀스들로부터 정의된 구조물을 갖는 기판의 간략화된 단면도를 도시한다. 기판 (910) 은 그 상에 증착된 저부 전극 (913) 을 갖는다. 저부 전극 (913) 은, 도 11의 저부 전극 (913) 에 대해 리스팅된 조성물들 중 하나를 갖는 금속층일 수도 있다. 그러나, 임의의 도전성 재료는 저부 전극 (913) 에 대해 증착될 수도 있다. 또한, 상부 전극 (914a) 은 기판 (910) 위에 정의된다. 일 실시형태에서, 저부 전극 (913) 및 상부 전극 (914a) 의 증착은, 상부 및 저부 전극에 대한 다수의 상이한 조성물들이 후속 테스팅을 위해 기판 (910) 의 표면 위에 분포될 수도 있는 1 차 스크리닝으로 고려될 수 있다. 상부 전극 (914a) 이 저부 전극 (913) 으로부터 분리되어 있지만, 동일한 층상에 존재함을 유의해야 한다. 도 2a 내지 도 2c 를 참조하여 상술된 바와 같이, 상부 전극 (914a) 및 저부 전극 (913) 은 또 다른 실시형태에서 서로 인접할 수도 있으며, 원하는 테스팅이 여전히 실행될 수 있다. 상이한 산소 조성물을 갖는 니켈 산화물 절연체 (916a 및 920a) 가 전극 (913) 위에 정의된다. 수퍼 적층 (918) 은 저부 전극 (913) 위에 정의된 또 다른 절연체이다. 도 11 의 부분 (919) 은, 도 10a의 출력에 대응하는 구조물을 나타낸다. 즉, 절연체가 도 10a 에서 조합적으로 변경되는 금속-절연체 통로는 도 11 의 부분 (919) 의 구조물을 산출할 것이다. 그 후, 이러한 구조물들은 상술된 바와 같이 테스팅될 수 있으며, 그 후, 부분 (921) 에 정의된 MIM 구조물들과 같은 부가적인 구조물들이 적층된다. 부분 (921) 의 MIM 구조물들은, 각각, 절연체 (922, 920b 및 916b) 위에 배치된 상부 전극 (914b, 914c, 및 914d) 을 갖는다. 도 10b 에 관해 상술된 바와 같이, 2 개의 금속 증착 프로세스들이 고정되지만, 절연체들은 도 11의 부분 (921) 내에서 구조물들을 산출하기 위해 조합적으로 변경된다. 결국, 다이오드와 같은 스티어링 엘리먼트는, 디바이스의 더 정교한 전기 테스팅이 가능한 3 차 스크리닝을 수행하기 위해 실제 디바이스를 제작하도록 부가된다.
도 11 을 여전히 참조하면, 기판 (910) 의 상부 표면상에 저부 및 상부 전극이 존재하며, 그 전극은 그 기판의 상부 표면상에서의 변화를 정의한다. 유사하게 부분 (919) 내에서, 절연체는 상부 전극 없이 변경되며, 부분 (921) 내에서 절연체는 상부 전극과 하부 전극 사이에서 변경된다. 이러한 변화에 대해 실시형태들이 제공되지만, 다양한 층들, 예를 들어, 상부 전극들 (914c 및 914d) 및/또는 절연체 (916a, 916b, 920a, 920b, 922 및 918) 는 상업적인 반도체 프로세싱 공정과 유사한 영역내에서 그리고 영역들에 걸쳐 요구되는 바와 같이, 개별적으로 균일하거나 일관되어, 테스팅될 변경은 결과들의 공지된 원인이다. 따라서, 절연체의 테스팅에서의 임의의 차이는 균등하게 형성된 층 또는 구조물의 형성에서의 변화로 인한 것이 아니다. 또한, 스크리닝 진행이 1 차 스크리닝으로부터 3 차 스크리닝까지 진행하므로, 프로세스는 또한 상업적인 구조물들 및 관련된 중요한 제조 파라미터들을 정의하는 것이다.
요약하면, 상술된 실시형태들은 반도체 제조 공정을 위한 재료들, 단위 프로세스들, 및 프로세스 시퀀스들의 급속하고 효율적인 스크리닝을 가능하게 한다. 도 7 내지 도 11 에 도시된 바와 같이, 조합 프로세스 시퀀스화는 종래의 프로세스 흐름으로부터 기판을 취하며, 기판상에서 구조 또는 디바이스의 변화를 비종래의 방식으로, 즉, 조합적으로 도입한다. 그러나, 실제 구조 또는 디바이스는 분석을 위해 형성된다. 즉, 층, 디바이스, 트렌치, 비아 등은 종래의 프로세스를 통해 정의된 층, 디바이스, 트렌치, 비아 등과 동일하다. 상술된 실시형태들이 특정한 예들을 제공하지만, 이러한 예들은 예시적일 뿐이고 제한하려는 의미가 아니다. 여기에 설명된 스크리닝 프로세스는, 평판 패널 디스플레이, 광전자 디바이스, 데이터 저장 디바이스, 자기 전자 디바이스, 자기 광학 디바이스, 패키징된 디바이스 등에 대한 프로세스 공정과 같은 임의의 반도체 제조 공정 또는 다른 관련 기술과 통합될 수 있다.
본 발명에서 설명된 사이트-분리된 멀티프로세싱 방법 및 시스템은, 기판의 2개 이상의 영역들이 상이한 프로세스 또는 프로세스들의 시퀀스, 또는 프로세싱 이력을 효과적으로 수신하기 위해, 상기 리스팅된 단위 프로세스 단계들, 프로세스들의 시퀀스, 및 이들의 조합 중 하나 이상에서의 변화를 조사하는데 사용될 수 있다. 상기 예들은 예시적인 목적을 위해 제공되며 제한하려는 의미가 아니다. 여기에 설명된 실시형태들은, 재료, 프로세스, 프로세싱 조건 및 프로세스 시퀀스에 대한 다수의 옵션들이 존재하는 반도체 디바이스의 제조에서 이용되는 재료, 프로세스, 및 프로세싱 조건뿐만 아니라 프로세스 시퀀스를 최적화하기 위해 임의의 프로세스 시퀀스에 적용될 수도 있다.
청구항 부분에서 구체적으로 청구되지 않는 예시적인 실시형태들이 또한 후술되지만, 출원인은 임의의 적절한 시간에 청구항 부분에 이러한 실시형태들을 포함하도록 하는 권리를 보유한다. 본 발명의 일 양태에서, 제조 공정을 위한 재료들, 단위 프로세스들, 및 프로세스 시퀀스들을 평가하는 방법이 제공된다. 그 방법은, 제조 공정의 재료들을 변경함으로써 조합 방식으로 제 1 기판 상의 영역들을 프로세싱하는 단계를 포함한다. 제 1 기판상에서 프로세싱된 영역은 테스팅된다. 그 방법은, 제 1 기판 상의 프로세싱된 영역의 테스트 결과에 기초하여 제조 공정의 단위 프로세스들을 변경함으로써 조합 방식으로 제 2 기판 상의 영역들을 프로세싱하는 단계, 및 제 2 기판 상의 그 프로세싱된 영역들을 테스팅하는 단계를 포함한다. 일 실시형태에서, 평면 디스플레이, 광전자 디바이스, 데이터 저장 디바이스, 자기 전자 디바이스, 자기 광학 디바이스, 및 패키징된 디바이스에 대한 프로세스 공정들과 관련될 수도 있지만, 이러한 공정들에 제한되지는 않는다. 본 발명의 또 다른 양태에서, 일련의 반도체 제조 공정의 조합 프로세스 시퀀스 통합 최적화를 위한 방법이 제공된다. 그 방법은, 기판 상의 다수의 영역들을 정의하는 단계, 및 그 다수의 영역들 각각 상에서 적어도 하나의 구조의 적어도 일부를 형성하는 단계를 포함한다. 단위 프로세스 또는 프로세스 시퀀스가 변경되며, 그에 의해 조합 어레이 및 그 조합 어레이의 다수의 영역들을 정의하는 것이 테스팅된다. 본 발명의 또 다른 양태에서, 기능성 반도체 디바이스를 제조하기 위한 프로세스 시퀀스 순서를 최적화하는 반도체 프로세싱 툴이 제공된다. 그 반도체 프로세싱 툴은, 조합 프로세싱 모듈 및 종래의 프로세싱 모듈을 포함한 메인프레임을 포함한다. 그 모듈들은, 그 프로세스 시퀀스 순서에 따라 반도체 기판상에서 구조물들을 정의하도록 구성된다. 프로세스 시퀀스 순서의 적어도 하나의 프로세스는 조합 프로세싱 모듈에서 수행되며, 이러한 적어도 하나의 프로세스는 조합 프로세싱 모듈을 통하여 반도체 기판의 영역에서 변경된다. 일 실시형태에서, 하나의 프로세스 내에서 변경된 프로세싱 파라미터들은, 시간, 국소 압력, 국소 유량, 온도, 전력 설정, 및 프로세스 재료들의 조성물로 구성된 그룹으로부터 선택된다.
본 발명은, 단일 기판상에서의 영역들의 상이한 프로세싱을 위한 매우 개선된 방법 및 장치를 제공한다. 상기의 설명이 제한이 아닌 예시적인 것으로 의도됨을 이해할 것이다. 본 발명의 많은 실시형태들 및 변경들은, 이러한 개시물의 검토시에 당업자에게 명백할 것이다. 단지 예로서, 광범위하게 다양한 프로세스 시간, 프로세스 온도 및 다른 프로세스 조건뿐만 아니라 특정 프로세싱 단계들의 상이한 순서화가 이용될 수도 있다. 따라서, 본 발명의 범위는, 상기 설명을 참조하여 결정되어야 하는 것이 아니라, 대신, 첨부된 청구항이 주장하는 등가물의 전체 범위와 함께 그러한 청구항을 참조하여 결정되어야 한다.
여기에 나타낸 설명 및 예시는, 본 발명, 본 발명의 원리, 및 본 발명의 실용적인 애플리케이션을 당업자에게 숙지시키도록 의도된다. 당업자는, 특정 사 용의 요건에 가장 적합할 수도 있는 본 발명의 많은 형태로 본 발명을 구성 및 적용할 수도 있다. 따라서, 전술된 본 발명의 특정 실시형태들은, 본 발명의 포괄적인 것 또는 제한하는 것으로 의도되지는 않는다.
상술된 실시형태들은, 병렬 또는 급속한 직렬 통합, 반도체 제조 프로세스를 위해 식별된 유용한 특성을 갖는 신규한 재료들의 프로세싱 및 분석을 위한 방법 및 장치를 제공한다. 그 후, 후속하여 유용한 특성을 소유하도록 발견된 임의의 재료들은 더 큰 스케일로 준비되고 실제 프로세싱 조건에서 평가될 수 있다. 이러한 재료들은 상술된 방법을 통해 반응 또는 프로세싱 파라미터들과 함께 평가될 수 있다. 차례로, 파라미터들의 변화로부터의 피드백이 프로세스 최적화를 위해 제공된다. 변경될 수 있는 몇몇 반응 파라미터들은, 프로세스 재료양, 리액턴스 종, 프로세싱 온도, 프로세싱 시간, 프로세싱 압력, 프로세싱 흐름 비율, 프로세싱 전력, 프로세싱 시약 조성물, 반응들이 억제되는 비율, 프로세스들이 수행되는 대기, 재료들이 증착되는 순서 등을 포함하지만, 이에 제한되지는 않는다. 또한, 상술된 방법은, 재료, 프로세싱 조건, 공정 및 프로세스의 시퀀스 또는 이들의 임의의 조합당 다수의 기판들을 소비할 필요없이 단일 기판 상에서, 2개 이상의 재료, 2개 이상의 프로세싱 조건, 프로세싱 조건의 2개 이상의 시퀀스, 2개 이상의 프로세스 시퀀스 통합 흐름, 및 이들의 조합의 프로세싱 및 테스팅을 가능하게 한다. 이것은, 반도체 제조 공정의 발견 및 최적화와 관련된 비용을 감소시킬 뿐만 아니라 속도를 매우 개선시킨다.
또한, 여기에 설명된 실시형태들은, 종래의 제조 프로세싱 공정을 시뮬레이 션하기 위해 기판의 특정 위치에서, 정확한 프로세싱 조건 하에서 재료의 정확한 양을 전달하는 것을 목적으로 한다. 상술된 바와 같이, 재료 증착의 고유한 비-균일도에 의존하는 그라디언트 프로세싱 기술과는 대조적으로, 영역내에서 프로세스 조건은 실질적으로 균일하다. 즉, 여기에 설명된 실시형태들은 종래의 방식, 예를 들어, 실질적으로 일관되고 실질적으로 균일하게 프로세싱을 국부적으로 수행하지만, 기판 위에서 전체적으로 재료, 프로세스 및 프로세스 시퀀스가 변할 수도 있다. 균일한 프로세싱의 별개의 단계들이 여기에 설명된 HPC 시스템을 통해 가능함을 유의해야 한다.
본 발명의 일부를 형성하는 여기에 설명된 공정들 중 임의의 공정은 유용한 머신 공정이다. 또한, 본 발명은 이러한 공정을 수행하는 디바이스 또는 장치에 관한 것이다. 장치는 요구된 목적을 위해 특수하게 구성될 수 있거나, 그 장치는 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 구성되는 범용 컴퓨터일 수 있다. 특히, 다양한 범용 머신은 여기의 교시에 따라 기입된 컴퓨터 프로그램으로 사용될 수 있거나, 요구된 공정을 수행하도록 더 특수화된 장치를 구성하는 것이 더 편리할 수도 있다.
전술한 본 발명이 이해의 명확화를 위해 몇몇 세부사항에서 설명되었지만, 특정한 변화 및 변형이 첨부된 청구항의 범위내에서 실행될 수 있다는 것이 명백할 것이다. 따라서, 본 실시형태는 제한이 아닌 예시적인 것으로 고려되며, 본 발명은 여기에서 제공된 세부사항에 제한되지 않지만, 첨부된 청구항의 범위 및 균등물내에서 변형될 수도 있다. 청구항에 있어서, 청구항에서 명시적으로 나타내 지 않는다면, 엘리먼트들 및/또는 단계들은 임의의 특정 공정 순서를 내포하지 않는다.

Claims (23)

  1. 디바이스를 제조하기 위해 재료들, 단위 프로세스들, 및 프로세스 시퀀스들을 평가하는 방법으로서,
    재료들, 단위 프로세스들 또는 프로세스 시퀀스들 중 하나를 변경하여 조합 방식으로 제 1 기판 상의 영역들을 처리하는 단계;
    상기 제 1 기판 상의 상기 처리된 영역들을 테스팅하는 단계;
    상기 제 1 기판 상의 상기 처리된 영역들에 대한 테스트들의 결과들에 기초하여 단위 프로세스들 또는 프로세스 시퀀스들 중 하나를 변경하여 조합 방식으로 제 2 기판 상의 영역들을 처리하는 단계; 및
    상기 제 2 기판 상의 상기 처리된 영역들을 테스팅하는 단계를 포함하고,
    상기 처리하는 단계들은 고유전율 게이트 산화물, 계면 캡 층 및 게이트를 포함하는 게이트 적층 구조 또는 저부 전극, 절연층 및 상부 전극을 포함하는 금속-절연체-금속 (MIM) 구조를 형성하는, 평가 방법.
  2. 제 1 항에 있어서,
    재료들, 단위 프로세스들 또는 프로세스 시퀀스들 중 하나를 변경하여 조합 방식으로 제 3 기판 상의 영역들을 처리하는 단계, 및
    상기 제 3 기판 상의 상기 처리된 영역들을 테스팅하는 단계를 더 포함하는, 평가 방법.
  3. 제 1 항에 있어서,
    상기 제 1 기판은 블랭킷 (blanket) 웨이퍼이고, 상기 제 2 기판은 패터닝된 웨이퍼인, 평가 방법.
  4. 제 1 항에 있어서,
    상기 제 1 기판 및 상기 제 2 기판은 패터닝되고, 상기 제 2 기판의 패턴은 상기 제 1 기판의 패턴으로부터의 적어도 하나의 구조물을 포함하는, 평가 방법.
  5. 제 1 항에 있어서,
    상기 처리하는 단계는 상용 반도체 칩 상의 구조물들과 서로 연관된 상기 제 2 기판의 영역들 상에 구조물들을 형성하는, 평가 방법.
  6. 제 1 항에 있어서,
    상기 제 2 기판 상의 구조물들은 상기 제 1 기판 상의 구조물들보다 상용 디바이스 구조물들과 더 밀접하게 관련되고, 상기 제 2 기판 상의 상기 처리된 영역들을 테스팅하는 단계는 상용 디바이스의 임계 파라미터들에 기초하는, 평가 방법.
  7. 제 1 항에 있어서,
    상기 제 2 기판 상의 상기 처리된 영역들을 테스팅하는 단계로부터의 결과들이 피드백되어, 상기 제 1 기판에 대한 처리를 향상 (educate) 시키는, 평가 방법.
  8. 제 1 항에 있어서,
    상기 처리하는 단계는 상기 영역들 내에서 균일한, 평가 방법.
  9. 제 1 항에 있어서,
    각각의 기판들 상의 상기 영역들은 중첩하지만, 상기 영역들 각각의 일부는 사실상 균일한, 평가 방법.
  10. 제 1 항에 있어서,
    상기 영역들을 처리하는 단계가 각각의 상이한 영역들에 걸쳐 균일하여, 상기 각각의 상이한 영역들로부터의 테스트 결과들이 상기 변경의 결과이도록 하는, 평가 방법.
  11. 제 2 항에 있어서,
    상기 제 3 기판 상에 형성된 구조물들의 전기적 테스트들은 상기 형성된 구조물들이 디바이스 파라미터들을 충족하는지 여부를 결정하는, 평가 방법.
  12. 제조 공정을 위한 재료들, 단위 프로세스들, 및 프로세스 시퀀스들을 평가하는 방법으로서,
    상기 제조 공정의 단위 프로세스들을 변경하여 조합 방식으로 제 1 기판 상의 영역들을 처리하는 단계;
    상기 제 1 기판 상의 상기 처리된 영역들을 테스팅하는 단계;
    상기 제 1 기판 상의 상기 처리된 영역들에 대한 테스트들의 결과들에 기초하여 상기 제조 공정의 프로세스 시퀀스들을 변경하여 조합 방식으로 제 2 기판 상의 영역들을 처리하는 단계; 및
    상기 제 2 기판 상의 상기 처리된 영역들을 테스팅하는 단계를 포함하고,
    상기 처리하는 단계들은 고유전율 게이트 산화물, 계면 캡 층 및 게이트를 포함하는 게이트 적층 구조 또는 저부 전극, 절연층 및 상부 전극을 포함하는 금속-절연체-금속 (MIM) 구조를 형성하는, 평가 방법.
  13. 제 12 항에 있어서,
    상기 제 2 기판 상의 상기 처리된 영역들을 테스팅할 때 수행된 테스트들은 상기 제 1 기판 상의 상기 처리된 영역들을 테스팅할 때 수행된 테스트들에 비해 더 정교한, 평가 방법.
  14. 제 12 항에 있어서,
    상기 제 1 기판 상의 영역들을 처리할 때 구조물을 형성하는 단계; 및
    상기 제 2 기판 상의 영역들을 처리할 때 구조물을 형성하는 단계를 더 포함하고,
    상기 제 2 기판 상의 영역들을 처리할 때 형성된 상기 구조물이 상기 제 1 기판 상의 영역들을 처리할 때 형성된 상기 구조물보다 상용 구조물에 더 유사한, 평가 방법.
  15. 제 12 항에 있어서,
    상기 제 1 기판 상의 영역들을 조합 방식으로 처리하기 위해 선택된 재료들은 그라디언트 조합 프로세스 (gradient combinatorial process) 또는 사이트 분리된 조합 프로세스 (site isolated combinatorial process) 중 하나의 프로세스를 이용하는 이전 조합 스크리닝 (prior combinatorial screening) 의 결과인, 평가 방법.
  16. 제조 프로세스 시퀀스를 위해 프로세스 시퀀스 통합을 수행하는 방법으로서,
    기판의 영역들 간에 변경되는 프로세스 시퀀스 중 하나의 프로세스를 이용하여 상기 제조 프로세스 시퀀스를 수행하는 단계를 포함하고,
    상기 영역들의 각각에 구조물들을 형성하는데 사용된 프로세스들은 국소적 균일성을 갖고,
    상기 수행하는 단계는,
    상기 제조 프로세스 시퀀스를 형성하는 제조 단위 프로세스들을 식별하는 단계;
    식별된 반도체 제조 단위 프로세스들에 대한 제 1 프로세스 시퀀스 순서를 선택하는 단계;
    상기 제 1 프로세스 시퀀스 순서를 실행하면서 상기 식별된 제조 단위 프로세스들 중 하나의 프로세스를 조합적으로 변경하는 단계; 및
    상기 식별된 제조 단위 프로세스들 중 하나의 프로세스에 의해 형성된 구조물의 특성들을 평가하는 단계를 포함하고,
    상기 식별된 제조 단위 프로세스들 중 하나의 프로세스에 의해 형성된 상기 구조물은 고유전율 게이트 산화물, 계면 캡 층 및 게이트를 포함하는 게이트 적층 구조 또는 저부 전극, 절연층 및 상부 전극을 포함하는 금속-절연체-금속 (MIM) 구조인, 프로세스 시퀀스 통합 수행 방법.
  17. 삭제
  18. 제 16 항에 있어서,
    상기 특성들의 상기 평가에 기초하여 제 2 프로세스 시퀀스 순서를 선택하는 단계; 및
    상기 변경의 상기 실행 및 상기 제 2 프로세스 시퀀스 순서로의 상기 평가를 반복하는 단계를 더 포함하는, 프로세스 시퀀스 통합 수행 방법.
  19. 제 16 항에 있어서,
    상기 제 1 프로세스 시퀀스를 실행하면서 상기 식별된 제조 단위 프로세스들 중 하나의 프로세스를 조합적으로 변경하는 단계는,
    상기 기판의 개별 영역들 내에 구조물들을 형성하는 재료들을 변경하는 단계를 포함하는, 프로세스 시퀀스 통합 수행 방법.
  20. 제 16 항에 있어서,
    상기 제 1 프로세스 시퀀스를 실행하면서 상기 식별된 제조 단위 프로세스들 중 하나의 프로세스를 조합적으로 변경하는 단계는,
    상기 기판의 영역들에서 상기 식별된 제조 단위 프로세스들 중 하나의 프로세스에 대한 프로세싱 파라미터들을 변경하는 단계를 포함하는, 프로세스 시퀀스 통합 수행 방법.
  21. 제 16 항에 있어서,
    상기 제 1 프로세스 시퀀스를 수행하면서 상기 식별된 제조 단위 프로세스들 중 하나의 프로세스를 조합적으로 변경하는 단계는,
    상기 기판의 영역들에서 상기 식별된 제조 단위 프로세스들 중 하나의 프로세스의 시퀀스 순서를 변경하는 단계를 포함하는, 프로세스 시퀀스 통합 수행 방법.
  22. 제 16 항에 있어서,
    상기 구조물들 각각을 테스팅하는 단계; 및
    상기 테스팅의 결과들에 기초하여 고정된 프로세스 시퀀스 순서의 프로세스 및 변경된 프로세스 시퀀스 순서의 다른 프로세스를 이용하여 상기 제조 프로세스 시퀀스를 수행하는 단계를 반복하는 단계를 더 포함하는, 프로세스 시퀀스 통합 수행 방법.
  23. 제 16 항에 있어서,
    상기 프로세스들의 국소적 균일성은 하나의 영역 내의 또는 다수의 영역들에 걸친 다수의 구조물들 중 하나의 구조물에 걸쳐 통계적으로 관련된 정보가 수집되는 것을 가능하게 하는, 프로세스 시퀀스 통합 수행 방법.
KR1020087019691A 2006-02-10 2007-02-12 재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치 KR101388389B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/352,077 US8084400B2 (en) 2005-10-11 2006-02-10 Methods for discretized processing and process sequence integration of regions of a substrate
US11/352,077 2006-02-10
US11/419,174 US8772772B2 (en) 2006-05-18 2006-05-18 System and method for increasing productivity of combinatorial screening
US11/419,174 2006-05-18
PCT/US2007/003710 WO2007095194A2 (en) 2006-02-10 2007-02-12 Method and apparatus for combinatorially varying materials, unit process and process sequence

Publications (2)

Publication Number Publication Date
KR20090014139A KR20090014139A (ko) 2009-02-06
KR101388389B1 true KR101388389B1 (ko) 2014-04-22

Family

ID=38372068

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087019691A KR101388389B1 (ko) 2006-02-10 2007-02-12 재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치

Country Status (5)

Country Link
US (2) US20070202610A1 (ko)
EP (1) EP1994550A4 (ko)
JP (2) JP5284108B2 (ko)
KR (1) KR101388389B1 (ko)
WO (1) WO2007095194A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220086824A (ko) * 2020-12-17 2022-06-24 주식회사 엔포마레 화학 공정의 최적화를 위한 전극 소자가 탑재된 분석장치

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9044774B2 (en) * 2007-12-18 2015-06-02 Intermolecular, Inc. Vented combinatorial processing cell
US8882917B1 (en) 2009-12-31 2014-11-11 Intermolecular, Inc. Substrate processing including correction for deposition location
US8580344B2 (en) * 2008-03-17 2013-11-12 Intermolecular, Inc. Stamp usage to enhance surface layer functionalization and selectivity
US8110476B2 (en) * 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
WO2010001192A2 (en) * 2008-07-02 2010-01-07 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US20100032639A1 (en) * 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US7947531B1 (en) 2008-08-28 2011-05-24 Intermolecular, Inc. Combinatorial evaluation of dry semiconductor processes
US8237191B2 (en) * 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
JP5296025B2 (ja) * 2010-08-27 2013-09-25 株式会社東芝 半導体装置の製造方法及び製造装置
US8449681B2 (en) * 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US8298837B2 (en) * 2011-03-25 2012-10-30 Intermolecular, Inc. System and method for increasing productivity of organic light emitting diode material screening
US9175382B2 (en) * 2011-10-25 2015-11-03 Intermolecular, Inc. High metal ionization sputter gun
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
US8647446B2 (en) 2011-12-07 2014-02-11 Intermolecular, Inc. Method and system for improving performance and preventing corrosion in multi-module cleaning chamber
US8883607B2 (en) * 2011-12-27 2014-11-11 Intermolecular, Inc. Full wafer processing by multiple passes through a combinatorial reactor
US20130236632A1 (en) * 2012-03-09 2013-09-12 Intermolecular, Inc. Graphene Combinatorial Processing
US8603837B1 (en) 2012-07-31 2013-12-10 Intermolecular, Inc. High productivity combinatorial workflow for post gate etch clean development
US8865484B2 (en) * 2012-12-26 2014-10-21 Intermolecular, Inc. Methods for forming templated materials
US8652861B1 (en) * 2012-12-20 2014-02-18 Intermolecular, Inc. HPC optimization of contacts to optoelectronic devices
US20140179112A1 (en) * 2012-12-26 2014-06-26 Globalfoundries High Productivity Combinatorial Techniques for Titanium Nitride Etching
US20140273525A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US20140315331A1 (en) * 2013-03-13 2014-10-23 Intermolecular, Inc. Screening of Surface Passivation Processes for Germanium Channels
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
CN107109681B (zh) * 2015-10-28 2019-01-15 德国艾托特克公司 用于电流金属沉积的水平电流电镀处理线的电流电镀装置及其用途
US9786568B2 (en) 2016-02-19 2017-10-10 Infineon Technologies Ag Method of manufacturing an integrated circuit substrate
US9899277B2 (en) * 2016-02-19 2018-02-20 Infineon Technologies Ag Integrated circuit substrate and method for manufacturing the same
US10269635B2 (en) 2016-02-19 2019-04-23 Infineon Technologies Ag Integrated circuit substrate and method for manufacturing the same
US10580753B2 (en) 2017-07-21 2020-03-03 Infineon Technologies Ag Method for manufacturing semiconductor devices
US11417517B2 (en) 2019-05-03 2022-08-16 Applied Materials, Inc. Treatments to enhance material structures
KR102634254B1 (ko) * 2020-11-18 2024-02-05 어플라이드 머티어리얼스, 인코포레이티드 반도체 구조를 형성하는 방법 및 이의 처리 시스템

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005150061A (ja) * 2003-11-20 2005-06-09 Showa Shinku:Kk 有機材料薄膜の形成方法及びその装置

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US563351A (en) * 1896-07-07 District of
US3131098A (en) * 1960-10-26 1964-04-28 Merck & Co Inc Epitaxial deposition on a substrate placed in a socket of the carrier member
DE2307649B2 (de) * 1973-02-16 1980-07-31 Robert Bosch Gmbh, 7000 Stuttgart Anordnung zum Aufstäuben verschiedener Materialien auf einem Substrat
US4743954A (en) * 1985-06-07 1988-05-10 University Of Utah Integrated circuit for a chemical-selective sensor with voltage output
CA1325917C (en) * 1986-06-20 1994-01-11 Hirofumi Nakakura Method of and apparatus for making bread
US4885356A (en) * 1988-06-28 1989-12-05 Air Products And Chemicals, Inc. High molecular weight poly(toluenediamine aramide) and a method for their preparation
DE69233331T3 (de) * 1991-11-22 2007-08-30 Affymetrix, Inc., Santa Clara Kombinatorische Strategien zur Polymersynthese
US6864101B1 (en) * 1991-11-22 2005-03-08 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
US6943034B1 (en) * 1991-11-22 2005-09-13 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
US5356756A (en) * 1992-10-26 1994-10-18 The United States Of America As Represented By The Secretary Of Commerce Application of microsubstrates for materials processing
JPH07211605A (ja) * 1994-01-14 1995-08-11 Hitachi Ltd 処理装置および処理方法
US6004617A (en) * 1994-10-18 1999-12-21 The Regents Of The University Of California Combinatorial synthesis of novel materials
US5985356A (en) * 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US5603351A (en) * 1995-06-07 1997-02-18 David Sarnoff Research Center, Inc. Method and system for inhibiting cross-contamination in fluids of combinatorial chemistry device
US5646870A (en) * 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US6063633A (en) * 1996-02-28 2000-05-16 The University Of Houston Catalyst testing process and apparatus
WO1997034319A1 (fr) * 1996-03-06 1997-09-18 Hitachi, Ltd. Fabrication de composants a semi-conducteur
US6468806B1 (en) * 1996-10-02 2002-10-22 Symyx Technologies, Inc. Potential masking systems and methods for combinatorial library synthesis
US6576906B1 (en) * 1999-10-08 2003-06-10 Symyx Technologies, Inc. Method and apparatus for screening combinatorial libraries for semiconducting properties
US6187164B1 (en) * 1997-09-30 2001-02-13 Symyx Technologies, Inc. Method for creating and testing a combinatorial array employing individually addressable electrodes
US6818110B1 (en) * 1997-09-30 2004-11-16 Symyx Technologies, Inc. Combinatorial electrochemical deposition and testing system
US6079873A (en) * 1997-10-20 2000-06-27 The United States Of America As Represented By The Secretary Of Commerce Micron-scale differential scanning calorimeter on a chip
KR20010032498A (ko) * 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
DE19822077A1 (de) * 1998-05-16 1999-11-18 Studiengesellschaft Kohle Mbh Kombinatorisches Verfahren zur Herstellung und Charakterisierung von kristallinen und amorphen Materialbibliotheken im Mikrogramm-Maßstab
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6306658B1 (en) * 1998-08-13 2001-10-23 Symyx Technologies Parallel reactor with internal sensing
US6344084B1 (en) * 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
WO2000017413A2 (en) * 1998-09-18 2000-03-30 Symyx Technologies Formation of combinatorial arrays of materials using solution-based methodologies
US6683446B1 (en) * 1998-12-22 2004-01-27 John Pope Electrode array for development and testing of materials
US6364956B1 (en) * 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
US6830663B2 (en) * 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
EP1077764A1 (en) * 1999-02-17 2001-02-28 Oxxel Oxide Electronics Technology, Inc. Method for preparation of libraries using a combinatorial molecular beam epitaxy (combe) apparatus
US6749814B1 (en) * 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6323128B1 (en) * 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US7052545B2 (en) * 2001-04-06 2006-05-30 California Institute Of Technology High throughput screening of crystallization of materials
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6750152B1 (en) * 1999-10-01 2004-06-15 Delphi Technologies, Inc. Method and apparatus for electrically testing and characterizing formation of microelectric features
DE19959974A1 (de) * 1999-12-13 2001-06-21 Basf Ag Verfahren zur Herstellung von Materialbibliotheken durch elektrochemische Abscheidung
US6420250B1 (en) * 2000-03-03 2002-07-16 Micron Technology, Inc. Methods of forming portions of transistor structures, methods of forming array peripheral circuitry, and structures comprising transistor gates
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
US6983233B1 (en) * 2000-04-19 2006-01-03 Symyx Technologies, Inc. Combinatorial parameter space experiment design
US6911129B1 (en) * 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
CA2344946A1 (en) * 2000-05-10 2001-11-10 Symyx Technologies, Inc. Polymer libraries on a substrate, method of forming polymer libraries on a substrate and characterization methods with same
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6420178B1 (en) * 2000-09-20 2002-07-16 General Electric Company High throughput screening method, array assembly and system
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US20020105081A1 (en) * 2000-10-12 2002-08-08 G. Ramanath Self-assembled near-zero-thickness molecular layers as diffusion barriers for Cu metallization
US20020079487A1 (en) * 2000-10-12 2002-06-27 G. Ramanath Diffusion barriers comprising a self-assembled monolayer
US6996550B2 (en) * 2000-12-15 2006-02-07 Symyx Technologies, Inc. Methods and apparatus for preparing high-dimensional combinatorial experiments
US20020142474A1 (en) * 2001-02-12 2002-10-03 Lagraff John Robert Contaminant library method and array plate
US6495413B2 (en) * 2001-02-28 2002-12-17 Ramtron International Corporation Structure for masking integrated capacitors of particular utility for ferroelectric memory integrated circuits
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6939515B2 (en) * 2001-08-10 2005-09-06 Symyx Technologies, Inc. Apparatuses and methods for creating and testing pre-formulations and systems for same
US20030032198A1 (en) * 2001-08-13 2003-02-13 Symyx Technologies, Inc. High throughput dispensing of fluids
KR100434946B1 (ko) * 2001-09-28 2004-06-10 학교법인 성균관대학 무전해도금방식을 이용한 반도체 소자의 구리배선형성방법
US6758951B2 (en) * 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
US6783997B2 (en) * 2001-12-19 2004-08-31 Texas Instruments Incorporated Gate structure and method
WO2003054475A2 (en) * 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7335153B2 (en) * 2001-12-28 2008-02-26 Bio Array Solutions Ltd. Arrays of microparticles and methods of preparation thereof
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US6949267B2 (en) * 2002-04-08 2005-09-27 Engelhard Corporation Combinatorial synthesis
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US20030224105A1 (en) * 2002-05-30 2003-12-04 Symyx Technologies, Inc. Apparatus and methods for forming films on substrates
JP4261931B2 (ja) * 2002-07-05 2009-05-13 株式会社荏原製作所 無電解めっき装置および無電解めっき後の洗浄方法
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7247346B1 (en) * 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
JP4071612B2 (ja) * 2002-12-11 2008-04-02 三菱電機株式会社 銅下地膜形成材料、銅下地膜形成方法、銅下地膜および半導体装置
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6858527B2 (en) * 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US7432006B2 (en) * 2003-05-27 2008-10-07 Intematix Corporation Electrochemical probe for screening multiple-cell arrays
US7883739B2 (en) * 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US7416911B2 (en) * 2003-06-24 2008-08-26 California Institute Of Technology Electrochemical method for attaching molecular and biomolecular structures to semiconductor microstructures and nanostructures
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US7071022B2 (en) * 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US7514360B2 (en) * 2004-03-17 2009-04-07 Hong Yu Yu Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics
WO2006110153A2 (en) * 2004-06-18 2006-10-19 North Dakota State University Multi-well plates
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20060258128A1 (en) * 2005-03-09 2006-11-16 Peter Nunan Methods and apparatus for enabling multiple process steps on a single substrate
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20070020482A1 (en) * 2005-07-19 2007-01-25 Osram-Opto Semiconductors Gmbh Surface-selective deposition of organic thin films
US20070029189A1 (en) * 2005-08-02 2007-02-08 The University Of Chicago Combinatorial electrochemical deposition system
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7544574B2 (en) * 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005150061A (ja) * 2003-11-20 2005-06-09 Showa Shinku:Kk 有機材料薄膜の形成方法及びその装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220086824A (ko) * 2020-12-17 2022-06-24 주식회사 엔포마레 화학 공정의 최적화를 위한 전극 소자가 탑재된 분석장치
KR102583085B1 (ko) 2020-12-17 2023-09-27 주식회사 엔포마레 화학 공정의 최적화를 위한 전극 소자가 탑재된 분석장치

Also Published As

Publication number Publication date
JP5284297B2 (ja) 2013-09-11
WO2007095194A2 (en) 2007-08-23
JP5284108B2 (ja) 2013-09-11
KR20090014139A (ko) 2009-02-06
US20070202610A1 (en) 2007-08-30
US20070202614A1 (en) 2007-08-30
WO2007095194A3 (en) 2008-11-20
JP2010123996A (ja) 2010-06-03
JP2009526408A (ja) 2009-07-16
EP1994550A4 (en) 2012-01-11
EP1994550A2 (en) 2008-11-26

Similar Documents

Publication Publication Date Title
KR101388389B1 (ko) 재료, 단위 프로세스 및 프로세스 시퀀스를 조합적으로 변경하는 방법 및 장치
US8143619B2 (en) Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US8936889B2 (en) Method and apparatus for EUV mask having diffusion barrier
US8554507B2 (en) Combinatorial process optimization methodology and system
JP2009515322A (ja) 基板領域の離散化プロセスおよびプロセスシーケンス統合化
CN101421433B (zh) 用于联合改变材料、单元工艺和工艺顺序的方法和装置
US8945952B2 (en) High productivity combinatorial workflow for post gate etch clean development
US8683420B2 (en) Method and system of improved reliability testing
US8836365B2 (en) Apparatus and method for testing electromigration in semiconductor devices
US20150187664A1 (en) High Productivity Combinatorial Testing of Multiple Work Function Materials on the Same Semiconductor Substrate
US8664014B2 (en) High productivity combinatorial workflow for photoresist strip applications
US8768643B2 (en) Method and apparatus for parallel testing of semiconductor devices
WO2010001192A2 (en) Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US8852967B2 (en) Dissolution rate monitor
US8980653B2 (en) Combinatorial optimization of interlayer parameters

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee