JP2024520404A - アモルファスシリコンベース取り除きおよびシールeot - Google Patents

アモルファスシリコンベース取り除きおよびシールeot Download PDF

Info

Publication number
JP2024520404A
JP2024520404A JP2023572686A JP2023572686A JP2024520404A JP 2024520404 A JP2024520404 A JP 2024520404A JP 2023572686 A JP2023572686 A JP 2023572686A JP 2023572686 A JP2023572686 A JP 2023572686A JP 2024520404 A JP2024520404 A JP 2024520404A
Authority
JP
Japan
Prior art keywords
layer
substrate
dipole
metal
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023572686A
Other languages
English (en)
Inventor
ヨン ヤン,
ジャックリーン エス. レンチ,
イーシオン ヤン,
ジャンチウ グオ,
セシャドリ ギャングリ,
スティーブン シー.エイチ. ハング,
シュリーニヴァース ガンディコッタ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/347,786 external-priority patent/US20220254640A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024520404A publication Critical patent/JP2024520404A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

犠牲シール層が、高誘電率金属ゲート(HKMG)スタック上に形成されて、酸化剤、たとえば、酸素および水が、金属ゲートスタックに影響を及ぼすのを抑制し、したがって、デバイスEOTを保持する。本方法は、基板上に界面層を形成することと、界面層上に高誘電率金属酸化物層を形成することであって、高誘電率金属酸化物層が、界面層に隣接する双極子領域、双極子領域を含む、高誘電率金属酸化物層を形成することと、高誘電率金属酸化物層上にキャッピング層を堆積させることと、キャッピング層上に犠牲シール層を形成することとを含む、プロセスを統合した。双極子領域は、高誘電率金属酸化物層中に、双極子膜のドーパント種、たとえば、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物を動かして、双極子領域を形成することによって、形成される。【選択図】図1E

Description

本開示の実施形態は、一般に、酸化剤(たとえば、酸素原子)が金属ゲートスタックに影響を及ぼすのを抑制することに関する。特定の実施形態では、犠牲シール層が高誘電率(high-K)金属ゲート(HKMG)スタック上に形成され、高誘電率金属ゲートスタックは、双極子領域を含む高誘電率金属酸化物層を含む。
集積回路は、単一のチップ上に何百万ものトランジスタ、キャパシタ、および抵抗器を含むことができる、複雑なデバイスに発展した。集積回路発展の過程において、機能的密度(すなわち、チップ面積当たりの相互接続されたデバイスの数)は、概して、増加し、形状(geometry)サイズ(すなわち、製造プロセスを使用して作成され得る最も小さい構成要素(またはライン))は減少した。
デバイス寸法が縮小するにつれて、デバイス形状および材料は、障害を招くことなしにスイッチング速度を維持する困難を経験した。チップ設計者がデバイス寸法を縮小し続けることを可能にする、いくつかの新技術が出現した。デバイス構造の寸法の制御が、現在のおよび将来の技術世代にとって重要な課題である。
超小型電子デバイスは、様々な導電層が互いに相互接続されて、電子信号がデバイス内を伝搬することを可能にする、集積回路として、半導体基板上に製造される。そのようなデバイスの一例は、相補型金属酸化物半導体(CMOS)電界効果トランジスタ(FET)またはMOSFETである。ゲート電極は、集積回路の一部である。たとえば、MOSFETは、半導体基板中に形成されたソース領域とドレイン領域との間に配設されたゲート構造を備える。ゲート構造またはスタックは、概して、ゲート電極とゲート誘電体とを備える。ゲート電極は、ゲート誘電体の上に配設されて、ゲート誘電体の下のドレイン領域とソース領域との間に形成されたチャネル領域における電荷キャリアの流れを制御する。
アルミニウム(Al、1.61)、ニオブ(Nb、1.6)、チタン(Ti、1.54)、およびタンタル(Ta、1.5)など、ハフニウム(Hf、1.3)よりも大きい電気陰性度をもつ製造しやすい金属が、PMOSに有利である双極子を誘起することができる。所望の双極子効果を達成するために、所望の要素が、スパイクアニールで堆積膜から動か(drive)され、ドライブイン(drive in)の後に除去される。スパイクアニールは、ゲート誘電体層およびオーバーレイする双極子スタック中の遊離酸素原子が、下方へ拡散して、下にあるシリコン層を酸化させるので、等価酸化物厚さ(EOT:equivalent oxide thickness)ペナルティを潜在的に引き起こすことがある。
したがって、等価酸化物厚さペナルティを防ぐ方法が必要とされる。
本開示の1つまたは複数の実施形態は、金属ゲートスタックを形成する方法を対象とする。1つまたは複数の実施形態では、金属ゲートスタックを形成する方法が、基板の表面上に界面層を形成することと、界面層上に高誘電率金属酸化物層を堆積させることと、高誘電率金属酸化物層上に双極子膜を形成することと、基板上に第1のキャッピング層を堆積させることと、第1の高誘電率金属酸化物キャッピング層上に犠牲シール層を形成することと、高誘電率金属酸化物層中に双極子膜を動かすために、および双極子領域を形成するために、基板を、少なくとも700℃の温度において熱処理にさらすことと、犠牲シール層を除去することとを含む。
本開示の追加の実施形態は、金属ゲートスタックから酸化剤を取り除く(scavenge)方法を対象とする。1つまたは複数の実施形態では、本方法は、金属ゲートスタック上に犠牲シール層を形成することであって、金属ゲートスタックが、基板の表面上の界面層上の高誘電率金属酸化物層上の双極子膜上の第1のキャッピング層を含む、犠牲シール層を形成することと、高誘電率金属酸化物層中に双極子膜を動かすために、および双極子領域を形成するために、金属ゲートスタックを、少なくとも700℃の温度において熱処理にさらすことと、犠牲シール層を除去することとを含む。
本開示の上記の具陳された特徴が詳細に理解され得るように、上記で手短に要約された本開示のより詳細な説明は、添付の図面にその一部が示されている実施形態を参照することによってなされ得る。しかしながら、添付の図面は、本開示の典型的な実施形態を示すにすぎず、したがって、その範囲の限定と見なされるべきではなく、本開示は、他の等しく有効な実施形態を認め得ることに留意されたい。
A~Gは、本開示の1つまたは複数の実施形態による、金属ゲートスタックの断面図である。 本開示の1つまたは複数の実施形態による、双極子領域を形成する方法のフローチャートである。 本開示の1つまたは複数の実施形態による、図1による金属ゲートスタックを形成するための方法のフローチャートである。 本開示の1つまたは複数の実施形態による、クラスタツールである。
本開示のいくつかの例示的な実施形態について説明する前に、本開示が以下の説明に記載される構造またはプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々なやり方で実践されるかまたは行われることが可能である。
本明細書および添付の特許請求の範囲において使用される「基板」という用語は、プロセスが作用する表面または表面の部分を指す。また、基板への言及は、文脈が別段に明確に示さない限り、基板の一部分のみを指すこともできることを、当業者は理解されよう。さらに、基板上の堆積への言及は、ベア基板と、1つまたは複数の膜または特徴がその上に堆積または形成された基板との両方を意味することができる。
本明細書で使用される「基板」は、製造プロセス中に膜処理が実施される、任意の基板または基板上に形成された材料表面を指す。たとえば、処理が実施され得る基板表面は、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオン絶縁体(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、ならびに金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料を含む。基板は、限定はしないが、半導体ウエハを含む。基板表面を、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム硬化、および/または焼成するために、基板が前処理プロセスにさらされ得る。基板自体の表面上で直接膜処理することに加えて、本開示では、開示される膜処理ステップのいずれかが、以下でより詳細に開示されるように基板上に形成された下層上でも実施され得、「基板表面」という用語は、文脈が示すようにそのような下層を含むことが意図されている。したがって、たとえば、基板表面上に膜/層または部分的な膜/層が堆積された場合、新たに堆積された膜/層のさらされた表面が基板表面になる。
本開示の実施形態は、有利には、堆積時の双極子膜が酸化されるのを防ぐ方法を提供する。1つまたは複数の実施形態では、シール層が、ゲートスタックの上面上に堆積され、ゲートスタックは、次いで、キャップ層および高誘電率層中に双極子要素を動かすために、アニールされる。理論によって束縛されることを意図することなしに、シール層が、高誘電率層からの余分の酸化剤(たとえば、酸素原子、水など)を取り除き、等価酸化物厚さ(EOT)増加を最小限に抑えることが可能であると考えられる。アニールした後に、シール層は除去され、得られたゲートスタックは後処理を受ける。
ゲートスタックは、双極子領域を有するゲート誘電体または高誘電率金属酸化物層と、キャッピング層と、金属ゲート仕事関数層とのうちの1つまたは複数を含むことができる。双極子領域は、界面層に隣接する高誘電率金属酸化物層中にあり得る。
等価酸化物厚さ(EOT)は、界面層と高誘電率層との特性を提供する。Nb含有双極子領域についての等価酸化物厚さ(EOT)を対象とする実験は、金属ゲート状態上にシールキャッピング層を堆積させることが、EOTペナルティを生じない(<約0.2Å)ことを示した。実験では、5Åから40Åまでの範囲内の厚さを有するアモルファスシリコンを含むシール層が、金属ゲートスタック上に原子層堆積によって堆積された。双極子スタックは、基板上のSiOの界面層上に位置した、酸化ハフニウム(HfO)を含む高誘電率金属酸化物層上の双極子膜(たとえば、窒化ニオブ(NbN)または窒化チタンアルミニウム(TiAlN))を含んだ。TiNキャッピング層が、ALDを使用して双極子膜上にインシトゥで堆積された。アモルファスシリコンシール層の堆積の後に、双極子膜は、少なくとも700℃の基板温度における熱処理によって高誘電率金属酸化物層中に動かされた。
本開示の1つまたは複数の実施形態は、正の金属酸化物半導体(PMOS)集積回路デバイスを形成することにおいて特に有用である、デバイス、および形成の方法を提供し、その文脈において説明される。他のデバイスおよび適用例も、本発明の範囲内に入る。
図1A~図1Fは、1つまたは複数の実施形態による、例示的なPMOS金属ゲートスタックデバイス100の断面図を示す。図1Aを参照すると、デバイス100は、界面層115を形成するためにその表面が酸化された基板110を含む。基板110は、当業者に知られている任意の好適な材料を含み得る。いくつかの実施形態では、基板110はシリコンを含む。界面層115は、当業者に知られている任意の好適な材料を含み得る。1つまたは複数の実施形態では、界面層115は、二酸化ケイ素、酸化シリコンゲルマニウム(SiGeO)、酸化ゲルマニウム(GeO)、または酸窒化ケイ素(SiON)のうちの1つまたは複数を含む。1つまたは複数の特定の実施形態では、界面層115は二酸化ケイ素を含む。いくつかの実施形態では、基板は、限定はしないが、ソース領域と、ドレイン領域と、導電性チャネルと、他の電気コネクタとを含む、追加の電気要素および材料を含む。
図1Bを参照すると、高誘電率金属酸化物層120が、金属ゲートスタックデバイス100上に形成される。高誘電率金属酸化物層120は、当業者に知られている任意の好適な材料を含み得る。1つまたは複数の実施形態では、高誘電率金属酸化物層120は、酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)、酸化ハフニウムジルコニウム(HfZrO)、酸窒化ハフニウムジルコニウム(HfZrON)、酸化ハフニウムシリコン(HfSiO)、および酸窒化ハフニウムシリコン(HfSiON)のうちの1つまたは複数を含む。1つまたは複数の特定の実施形態では、高誘電率金属酸化物層120は、酸化ハフニウム(HfO)を含む。
1つまたは複数の実施形態では、双極子膜125が、高誘電率金属酸化物層120上に形成される。双極子膜125は、当業者に知られている任意の好適な材料を含み得る。1つまたは複数の実施形態では、双極子膜125は、双極子金属の、窒化物、炭化物、酸化物、炭窒化物、またはそれらの組合せを含む。1つまたは複数の実施形態では、双極子金属は、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物を含む。1つまたは複数の実施形態では、双極子膜125は、窒化ニオブ(NbN)を含む。他の実施形態では、双極子膜125は、窒化チタンアルミニウム(TiAlN)を含む。
図1Cを参照すると、キャッピング層130が、双極子膜125上に形成される。双極子膜125は、キャッピング層130に隣接して高誘電率金属酸化物層120上にある。
高誘電率金属酸化物層120と金属ゲート仕事関数層140とは合わせて、本明細書では金属ゲートスタックと呼ばれることがある。
キャッピング層130は、当業者に知られている任意の好適な材料を含み得る。いくつかの実施形態では、キャッピング層130は、窒化チタン(TiN)を含むか、または本質的に窒化チタンからなる。いくつかの実施形態では、キャッピング層130は、窒化チタンシリコン(TiSiN)を含むか、または本質的に窒化チタンシリコンからなる。これに関して使用される、「本質的に~からなる(consists essentially of)」は、述べられた要素が、原子ベースで、述べられた材料の95%超、98%超、99%超または99.5%超を構成することを意味する。誤解を避けるために、化学量論比は、本明細書で開示される材料の識別によって暗示されない。たとえば、TiN材料が、チタンと窒素とを含んでいる。これらの要素は、1:1の比において存在することも存在しないこともある。
キャッピング層130は、任意の好適な厚さを有し得る。いくつかの実施形態では、キャッピング層130の厚さは、5Å以上から25Å以下までの範囲内にある。いくつかの実施形態では、キャッピング層130の厚さは、約10Åであり、これは、10ű10%、10ű5%、および/または10ű1%を含む。
図1Dを参照すると、1つまたは複数の実施形態では、犠牲シール層150が、金属ゲートスタックデバイス100上に形成される。1つまたは複数の実施形態では、犠牲シール層150は、PMOS仕事関数材料140上に形成される。犠牲シール層150は、当業者に知られている任意の好適な材料を含み得る。1つまたは複数の実施形態では、犠牲シール層150は、アモルファスシリコン(a-Si)を含む。
犠牲シール層150は、任意の好適な厚さを有し得る。1つまたは複数の実施形態では、犠牲シール層150は、10Åから40Åまでの範囲を含む、5Åから50Åまでの範囲内の厚さを有する。
図1Eを参照すると、金属ゲートスタックデバイス100は、高誘電率金属酸化物層120中に双極子膜125を動かすために、および高誘電率金属酸化物層120中に双極子領域128を形成するために、熱処理される。熱処理は、ドーパント種(dopant species)、たとえば、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物が、下にある高誘電率ゲート金属酸化物層120中に拡散することを引き起こすために実施される。
等価酸化物厚さ(EOT)は、界面層と高誘電率層との特性を提供する。1つまたは複数の実施形態では、犠牲シール層150を含む金属ゲートスタックが、犠牲シール層なしの比較金属ゲートスタックに対して、約0.2Å以下であるEOT増加を有する。犠牲シール層が存在しないとき、双極子領域128をもつゲートスタックは、約10.0~10.5ÅのEOTを有する。犠牲シール層が堆積される実施形態では、双極子領域128をもつゲートスタックは、約8.6Åから9Å未満までの範囲内のEOTを有する。
図1Fを参照すると、高誘電率金属酸化物層120中に双極子膜125のドーパントを動かして双極子領域128を形成した後に、犠牲シール層150は除去され、その後に、キャッピング層130の除去が続く。その結果は、基板110上の界面層115上の双極子領域128とともに高誘電率金属酸化物層120をもつデバイス100である。
1Gを参照すると、いくつかの実施形態では、第2のキャッピング層160、たとえば、高誘電率金属酸化物キャッピング層が、基板上に形成され得、金属ゲート仕事関数層140が、第2のキャッピング層160上に形成される。他の実施形態では、第2のキャッピング層160は存在しない。本明細書で使用される、金属ゲート仕事関数層140は、「PMOS仕事関数材料」と呼ばれることもある。
高誘電率金属酸化物層120は、基板110から金属ゲート仕事関数層140を電気的に絶縁する。高誘電率金属酸化物層120と金属ゲート仕事関数層140とは合わせて、本明細書では高誘電率金属ゲートスタックと呼ばれることがある。
PMOS仕事関数材料140は、任意の好適な材料を含み得る。PMOS仕事関数材料140は、任意の好適な厚さを有し得る。いくつかの実施形態では、PMOS仕事関数材料140の厚さは、5Å以上~50Å以下の範囲内にある。いくつかの実施形態では、金属ゲート仕事関数層の厚さは、約15Åであり、これは、15ű10%、15ű5%、および/または15ű1%を含む。いくつかの実施形態では、金属ゲート仕事関数層の厚さは、約25Åであり、これは、25ű10%、25ű5%、および/または25ű1%を含む。他の実施形態では、金属ゲート仕事関数層の厚さは、約40Åであり、これは、40ű10%、40ű5%、および/または40ű1%を含む。
いくつかの実施形態では、金属ゲートスタックデバイス100は、ゲート電極180をさらに備える。ゲート電極180は、複数の層を含み得る。いくつかの実施形態では、ゲート電極180は、TiAlを含む第1の層と、TiNを含む第2の層とを含む。いくつかの実施形態では、第1の層は、20Å以上~50Å以下の厚さを有する。いくつかの実施形態では、第1の層は、約25Åの厚さを有し、これは、25ű10%を含む。いくつかの実施形態では、第1の層は、約40Åの厚さを有し、これは、40ű10%を含む。いくつかの実施形態では、第2の層は、約500Åの厚さを有し、これは、500ű10%、500ű5%、および/または500ű1%を含む。第1の層および第2の層は、任意の好適な方法によって堆積され得る。
図2を参照すると、本開示の別の実施形態は、ゲートスタックを形成する方法200に関する。方法200は、動作210において、処理チャンバに基板を随意に提供することによって、開始する。
動作215において、界面層が、基板上に形成される。界面層の形成は、亜酸化窒素(NO)ガスを利用する強化インシトゥ蒸気生成(eISSG:enhanced in situ steam generation)プロセスなど、好適な熱酸化プロセスを含み得る。1つまたは複数の実施形態では、界面層(たとえば、図1の115)は、酸化ケイ素の1つまたは複数の単層に対応する、約3Åから約10Åの間、たとえば、約5Åの厚さを有する、薄いアモルファス酸化ケイ素(SiO)層である。いくつかの実施形態では、界面層は、HおよびOガスを利用するインシトゥ蒸気生成(ISSG)プロセスによって、あるいはNHおよびOガスを利用する急速熱酸化(RTO)プロセスによって、あるいは、湿式化学酸化プロセス(たとえば、NHOH(水酸化アンモニウム)とH(過酸化水素)とHO(水)とを含む、標準洗浄1(SC1:Standard Clean 1)ソリューション)、またはオゾン(O)湿式化学プロセスによって、形成され得る。界面層は、その上に堆積されるべき高誘電率ゲート誘電体層(たとえば、図1の120)の核形成層として働き得る。
動作220において、高誘電率金属酸化物層が、界面層上に堆積される。高誘電率ゲート金属酸化物層は、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、酸化イッテルビウム(Y)、酸化アルミニウム(Al)、第3の要素が、HfZrO、HfLaOx、HfTiOなど、既存の金属酸化物高誘電率誘電体ホスト材料中にドープされた3元高誘電率誘電体膜など、高誘電率誘電体材料から形成され得る。堆積プロセスは、金属含有前駆体と酸素含有前駆体とが交互に界面層に供給される、原子層堆積(ALD)プロセスを含み得る。いくつかの実施形態では、金属含有前駆体は、酸素含有前駆体を供給するより前に、パージされる。金属は、ハフニウム(Hf)、ジルコニウム(Zr)、またはチタン(Ti)など、遷移金属、ランタン(La)、イッテルビウム(Yb)、またはイットリウム(Y)など、希土類金属、ストロンチウム(Sr)などのアルカリ土類金属、あるいはアルミニウム(Al)などの他の金属であり得る。酸化剤について、金属と反応し得る任意の酸素含有前駆体が使用され得る。たとえば、酸素含有前駆体は、水、二原子酸素、オゾン、ヒドロキシル含有前駆体もしくはアルコール、窒素-酸素含有前駆体、局所的にもしくは遠隔的に強化された酸素を含むプラズマ強化酸素、または界面層の上に金属の酸化物の層を作り出すために金属とともに組み込まれ得る酸素を含む任意の他の材料であるか、あるいはそれを含み得る。一例では、二酸化ハフニウム(HfO)層を形成するために、金属含有前駆体は四塩化ハフニウム(HfCl)であり、酸化剤は水(HO)である。ALDプロセスは、200℃から約400℃の間、たとえば、約270℃の温度において、実施され得る。ALDプロセスによって堆積される金属酸化物層は、アモルファスであり、約10Åから約30Åの間の厚さを有し得る。
動作230において始まり、基板は、処理チャンバから除去されない。システムは、基板が、湿気、水(HO)、または酸素(O)を含んでいる雰囲気にさらされないように統合される。動作230において、双極子膜が、高誘電率金属酸化物層上に準備される。1つまたは複数の実施形態では、双極子膜は、双極子金属の、窒化物、炭化物、酸化物、炭窒化物、またはそれらの組合せを含む。1つまたは複数の実施形態では、双極子金属は、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物を含む。
1つまたは複数の実施形態では、双極子膜は、亜鉛含有膜、バナジウム含有膜、タングステン含有膜、モリブデン含有膜、ルテニウム含有膜、タンタル含有膜、チタン含有膜、ジルコニウム含有膜、アルミニウム含有膜、またはニオブ含有膜のうちの1つまたは複数である。双極子膜は、350℃~500℃の範囲内の基板温度において、原子層堆積(ALD)によって堆積され得る。ALDサイクルは、所望の厚さ、たとえば、5Å~10Åの双極子金属含有膜を獲得するために、繰り返され得る。いくつかの実施形態では、双極子膜の堆積は、高誘電率ゲート誘電体層のさらされた表面全体にわたる双極子膜のブランケット堆積と、双極子膜をパターニングするための(すなわち、双極子膜を、半導体構造のいくつかの領域において形成し、半導体構造のいくつかの他の領域において形成しないための)後続のリソグラフィおよびエッチングプロセスとによって行われる。(動作250における)後続の熱処理、たとえば、アニールプロセスでは、双極子膜からのドーパント種、たとえば、Zn、V、W、Mo、Ru、Ta、Ti、Al、Zr、またはNbが、下にある高誘電率ゲート誘電体層中に拡散され、組み込まれて、双極子領域を形成する。
1つまたは複数の実施形態では、双極子膜は、350℃~500℃の範囲内の第1の基板温度において、原子層堆積を使用して、基板の表面を、双極子金属を含む第1の前駆体に、および随意に、窒素、酸素、または炭素を含む第2の前駆体にさらすことによって、高誘電率金属酸化物層上に準備される。概して、任意の好適な双極子金属前駆体が使用され得る。NbN膜の場合、たとえば、ニオブ前駆体は、限定はしないが、NbCl、NbB、NbBr、NbI、NbF、有機ニオブ化合物、およびそれらの組合せを含むことができる。
1つまたは複数の実施形態では、第2の前駆体は、限定はしないが、NH、N、N、N、窒素含有プラズマ、およびそれらの組合せを含むことができる。
1つまたは複数の実施形態では、第2の前駆体は、HOと、Hと、Oと、エタノールと、それらの組合せとからなるグループから選択された、第2の化合物を含む。1つまたは複数の実施形態では、第2の前駆体は、CHと、エタノールと、Hとからなるグループから選択された、第2の化合物を含む。1つまたは複数の実施形態では、第2の前駆体は、NHと、CHと、エタノールと、Hとからなるグループから選択された、2つまたはそれ以上の第2の化合物の組合せを含む。
動作240において、キャッピング層が、双極子膜上に堆積される。1つまたは複数の実施形態では、キャッピング層は、原子層堆積(ALD)によって堆積される。1つまたは複数の実施形態では、キャッピング層は、窒化チタン(TiN)を含む。TiNを堆積させるための例示的なプロセスは、TiN膜を提供するために、基板を、Tiを含む第1の前駆体にさらし、次いで、窒素源を含む第2の前駆体にさらすことを含む。いくつかの実施形態では、基板は、所定の膜厚さを獲得するために、繰り返し、前駆体にさらされる。いくつかの実施形態では、基板は、ALDプロセス中に、約200℃~約700℃の温度に維持される。
動作245において、犠牲シール層が、基板上に堆積される。1つまたは複数の実施形態では、犠牲シール層は、アモルファスシリコン(a-Si)材料を含む。犠牲シール層は、動作250における後続の熱処理プロセス中に、下にある高誘電率金属酸化物層および第1のキャッピング層を物理的におよび化学的に保護し得る。犠牲シール層は、水素化アモルファスシリコン(a-Si:H)など、アモルファスシリコンから形成され得る。アモルファスシリコンは、拡散のための経路を導く粒界を含む多結晶シリコンと比較して、原子のより少ない拡散を提供し得る。
犠牲シール層は、第1のキャッピング層がその上に形成された半導体構造が、シリコン前駆体にさらされる、原子層堆積(ALD)プロセスまたは化学気相堆積(CVD)プロセスによって形成され得る。シリコン前駆体の例は、ポリシラン(Si)である。たとえば、ポリシランは、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、イソテトラシラン、ネオペンタシラン(Si12)、シクロペンタシラン(Si10)、ヘキサシラン(C14)、シクロヘキサシラン(Si12)、または、概して、x=2以上のSi、およびそれらの組合せを含む。犠牲シール層は、約5Åから約50Åの間の厚さを有し得る。
動作250において、基板は、高誘電率金属酸化物層中に双極子膜を動かすために、および高誘電率金属酸化物層中に双極子領域を形成するために、熱処理される。熱処理は、双極子金属ドーパント種、たとえば、Zn、V、W、Mo、Ru、Ta、Ti、Zr、Al、またはNb、あるいはそれらの混合物が、下にある高誘電率ゲート金属酸化物層中に拡散することを引き起こすために実施される。1つまたは複数の実施形態では、動作250の熱処理は、第1のキャッピング層を硬化させ、高密度化するために実施される、ポストキャップアニール(PCA:post cap anneal)プロセスを含む。堆積時の第1のキャッピング層と堆積時の犠牲シリコンキャップ層との結晶化が起こり得る。PCAプロセスは、アニールプロセスを含み得る。アニールプロセスは、カリフォルニア州サンタクララに位置する、Applied Materials,Inc.から入手可能なRADOX(商標)チャンバなど、急速熱処理(RTP)チャンバにおいて実施される、窒素(N)およびアルゴン(Ar)環境におけるなど、不活性環境における熱アニールプロセスを含み得る。
動作250の熱処理は、約600℃から約1000℃の間、たとえば、約900℃の温度において、および約0.1トールから100トールの間の圧力において、約1秒から約30秒の間、実施され得る。
動作260において、基板は、処理チャンバから除去され得、湿気、水、または酸素にさらされ得る。1つまたは複数の実施形態によれば、動作260において、犠牲シール層と、キャッピング層とともに双極子膜の残りの部分とが除去される。除去プロセスは、ドライプラズマエッチングプロセスを含み得る。ドープされた領域をもつ高誘電率金属酸化物層を含む、得られた構造は、次いで、所望の適用例に合うようにさらに処理され得る。
本明細書の態様は、金属ゲートスタックを形成する方法であって、方法が、基板の表面上に界面層を準備することと、界面層上に高誘電率金属酸化物層を堆積させることと、350℃~500℃の範囲内の第1の基板温度において、原子層堆積を使用して、基板の表面を、双極子金属、たとえば、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物を含む第1の前駆体に、および随意に、窒素、酸素、または炭素を含む第2の前駆体にさらすことによって、高誘電率金属酸化物層上に双極子膜を準備することと、基板上に第1のキャッピング層を堆積させることと、基板上にアモルファスシリコン層を堆積させることと、高誘電率金属酸化物層中に双極子膜を動かすために、および界面層に隣接して双極子金属を含む双極子領域を形成するために、基板を、少なくとも700℃の第2の基板温度において熱処理にさらすこととを含む、方法を含む。
1つまたは複数の実施形態では、方法は、犠牲シール層を除去することと、双極子膜の残りの部分を除去することと、第1のキャッピング層を除去することとをさらに含む。
図3を参照すると、本開示の別の実施形態は、金属ゲートスタックデバイスを形成する方法300に関する。方法300は、310において、第1の処理チャンバ内に基板を随意に提供することによって、開始する。動作315において、界面層が、基板上に形成され、図2に関して説明された動作215に従う。動作320において、高誘電率金属酸化物層が、界面層上に堆積され、図2に関して説明された動作220に従う。動作330において、双極子膜が、高誘電率金属酸化物層上に準備され、図2に関して説明された動作230に従う。動作340において、キャッピング層が、双極子膜上に堆積され、図2に関して説明された動作240に従う。
1つまたは複数の実施形態では、キャッピング層は、同じ処理(第1の)チャンバが動作330と動作340の両方のために使用されるという点で、インシトゥで双極子膜上に堆積される。
動作345において、犠牲シール層が、基板上に堆積され、図2に関して説明された動作245に従う。1つまたは複数の実施形態では、犠牲シール層は、アモルファスシリコン(a-Si)材料を含む。
動作350において、基板は、高誘電率金属酸化物層中に双極子膜を動かすために、および高誘電率金属酸化物層中に双極子領域を形成するために、熱処理される。
基板を熱処理する堆積の後に、1つまたは複数の実施形態によれば、基板は、動作360のために、異なる(第2の)処理チャンバに移送され得る。いくつかの実施形態では、第1の処理チャンバと第2の処理チャンバとは統合される。いくつかの実施形態では、方法300は、真空を破壊することなしに、または周囲空気にさらすことなしに、実施される。
動作360において、犠牲シール層と、キャッピング層とともに双極子膜の残りの部分とが除去される。その後、動作370において、別の(第2の)キャッピング層が、高誘電率金属酸化物層上に堆積され得る。いくつかの実施形態では、第2のキャッピング層を堆積させることは随意である。動作380において、PMOS仕事関数材料が、第2のキャッピング層上に、またはキャッピング層が存在しない場合は高誘電率金属酸化物層上に、堆積され得る。動作390において、ゲート材料が、PMOS仕事関数材料上に堆積される。
多くの前駆体が、本発明の範囲内に入る。前駆体は、周囲温度および圧力において、プラズマ、ガス、液体または固体であり得る。しかしながら、ALDチャンバ内で、前駆体は揮発させられる。有機金属化合物または錯体は、金属と、アルキル、アルコキシル、アルキルアミド、およびアニリドなど、少なくとも1つの有機基とを含んでいる何らかの化学物質を含む。前駆体は、有機金属化合物と、無機/ハロゲン化物化合物とから構成され得る。
概して、任意の好適なチタン前駆体が、キャッピング層のために使用され得る。したがって、チタン前駆体は、限定はしないが、TiCl、TiBr、TiI、TiF、テトラキスジメチルアミノチタンを含むことができる。さらに、任意の好適な窒素源前駆体が使用され得る。例は、限定はしないが、窒素ガス、アンモニアガス、NまたはNを含む。
本明細書の態様は、金属ゲートスタックを製造する方法であって、方法が、第1の処理チャンバ中で基板の表面上に界面層を準備することと、第1の処理チャンバ内で界面層上に高誘電率金属酸化物層を堆積させることと、350℃~500℃の範囲内の第1の基板温度において、原子層堆積を使用して、第1の処理チャンバ内で、基板の表面を、双極子金属、たとえば、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物を含む第1の前駆体に、および随意に、窒素、酸素、または炭素を含む第2の前駆体にさらすことによって、高誘電率金属酸化物層上に双極子膜を準備することと、第1の処理チャンバ内で基板上に第1のキャッピング層を堆積させることと、基板上に犠牲シール層を形成することと、高誘電率金属酸化物層中に双極子膜を動かすために、および、界面層に隣接して、双極子金属、たとえば、Zn、V、W、Mo、Ru、Ta、Ti、Zr、またはNbを含む双極子領域を形成するために、基板を、700℃~1050℃の範囲内の第2の基板温度において熱処理にさらすことと、第2の処理チャンバに基板を移送することと、犠牲シール層を除去すること、第1のキャッピング層を除去すること、および双極子膜の残りの部分を除去することとを含む、方法を対象とする。
1つまたは複数の実施形態では、方法は、基板上に第2のキャッピング層を堆積させることをさらに含む。
1つまたは複数の実施形態では、方法は、基板上にPMOS仕事関数材料を堆積させることをさらに含む。
1つまたは複数の実施形態では、方法は、基板上にゲート材料を堆積させることをさらに含む。
1つまたは複数の実施形態では、第1のキャッピング層は窒化チタン(TiN)を含み、高誘電率金属酸化物層は酸化ハフニウム(HfO)を含み、界面層は二酸化ケイ素(SiO)を含む。
1つまたは複数の実施形態では、方法は、第2のキャッピング層がTiNを含むことをさらに含む。
1つまたは複数の実施形態では、界面層を準備することは、高誘電率金属酸化物層を堆積させる前に基板の表面を酸化させることを含む。
基板が前駆体にさらされる順序は、変動され得る。さらすことは、堆積サイクルで繰り返し得る。さらに、前駆体にさらすことは、単一の堆積サイクル内で繰り返され得る。
本開示の方法は、同じチャンバ中で、または1つまたは複数の別個の処理チャンバ中で実施され得る。いくつかの実施形態では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバに移動される。基板は、第1のチャンバから別個の処理チャンバに直接移動され得るか、または、基板は、第1のチャンバから1つまたは複数の移送チャンバに移動され、次いで、別個の処理チャンバに移動され得る。したがって、好適な処理装置が、移送ステーションと通信している複数のチャンバを備え得る。この種の装置は、「クラスタツール」または「クラスタ化されたシステム」などと呼ばれることがある。
概して、クラスタツールは、基板中心測定および配向(substrate center-finding and orientation)、アニーリング、堆積および/またはエッチングを含む、様々な機能を実施する複数のチャンバを備えるモジュラーシステムである。1つまたは複数の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバとを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復させることができるロボットを収納し得る。移送チャンバは、一般に、真空条件において維持され、あるチャンバから、別のチャンバに、および/またはクラスタツールの前端に配置されたロードロックチャンバに、基板を往復させるための中間ステージを提供する。本開示のために適応され得る2つのよく知られているクラスタツールは、両方ともカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能な、Centura(登録商標)およびEndura(登録商標)である。しかしながら、チャンバの厳密な配置および組合せは、本明細書で説明されるプロセスの特定のステップを実施する目的で変えられ得る。使用され得る他の処理チャンバは、限定はしないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、アニール、配向、ヒドロキシル化、および他の基板プロセスを含む。クラスタツール上のチャンバ中でプロセスを行うことによって、大気不純物による基板の表面汚染が、後続の膜を堆積させるより前の酸化なしに、回避され得る。
いくつかの実施形態では、第1の処理チャンバと第2の処理チャンバとは、同じクラスタ化された処理ツールの一部である。したがって、いくつかの実施形態では、方法は、インシトゥ統合方法である。
いくつかの実施形態では、第1の処理チャンバと第2の処理チャンバとは、異なる処理ツールである。したがって、いくつかの実施形態では、方法は、エクスシトゥ統合方法である。
1つまたは複数の実施形態によれば、基板は、連続的に真空または「ロードロック」条件下にあり、あるチャンバから次のチャンバに移動されているとき、周囲空気にさらされない。移送チャンバは、したがって、真空下にあり、真空圧下で「ポンプダウン」される。不活性ガスが、処理チャンバまたは移送チャンバ中に存在し得る。いくつかの実施形態では、不活性ガスは、反応物の一部または全部を除去するためのパージガスとして使用される。1つまたは複数の実施形態によれば、パージガスは、反応物が堆積チャンバから移送チャンバおよび/または追加の処理チャンバに移動するのを防ぐために、堆積チャンバの出口において注入される。したがって、不活性ガスの流れは、チャンバの出口においてカーテンを形成する。
基板は、単一基板堆積チャンバ中で処理され得、単一の基板が、ロードされ、処理され、別の基板が処理される前にアンロードされる。基板はまた、コンベヤシステムと同様に、連続的に処理され得、複数の基板が、チャンバの第1の部分に個々にロードされ、チャンバを通って移動し、チャンバの第2の部分からアンロードされる。チャンバおよび関連するコンベヤシステムの形は、直線経路または湾曲した経路を形成することができる。さらに、処理チャンバは、カルーセルであり得、複数の基板が、中心軸を中心として移動され、カルーセル経路全体を通して、堆積、エッチング、アニーリング、および/または洗浄プロセスにさらされる。
基板はまた、処理中に、静止しているか、または回転され得る。回転する基板は、連続的にまたは慎重なステップで回転され得る。たとえば、基板は、プロセス全体を通して回転され得るか、あるいは、基板は、異なる反応性またはパージガスにさらすことの間に小さい量だけ回転され得る。(連続的にまたは段階的にのいずれかで)処理中に基板を回転させることは、たとえば、ガス流形状の局所変動性の影響を最小限に抑えることによって、より均一な堆積またはエッチングを作り出すのを助け得る。
原子層堆積タイプチャンバでは、基板は、空間的に分離されたプロセスまたは時間的に分離されたプロセスのいずれかによって、第1の前駆体と第2の前駆体とにさらされ得る。時間的ALDは、第1の前駆体がチャンバ中に流れて表面と反応する、旧来のプロセスである。第1の前駆体は、第2の前駆体を流す前に、チャンバからパージされる。空間的ALDでは、第1の前駆体と第2の前駆体の両方が、同時にチャンバに流されるが、前駆体の混合を防ぐ領域がそれらの流れの間にあるように、空間的に分離される。空間的ALDでは、基板はガス分配プレートに対して移動され、またはその逆も同様である。
方法の部分のうちの1つまたは複数が1つのチャンバ中で行われる実施形態では、プロセスは、空間的ALDプロセスであり得る。上記で説明された化学的性質のうちの1つまたは複数が適合性がない(すなわち、基板表面上以外での反応、および/またはチャンバ上への堆積を生じる)ことがあるが、空間的分離は、試薬がガス相中で各々にさらされないことを確実にする。たとえば、時間的ALDは、堆積チャンバをパージすることを伴う。しかしながら、実際には、追加の試薬を流入する前にチャンバの中から過剰な試薬をパージすることが可能でないことがある。したがって、チャンバ中の残りの試薬が反応し得る。空間的分離では、過剰な試薬がパージされる必要がなく、相互汚染が限定される。さらに、チャンバをパージするために多くの時間が使用され得、したがって、パージステップをなくすことによってスループットが増加され得る。
図4を参照すると、本開示の追加の実施形態は、説明される方法を実施するために1つまたは複数の実施形態の処理チャンバとともに使用され得る処理ツール900を対象とする。
クラスタツール900は、複数の側面をもつ少なくとも1つの中央移送ステーション921、931を含む。ロボット925、935が、中央移送ステーション921、931内に配置され、ロボットブレードおよびウエハを複数の側面の各々に移動させるように構成される。
クラスタツール900は、中央移送ステーションに接続された、プロセスステーションとも呼ばれる複数の処理チャンバ902、904、906、908、910、912、914、916、および918を備える。様々な処理チャンバは、隣接するプロセスステーションから隔離された別個の処理領域を提供する。処理チャンバは、限定はしないが、前洗浄チャンバ、バッファチャンバ、(1つまたは複数の)移送スペース、ウエハオリエンタ/ガス抜きチャンバ、冷却チャンバ、堆積チャンバ、アニーリングチャンバ、エッチングチャンバ、熱処理(RTP)チャンバ、プラズマ酸化チャンバ、プラズマ窒化チャンバ、原子層堆積(ALD)チャンバを含む、任意の好適なチャンバであり得る。プロセスチャンバおよび構成要素の特定の配置は、クラスタツールに応じて変動され得、本開示の範囲を限定するものとしてとられるべきではない。
図4に示されている実施形態では、ファクトリインターフェース950が、クラスタツール900の前面に接続される。ファクトリインターフェース950は、ファクトリインターフェース950の前面951上にローディングチャンバ954とアンローディングチャンバ956とを含む。ローディングチャンバ954が左側に示されており、アンローディングチャンバ956が右側に示されているが、当業者は、これが1つの可能な構成を表すにすぎないことを理解するであろう。
ローディングチャンバ954およびアンローディングチャンバ956のサイズおよび形は、たとえば、クラスタツール900中で処理される基板に応じて、変動することができる。図示の実施形態では、ローディングチャンバ954およびアンローディングチャンバ956はウエハカセットを保持するようにサイズ決定され、複数のウエハがそのカセット内に配置される。
ロボット952が、ファクトリインターフェース950内にあり、ローディングチャンバ954とアンローディングチャンバ956との間を移動することができる。ロボット952は、ファクトリインターフェース950を通してローディングチャンバ954中のカセットからロードロックチャンバ960にウエハを移送することが可能である。ロボット952は、ファクトリインターフェース950を通してロードロックチャンバ962からアンローディングチャンバ956中のカセットにウエハを移送することが可能である。当業者によって理解されるように、ファクトリインターフェース950は、2つ以上のロボット952を有することができる。たとえば、ファクトリインターフェース950は、ローディングチャンバ954とロードロックチャンバ960との間でウエハを移送する第1のロボットと、ロードロック962とアンローディングチャンバ956との間でウエハを移送する第2のロボットとを有し得る。
図示のクラスタツール900は、第1のセクション920と第2のセクション930とを有する。第1のセクション920は、ロードロックチャンバ960、962を通してファクトリインターフェース950に接続される。第1のセクション920は、少なくとも1つのロボット925がその中に配置された第1の移送チャンバ921を含む。ロボット925は、ロボットウエハ移送機構とも呼ばれる。第1の移送チャンバ921は、ロードロックチャンバ960、962、プロセスチャンバ902、904、916、918、およびバッファチャンバ922、924に対して中央に位置する。いくつかの実施形態のロボット925は、一度に2つ以上のウエハを独立して移動させることが可能なマルチアームロボットである。1つまたは複数の実施形態では、第1の移送チャンバ921は、2つ以上のロボットウエハ移送機構を備える。第1の移送チャンバ921中のロボット925は、第1の移送チャンバ921の周りのチャンバ間でウエハを移動させるように構成される。個々のウエハが、第1のロボット機構の遠位端に位置するウエハ輸送ブレード上に搬送される。
第1のセクション920中のウエハを処理した後に、ウエハは、パススルーチャンバを通して第2のセクション930にパスされ得る。たとえば、チャンバ922、924は、単方向性または双方向性パススルーチャンバであり得る。パススルーチャンバ922、924は、たとえば、第2のセクション930において処理する前に、ウエハを冷却するために、または第1のセクション920に移動して戻る前に、ウエハ冷却または後処理を可能にするために使用され得る。
システムコントローラ990が、第1のロボット925、第2のロボット935、第1の複数の処理チャンバ902、904、916、918および第2の複数の処理チャンバ906、908、910、912、914と通信している。システムコントローラ990は、処理チャンバおよびロボットを制御することができる任意の好適な構成要素であり得る。たとえば、システムコントローラ990は、中央処理ユニットと、メモリと、好適な回路と、ストレージとを含むコンピュータであり得る。
プロセスは、概して、プロセッサによって実行されたとき、プロセスチャンバに本開示のプロセスを実施させるソフトウェアルーチンとして、システムコントローラ990のメモリに記憶され得る。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって、記憶および/または実行され得る。また、本開示の方法の一部または全部が、ハードウェアで実施され得る。したがって、プロセスは、ソフトウェアで実装され、コンピュータシステムを使用して、たとえば、特定用途向け集積回路または他のタイプのハードウェア実施態様としての、あるいはソフトウェアとハードウェアとの組合せとしてのハードウェアで実行され得る。ソフトウェアルーチンは、プロセッサによって実行されたとき、汎用コンピュータを、プロセスが実施されるようにチャンバ動作を制御する特定目的コンピュータ(コントローラ)に変換する。
いくつかの実施形態のコントローラ990は、複数の処理チャンバと計測ステーション(metrology station)との間でロボット上の基板を移動させるための構成、システムからの基板をロードおよび/またはアンロードするための構成、基板の表面上に界面層を形成するための構成、高誘電率金属酸化物層を堆積させるための構成、双極子金属(たとえば、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物)膜を堆積させるための構成、第1のキャッピング層を堆積させるための構成、犠牲シール層を堆積させるための構成、基板を熱処理し、高誘電率金属酸化物層中に双極子含有膜を動かすための構成、犠牲シール層を除去するための構成、残りの双極子含有膜を除去するための構成、第1のキャッピング層を除去するための構成、第2のキャッピング層を堆積させるための構成、PMOS仕事関数材料を堆積させるための構成、ならびに/あるいはゲート電極を堆積させるための構成から選択された、1つまたは複数の構成を有する。
1つまたは複数の実施形態では、第1の処理チャンバ910が、基板上に界面層を形成するための熱酸化のために構成され得る。基板は、ロボット931がパススルー922を通過することによって、処理チャンバ910におよび処理チャンバ910から移動され得る。
処理チャンバ908も、移送ステーション931に接続され得る。いくつかの実施形態では、処理チャンバ908は、高誘電率ゲート金属酸化物層を堆積させるための原子層堆積チャンバを備え、処理チャンバ908に反応性ガスの流れを提供するための1つまたは複数の反応性ガス源と流体連結している。いくつかの実施形態では、処理チャンバ906は、犠牲シール層を堆積させるための原子層堆積チャンバを備え、処理チャンバ906に反応性ガスの流れを提供するための1つまたは複数の反応性ガス源と流体連結している。いくつかの実施形態では、処理チャンバ906は、双極子膜を堆積させるための原子層堆積チャンバを備え、処理チャンバ906に反応性ガスの流れを提供するための1つまたは複数の反応性ガス源と流体連結している。いくつかの実施形態では、処理チャンバ908は、高誘電率ゲート金属酸化物キャッピング層を堆積させるための原子層堆積チャンバを備え、処理チャンバ908に反応性ガスの流れを提供するための1つまたは複数の反応性ガス源と流体連結している。基板は、ロボット931によって、処理チャンバ908におよび処理チャンバ908から移動され得る。
いくつかの実施形態では、処理チャンバ904が、移送ステーション921に接続され、基板を熱処理するように構成される。
いくつかの実施形態では、他の処理チャンバは、犠牲シール層を除去すること、残りの双極子膜を除去すること、および第1のキャッピング層を除去することと、第2の高誘電率キャッピング層を堆積させることと、PMOS仕事関数材料を堆積させることと、ゲート電極材料を堆積させることとを含む、処理方法のさらなる部分を実施するように構成され得る。当業者は、ツール上の個々の処理チャンバの数および配置が変動され得ることと、図4に示されている実施形態が1つの可能な構成を表すにすぎないこととを認識されよう。
いくつかの実施形態では、処理システム900は、1つまたは複数の計測ステーションを含む。たとえば、計測ステーションは、移送ステーション931、921内に、または個々の処理チャンバのいずれか内に位置し得る。計測ステーションは、基板を酸化環境にさらすことなしに、凹部の距離が測定されることを可能にする、システム900内の任意の位置にあり得る。
本明細書で説明される材料および方法について説明する文脈において(特に、以下の特許請求の範囲の文脈において)、「1つの(a)」および「1つの(an)」ならびに「その(the)」という用語と、類似の指示物の使用は、本明細書で別段に示されていない限り、または文脈によって明確に否定されていない限り、単数と複数の両方をカバーすると解釈されるべきである。本明細書における値の範囲の具陳は、本明細書で別段に示されていない限り、範囲内に入る各別個の値を個々に指す略記法として働くことを意図するにすぎず、各個別の値は、本明細書で個々に具陳されているかのように本明細書に組み込まれる。本明細書で説明されるすべての方法は、本明細書で別段に示されていない限り、またはさもなければ文脈によって明確に否定されていない限り、任意の好適な順序で実施され得る。本明細書で提供される任意のおよびすべての例または例示的な言葉(たとえば、「など(such as)」)の使用は、単に、材料および方法をより良く説明することが意図されており、別段に主張されない限り、範囲に限定を課さない。本明細書中の言葉は、主張されていない要素を、開示された材料および方法の実践にとって必須のものとして示すものとして解釈されるべきではない。
本明細書全体にわたる、「一実施形態(one embodiment)」、「いくつかの実施形態(certain embodiments)」、「1つまたは複数の実施形態(one or more embodiments)」または「一実施形態(an embodiment)」への言及は、その実施形態に関して説明される特定の特徴、構造、材料、または特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体にわたる様々な箇所における「1つまたは複数の実施形態では(in one or more embodiments)」、「いくつかの実施形態では(in certain embodiments)」または「一実施形態では(in an embodiment)」などの句の出現は、必ずしも本開示の同じ実施形態を指しているとは限らない。さらに、特定の特徴、構造、材料、または特性が、1つまたは複数の実施形態において任意の好適な様式で組み合わせられ得る。
本明細書の開示は、特定の実施形態を参照しながら説明されているが、当業者は、説明される実施形態が、本開示の原理および適用例を示すにすぎないことを理解するであろう。本開示の趣旨および範囲から逸脱することなく、本開示の方法および装置に対して様々な修正および変形が行われ得ることが、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲およびそれらの等価物の範囲内にある修正および変形を含むことができる。

Claims (20)

  1. 金属ゲートスタックを形成する方法であって、前記方法が、
    基板の表面上に界面層を形成することと、
    前記界面層上に高誘電率金属酸化物層を堆積させることと、
    前記高誘電率金属酸化物層上に双極子膜を形成することと、
    前記基板上に第1のキャッピング層を堆積させることと、
    前記第1のキャッピング層上に犠牲シール層を形成することと、
    前記高誘電率金属酸化物層中に前記双極子膜を動かすために、および双極子領域を形成するために、前記基板を、少なくとも700℃の温度において熱処理にさらすことと、
    前記犠牲シール層を除去することと
    を含む、方法。
  2. 前記犠牲シール層が、アモルファスシリコン(a-Si)を含む、請求項1に記載の方法。
  3. 前記犠牲シール層が、5Åから50Åまでの範囲内の厚さを有する、請求項1に記載の方法。
  4. 前記双極子膜が、双極子金属の、窒化物、炭化物、酸化物、炭窒化物、またはそれらの組合せを含む、請求項1に記載の方法。
  5. 前記双極子金属が、亜鉛(Zn)、バナジウム(V)、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、ジルコニウム(Zr)、アルミニウム(Al)、ニオブ(Nb)、またはそれらの混合物を含む、請求項4に記載の方法。
  6. 前記双極子膜の残りの部分と前記第1のキャッピング層とを除去することをさらに含む、請求項1に記載の方法。
  7. 前記基板上に第2のキャッピング層を堆積させることをさらに含む、請求項6に記載の方法。
  8. 前記第1のキャッピング層が、窒化チタン(TiN)または窒化チタンシリコン(TiSiN)を含む、請求項1に記載の方法。
  9. 前記高誘電率金属酸化物層が、酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)、酸化ハフニウムジルコニウム(HfZrO)、酸窒化ハフニウムジルコニウム(HfZrON)、酸化ハフニウムシリコン(HfSiO)、および酸窒化ハフニウムシリコン(HfSiON)のうちの1つまたは複数を含む、請求項1に記載の方法。
  10. 前記界面層が、二酸化ケイ素(SiO)、酸化シリコンゲルマニウム(SiGeO)、酸化ゲルマニウム(GeO)、または酸窒化ケイ素(SiON)のうちの1つまたは複数を含む、請求項1に記載の方法。
  11. 前記基板上にPMOS仕事関数材料を堆積させることをさらに含む、請求項7に記載の方法。
  12. 前記基板上にゲート材料を堆積させることをさらに含む、請求項11に記載の方法。
  13. 金属ゲートスタックから酸化剤を取り除く方法であって、前記方法は、
    前記金属ゲートスタック上に犠牲シール層を形成することであって、前記金属ゲートスタックが、基板の表面上の界面層上の高誘電率金属酸化物層上の双極子膜上の第1のキャッピング層を含む、犠牲シール層を形成することと、
    前記高誘電率金属酸化物層中に前記双極子膜を動かすために、および双極子領域を形成するために、前記金属ゲートスタックを、少なくとも700℃の温度において熱処理にさらすことと、
    前記犠牲シール層を除去することと
    を含む、方法。
  14. 前記犠牲シール層が、アモルファスシリコン(a-Si)を含む、請求項13に記載の方法。
  15. 前記犠牲シール層が、5Åから50Åまでの範囲内の厚さを有する、請求項13に記載の方法。
  16. 前記双極子膜の残りの部分と前記第1のキャッピング層とを除去することをさらに含む、請求項13に記載の方法。
  17. 前記基板上に第2のキャッピング層を堆積させることをさらに含む、請求項13に記載の方法。
  18. 前記基板上にPMOS仕事関数材料を堆積させることをさらに含む、請求項17に記載の方法。
  19. 前記基板上にゲート材料を堆積させることをさらに含む、請求項18に記載の方法。
  20. 前記金属ゲートスタックの等価酸化物厚さが、その上に犠牲シール層を有しない金属ゲートスタックの前記等価酸化物厚さよりも2Å未満大きい、請求項13に記載の方法。
JP2023572686A 2021-05-28 2022-05-27 アモルファスシリコンベース取り除きおよびシールeot Pending JP2024520404A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202163194628P 2021-05-28 2021-05-28
US63/194,628 2021-05-28
US17/347,786 2021-06-15
US17/347,786 US20220254640A1 (en) 2021-02-08 2021-06-15 Amorphous Silicon-Based Scavenging And Sealing EOT
PCT/US2022/031236 WO2022251562A1 (en) 2021-05-28 2022-05-27 Amorphous silicon-based scavenging and sealing eot

Publications (1)

Publication Number Publication Date
JP2024520404A true JP2024520404A (ja) 2024-05-24

Family

ID=84230273

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023572686A Pending JP2024520404A (ja) 2021-05-28 2022-05-27 アモルファスシリコンベース取り除きおよびシールeot

Country Status (5)

Country Link
JP (1) JP2024520404A (ja)
KR (1) KR20240013219A (ja)
CN (1) CN117397039A (ja)
TW (1) TW202301484A (ja)
WO (1) WO2022251562A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7821081B2 (en) * 2008-06-05 2010-10-26 International Business Machines Corporation Method and apparatus for flatband voltage tuning of high-k field effect transistors
CN101964345B (zh) * 2009-07-22 2013-11-13 中国科学院微电子研究所 控制阈值电压特性的CMOSFETs器件结构及其制造方法
US8674457B2 (en) * 2010-08-11 2014-03-18 Globalfoundries Singapore Pte., Ltd. Methods to reduce gate contact resistance for AC reff reduction
US10825736B1 (en) * 2019-07-22 2020-11-03 International Business Machines Corporation Nanosheet with selective dipole diffusion into high-k
US11289579B2 (en) * 2019-09-29 2022-03-29 Applied Materials, Inc. P-type dipole for p-FET

Also Published As

Publication number Publication date
TW202301484A (zh) 2023-01-01
KR20240013219A (ko) 2024-01-30
WO2022251562A1 (en) 2022-12-01
CN117397039A (zh) 2024-01-12

Similar Documents

Publication Publication Date Title
TWI757322B (zh) 用於鈍化半導體表面之方法及相關系統
US20060153995A1 (en) Method for fabricating a dielectric stack
WO2008042528A2 (en) Uv-assisted dielectric formation for devices with strained germanium-containing layers
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
US20210351071A1 (en) Method of tuning film properties of metal nitride using plasma
US20220254640A1 (en) Amorphous Silicon-Based Scavenging And Sealing EOT
WO2007126463A2 (en) Low-temperature dielectric formation for devices with strained germanium-containing channels
US8633119B2 (en) Methods for manufacturing high dielectric constant films
JP7455968B2 (ja) Pmos高誘電率金属ゲート
US8633114B2 (en) Methods for manufacturing high dielectric constant films
EP4302320A1 (en) Treatments to improve device performance
JP2024520404A (ja) アモルファスシリコンベース取り除きおよびシールeot
US11552177B2 (en) PMOS high-K metal gates
US11997849B2 (en) V-NAND stacks with dipole regions
JP7313414B2 (ja) 材料構造を改良するための処理
US20230377879A1 (en) Barrier layer for preventing aluminum diffusion
CN116918070A (zh) 具有偶极膜的mosfet栅极工程
US20210057215A1 (en) Treatments to enhance material structures
US20210193468A1 (en) Treatments To Improve Device Performance
TW202226339A (zh) 增強材料結構的處理
JP2024503693A (ja) ゲートオールアラウンドfetアーキテクチャ用の閾値電圧調節

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240122