JP2001053076A - 半導体集積回路装置および半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置および半導体集積回路装置の製造方法

Info

Publication number
JP2001053076A
JP2001053076A JP11226876A JP22687699A JP2001053076A JP 2001053076 A JP2001053076 A JP 2001053076A JP 11226876 A JP11226876 A JP 11226876A JP 22687699 A JP22687699 A JP 22687699A JP 2001053076 A JP2001053076 A JP 2001053076A
Authority
JP
Japan
Prior art keywords
film
integrated circuit
circuit device
semiconductor integrated
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11226876A
Other languages
English (en)
Other versions
JP2001053076A5 (ja
JP4554011B2 (ja
Inventor
Junji Noguchi
純司 野口
Tadashi Ohashi
直史 大橋
Kenichi Takeda
健一 武田
Tatsuyuki Saito
達之 齋藤
Hide Yamaguchi
日出 山口
Nobuo Owada
伸郎 大和田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP22687699A priority Critical patent/JP4554011B2/ja
Priority to TW089114753A priority patent/TW521373B/zh
Priority to KR1020000046084A priority patent/KR100746543B1/ko
Publication of JP2001053076A publication Critical patent/JP2001053076A/ja
Priority to US10/128,264 priority patent/US20020119651A1/en
Priority to US10/128,265 priority patent/US6849535B2/en
Priority to US10/140,110 priority patent/US20020127842A1/en
Priority to US10/140,111 priority patent/US20020142576A1/en
Priority to US10/140,112 priority patent/US6815330B2/en
Priority to US10/233,421 priority patent/US6756679B2/en
Priority to US10/233,432 priority patent/US6797609B2/en
Priority to US10/233,475 priority patent/US6864169B2/en
Priority to US10/233,469 priority patent/US6797606B2/en
Priority to US10/233,430 priority patent/US6716749B2/en
Publication of JP2001053076A5 publication Critical patent/JP2001053076A5/ja
Priority to US12/018,790 priority patent/US20080138979A1/en
Application granted granted Critical
Publication of JP4554011B2 publication Critical patent/JP4554011B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 ダマシン法を用いて形成された銅配線の絶縁
破壊耐性(信頼性)を向上する。 【解決手段】 シリコン酸化膜39の配線溝40に埋め
込むCu配線46a〜46eをCMPを用いた研磨で形
成し、CMP後の洗浄工程を経た後に、シリコン酸化膜
39およびCu配線46a〜46eの表面を還元性プラ
ズマ(アンモニアプラズマ)で処理する。その後、真空
破壊することなく、連続的にキャップ膜(シリコン窒化
膜)を形成する

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置および半導体集積回路装置の製造方法に関し、特に、
銅を主導電層とする配線を、絶縁膜への溝形成、溝を埋
め込む銅膜の形成およびCMP(Chemical Mechanical
Polishing )法を用いた研磨により形成する、いわゆる
ダマシン法に適用して有効な技術に関するものである。
【0002】
【従来の技術】近年の半導体集積回路装置における配線
の微細化に伴い、配線抵抗の増大、配線遅延の増加、こ
れらに起因する半導体集積回路装置の性能が低下が問題
になっている。特に高性能なロジックLSIにおいて
は、その性能阻害要因として大きな問題を生じている。
このため、たとえば1993 VMIC(VLSI Multile
vel Interconnection Conference)予稿集、p15〜p
21に記載されているように、絶縁膜に形成した配線溝
に銅(Cu)を主導体層とする配線用金属を埋め込んだ
後、配線溝外部の余分な金属を化学機械研磨法(CMP
法)を用いて除去することにより配線溝内に配線パター
ンを形成する方法が検討されている。
【0003】なお、特開平9−306915号公報に
は、半導体基板上のシリコン酸化膜に配線溝を形成後、
スパッタ法を用いて窒化チタン膜および銅膜を堆積し、
リフローにより溝内に銅を充填し、その後CMP法によ
り溝以外の銅膜を除去し、さらに水素雰囲気中で熱処理
を施す技術が記載されている。これにより銅配線中の欠
陥を低減できるとしている。
【0004】また、特開平10−56014号公報に
は、半導体基板上に形成された窒化チタン膜およびタン
グステン膜を有する被研磨材料をCMP法により研磨し
た後、その研磨された表面にハロゲン系混合ガスを用い
たプラズマ処理を施す技術が記載されている。これによ
り、CMP法に由来するマイクロスクラッチが形成され
ても配線ショートを生じないとしている。
【0005】また、特開平10−56014号公報に
は、配線を形成したい下地上に感光性SOG膜を形成
し、このSOG膜に配線溝を形成し、窒化チタン膜、銅
膜および銅チタン合金膜を形成し、CMP法による研磨
により配線溝内にのみ前記被膜を残し、さらにアンモニ
ア雰囲気で加熱処理して銅チタン合金膜の表層部に窒化
チタン膜を形成する技術が記載されている。
【0006】
【発明が解決しようとする課題】上記配線溝を形成した
後にこれを埋め込む金属膜(たとえば銅膜)を形成し、
配線溝以外の銅膜をCMP法により除去する配線形成
法、いわゆるダマシン法による配線形成技術において、
本発明者らは、以下に説明するような問題を認識した。
【0007】すなわち、高性能のロジックLSIへの適
用を考慮した場合、配線抵抗の低減は重要な技術検討課
題の一つである。そこで、発明者らは、配線を構成する
金属として銅を検討している。銅は、その物性として他
の金属(たとえばアルミニウム、タングステン)と比較
して絶縁膜であるシリコン酸化膜中を拡散しやすいとい
う性質を有する。よって、配線を覆うバリア膜の検討が
重要である。配線溝内のバリア膜としては窒化チタン膜
が検討されている。一方、配線上部を覆う被膜(キャッ
プ膜)としてシリコン窒化膜が検討されている。これら
配線溝に沿う窒化チタン膜と配線の上部をキャップする
シリコン窒化膜とで銅を覆い、層間絶縁膜(シリコン酸
化膜)への銅の拡散をブロックし、配線の信頼性を高め
ることが検討されている。
【0008】ところが、銅を配線材料に用いた場合、T
DDB(Time Dependence on Dielectric Breakdown )
寿命が、他の金属材料(たとえばアルミニウム、タング
ステン)の場合と比較して著しく短いという問題が存在
する。なお、TDDB試験とは、配線間の絶縁破壊強度
を評価する加速試験方法の一種であり、通常使用環境よ
り高い所定の温度での高電界下における絶縁破壊時間か
ら、通常使用状態における絶縁破壊時間(寿命)を推定
する試験方法である。TDDB寿命はこのTDDB試験
から推定される寿命である。TDDB寿命については後
に詳述する。
【0009】図55は、銅配線、アルミニウム配線、タ
ングステン配線のTDDB特性を測定したデータを示す
グラフである。縦軸にTDDB寿命を横軸に電界強度を
割り当てている。アルミニウム配線の特性(データA)
およびタングステン配線の特性(データB)を外挿する
と、電界強度が0.2MV/cm(通常使用状態)にお
けるTDDB寿命は、本発明者らの開発目標である3×
108 sec(10年)を優に越える。一方、銅配線の
特性(データC)を外挿すると、10年の開発目標に対
してほとんどマージンのない状態であることがわかる。
アルミニウム配線は被膜の堆積とフォトリソグラフィを
用いたパターニングにより形成しているが、タングステ
ン配線は銅配線と同様にダマシン法を用いて形成してい
る。すなわち、銅配線とタングステン配線の相違は材料
のみであり、構造上の相違はない。それにもかかわらず
TDDB特性の顕著な相違があることは、配線材料の相
違に起因することが示唆される。なお、ここでのTDD
B特性は140℃の温度下で行ったデータを示してい
る。
【0010】このようなTDDB寿命の劣化原因は、配
線材料に適用された銅が周辺に拡散し、これが配線間の
絶縁耐圧を低下させることによると一般には考えられる
が、本発明者らの検討によれば、その銅は原子状の銅よ
りも、酸化銅あるいは銅シリサイドから供給されるイオ
ン化銅が配線間の電位でドリフトし拡散する要因が支配
的であると考えられる。また、銅の拡散経路は銅配線が
形成された絶縁膜とキャップ膜との界面が支配的である
と考えられる。すなわち、銅配線の表面に酸化銅あるい
は銅シリサイドが形成され、これら銅の化合物から銅イ
オンが形成され、イオン化された銅が配線形成用絶縁膜
とキャップ膜との界面に沿って配線間電界によりドリフ
トして拡散し、この拡散した銅原子がリーク電流を増加
させる原因になると考えられる。そしてリーク電流の増
加は熱ストレスを増加させ、最終的にリークパスで絶縁
破壊が生じてTDDB寿命に至ると考えられる。なお、
この点のメカニズムは後に詳述する。
【0011】また、本発明者らの検討では、配線層を多
層に形成した場合、上層配線の形成工程であるCMP工
程において、下層配線とその上層に形成された絶縁膜
(キャップ膜)との間に剥離が生ずる問題もある。
【0012】さらに、銅配線上のキャップ膜としてシリ
コン窒化膜を用いると、銅とシリコン窒化膜との界面に
シリサイド物が形成され、銅配線の抵抗が増加するとい
う問題もある。
【0013】本発明の目的は、ダマシン法を用いて形成
された銅配線の絶縁破壊耐性(信頼性)を向上すること
にある。
【0014】また、本発明の目的は、配線層とキャップ
膜との剥離の発生を抑制することにある。
【0015】さらに、本発明の目的は、キャップ膜にシ
リコン窒化膜を用いた場合の銅配線の抵抗値の増加を防
止することにある。
【0016】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0017】
【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
【0018】すなわち、本発明は、CMP工程の後、配
線上にキャップ膜(たとえばシリコン窒化膜)を形成す
る前に、配線およびそれが埋め込まれた層間絶縁膜(た
とえばシリコン酸化膜)の表面を還元性プラズマで処理
するものである。
【0019】これにより、配線および層間絶縁膜とキャ
ップ絶縁膜との間の界面を連続的に形成でき、界面にお
ける密着性を改善してTDDB寿命を著しく向上するこ
とができる。
【0020】以下、本発明の概要を列記して説明する。
【0021】本発明の製造方法は、半導体基板の上層に
第1絶縁膜(たとえばシリコン酸化膜)を形成し、第1
絶縁膜に溝(配線溝)を形成する。その後第1導電膜
(銅の拡散を防止するブロッキング膜、たとえば窒化チ
タン膜)、溝を埋め込む第2導電膜(銅膜)を順次形成
し、第2導電膜および第1導電膜を研磨して溝内に配線
を形成する。その後、第1絶縁膜および配線の表面を還
元性雰囲気のプラズマにより処理する。さらに、第1絶
縁膜および配線上に第2絶縁膜(キャップ絶縁膜、たと
えばシリコン窒化膜)を堆積する。
【0022】ここで、還元性雰囲気のプラズマは、アン
モニア(NH3 )プラズマあるいは水素(H2 )プラズ
マとすることができる。また、アンモニア(NH3 )と
希釈ガス(水素(H2 )、窒素(N2 )、アルゴン(A
r)、ヘリウム(He)から選択された単一または複数
のガス)との混合ガスプラズマ、あるいは、水素
(H2 )と希釈ガス(アンモニア(NH3 )、窒素(N
2 )、アルゴン(Ar)、ヘリウム(He)から選択さ
れた単一または複数のガス)との混合ガスプラズマとす
ることができる。なお、混合ガスに対するアンモニアあ
るいは水素の濃度は5%以上である。
【0023】また、第1絶縁膜はシリコン酸化膜とし、
第2導電膜は銅、第2絶縁膜はシリコン窒化膜とするこ
とができる。なお、言うまでもないことであるが、銅は
配線としての特性を損なわない範囲で合金要素や添加
物、不純物を含むことを許容する。実施の形態で用いる
高純度の銅は一般に4Nすなわち99.99%以上のも
のが用いられる。
【0024】また、研磨工程の後、プラズマ処理前に第
1絶縁膜および配線の表面を酸洗浄することができる。
酸洗浄には、フッ化水素(HF)またはクエン酸(C
(CH2 COOH)2 (OH)(COOH))の水溶液
を用いることができる。
【0025】また、研磨工程には、砥粒フリーの化学機
械研磨法を用いることができる。研磨は、砥粒フリー化
学機械研磨を行う第1研磨、有砥粒化学機械研磨を行う
第2研磨、および、第2導電膜に対して第1導電膜の選
択比が5以上である化学機械研磨を行う第3研磨の3段
階の化学機械研磨で行うことができる。
【0026】本発明の製造方法は、半導体基板の上層に
第1絶縁膜を形成し、第1絶縁膜に溝を形成し、第1導
電膜、溝を埋め込む第2導電膜を形成し、第2導電膜お
よび第1導電膜を研磨して溝内に配線を形成し、第1絶
縁膜および配線の表面にプラズマを用いて還元処理およ
び窒化処理をし、第1絶縁膜および配線上に第2絶縁膜
を堆積するものである。
【0027】この場合のプラズマは、アンモニア(NH
3 )またはアンモニアおよび水素(H2 )と、窒素(N
2 )、アルゴン(Ar)、ヘリウム(He)から選択さ
れた単一または複数のガスとの混合ガスのプラズマであ
る。
【0028】また、本発明の製造方法は、保護膜(パッ
シベーション膜)に含まれるシリコン酸化膜よりも誘電
率の低い第1絶縁膜を形成し、第1絶縁膜に溝または孔
を形成し、第1絶縁膜の露出面を還元性雰囲気のプラズ
マにより処理し、溝または孔の内壁を含む表面を覆う第
1導電膜を堆積し、溝または孔を埋め込む第2導電膜を
形成し、溝または孔以外の第2導電膜および第1導電膜
を研磨により除去し、溝または孔内に導電部材を形成す
るものである。この場合の還元性雰囲気のプラズマも前
記同様である。なお、第1絶縁膜上に第2絶縁膜を形成
しても良い。
【0029】本発明の半導体集積回路装置は、第1絶縁
膜と、第1絶縁膜の溝内に埋め込んで形成された配線
と、第1絶縁膜および配線上に形成された第2絶縁膜と
をし、第1絶縁膜および配線と第2絶縁膜との界面には
窒化膜が形成されているものである。この場合、第1絶
縁膜はシリコン酸化膜であり、配線は銅であり、第2絶
縁膜はシリコン窒化膜である。また、窒化膜は、その膜
中の窒素濃度が、第1絶縁膜および配線側から第2絶縁
膜側に進むに従って高くなるものである。
【0030】さらに、本発明の製造方法は、半導体基板
の上層に第1絶縁膜を形成し、第1絶縁膜に溝を形成
し、第1絶縁膜上に第1導電膜を堆積し、溝を埋め込む
第2導電膜を形成し、第2導電膜および第1導電膜を研
磨して溝内に配線を形成し、第1絶縁膜および配線の表
面を還元性雰囲気のプラズマにより処理し、さらに、半
導体基板を大気雰囲気に曝すことなく減圧または不活性
状態を維持し、連続的に第1絶縁膜および配線上に第2
絶縁膜を堆積するものである。
【0031】以下、本願において、使用する用語の一般
的意味について説明する。
【0032】TDDB寿命とは、所定の温度(たとえば
140℃)の測定条件下で電極間に比較的高い電圧を加
え、電圧印加から絶縁破壊までの時間を印加電界に対し
てプロットしたグラフを作成し、このグラフから実際の
使用電界強度(たとえば0.2MV/cm)に外挿して
求めた時間(寿命)をいう。図56は、本願のTDDB
寿命測定に使用した試料を示し、(a)は平面図、
(b)および(c)は(a)におけるB−B’線断面お
よびC−C’線断面を各々示す。この試料は実際にはウ
ェハのTEG(Test Equipment Group)領域に形成でき
る。図示するように一対の櫛形配線Lを第2配線層M2
に形成し、最上層のパットP1,P2に各々接続する。
この櫛形配線L間に電界が印加され電流が測定される。
パットP1,P2は測定端子である。櫛形配線Lの配線
幅、配線間隔、配線厚さは何れも0.5μmである。ま
た配線対向長は1.58×105 μmとした。図57
は、測定の概要を示した概念図である。試料は測定ステ
ージSに保持され、パッドP1,P2間に電流電圧測定
器(I/V測定器)を接続する。試料ステージSはヒー
タHで加熱され試料温度が140℃に調整される。図5
8は電流電圧測定結果の一例である。試料温度140
℃、電界強度5MV/cmの場合を例示した。TDDB
寿命測定には定電圧ストレス法と低電流ストレス法とが
あるが、本願では絶縁膜に印加される平均電界が一定と
なる定電圧ストレス法を用いている。電圧印加の後、時
間の経過とともに電流密度は減少し、その後急激な電流
増加(絶縁破壊)が観測される。ここでは、リーク電流
密度が1μA/cm2 に達した時間をTDDB寿命(5
MV/cmにおけるTDDB寿命)とした。なお、本願
において、TDDB寿命とは、特に言及しない限り0.
2MV/cmにおける破壊時間(寿命)をいうが、広義
には所定の電界強度に言及したうえで破壊までの時間と
してTDDB寿命の語を用いる場合もある。また、特に
言及しない限り、TDDB寿命は、試料温度140℃の
場合をいう。なお、TDDB寿命は前記の櫛形配線Lで
測定した場合をいうが、実際の配線間の破壊寿命を反映
することはいうまでもない。
【0033】プラズマ処理とは、プラズマ状態にある環
境に基板表面、あるいは、基板上に絶縁膜、金属膜等の
部材が形成されている時にはその部材表面を暴露し、プ
ラズマの化学的、機械的(ボンバードメント)作用を表
面に与えて処理することをいう。一般にプラズマは特定
のガス(処理ガス)に置換した反応室内に必要に応じて
処理ガスを補充しつつ、高周波電界等の作用によりガス
を電離させて生成するが、現実には完全に処理ガスで置
換することはできない。よって、本願では、たとえばア
ンモニアプラズマと称しても、完全なアンモニアプラズ
マを意図するものではなく、そのプラズマ内に含まれる
不純物ガス(窒素、酸素、二酸化炭素、水蒸気等)の存
在を排除するものではない。同様に、言うまでもないこ
とであるが、プラズマ中に他の希釈ガスや添加ガスを含
むことを排除するものではない。
【0034】還元性雰囲気のプラズマとは、還元作用す
なわち酸素を引き抜く作用を有するラジカル、イオン、
原子、分子等の反応種が支配的に存在するプラズマ環境
をいい、ラジカル、イオンには原子あるいは分子状のラ
ジカルあるいはイオンが含まれる。また、環境内には単
一の反応種のみならず、複数種の反応種が含まれていて
も良い。たとえば水素ラジカルとNH2 ラジカルとが同
時に存在する環境でもよい。
【0035】本願でたとえば銅からなると表現した場
合、主成分として銅が用いられていることを意図する。
すなわち、一般に高純度な銅であっても不純物が含まれ
ることは当然であり、添加物や不純物も銅からなる部材
に含まれることを排除するものではない。本願において
高純度の銅からなると表現した場合には、一般的な高純
度材料(たとえば4N(99.99%))程度の銅で構
成されることを意図し、0.01%程度の任意の不純物
が含まれることを前提にする。これは銅に限らず、その
他の金属(窒化チタン等)でも同様である。
【0036】本願でガスの濃度という場合には、質量流
量における流量比を言うものとする。すなわち、ガスA
とガスBとの混合ガスにおいて、ガスAの濃度が5%と
いう時には、ガスAの質量流量をFa、ガスBの質量流
量をFbとして、Fa/(Fa+Fb)=0.05のこ
とをいう。
【0037】研磨液(スラリ)とは、一般に化学エッチ
ング薬剤に研磨砥粒を混合した懸濁液をいい、本願にお
いては発明の性質上、研磨砥粒が混合されていないもの
を含むものとする。
【0038】砥粒(スラリ粒子)とは、一般にスラリに
含まれるアルミナ、シリカなどの粉末をいう。
【0039】化学機械研磨(CMP)とは、一般に被研
磨面を相対的に軟らかい布様のシート材料などからなる
研磨パッドに接触させた状態で、スラリを供給しながら
面方向に相対移動させて研磨を行うことをいい、本願に
おいてはその他、被研磨面を硬質の砥石面に対して相対
移動させることによって研磨を行うCML(ChemicalMec
hanical Lapping) なども含むものとする。
【0040】砥粒フリー化学機械研磨とは、一般に砥粒
の重量濃度が0.5%未満のスラリを用いた化学機械研
磨をいい、有砥粒化学機械研磨とは、砥粒の重量濃度が
0.5%以上のスラリを用いた化学機械研磨をいう。し
かし、これらは相対的なものであり、第1ステップの研
磨が砥粒フリー化学機械研磨で、それに続く第2ステッ
プの研磨が有砥粒化学機械研磨である場合、第1ステッ
プの研磨濃度が第2ステップの研磨濃度よりも1桁以
上、望ましくは2桁以上小さい場合などには、この第1
ステップの研磨を砥粒フリー化学機械研磨という場合も
ある。
【0041】防食剤とは、金属の表面に耐食性のおよび
/または疎水性の保護膜を形成することによって、CM
Pによる研磨の進行を阻止または抑制する薬剤をいい、
一般にベンゾトリアゾール(BTA)などが使用される
(詳しくは特開平8−64594号公報参照)。
【0042】導電性バリア層とは、一般に埋め込み配線
材料を構成する原子やイオンが輸送(拡散などを含む)
されて下層の素子などに悪影響を及ぼすのを防ぐための
もので、電気伝導性が絶縁膜に比べて比較的高いTiな
どの金属、TiNなどの金属窒化物、導電性酸化物、導
電性窒化物その他の拡散阻止性を有する導電材料からな
る層をいう。
【0043】選択的除去、選択的研磨、選択的エッチン
グ、選択的化学機械研磨というときは、いずれも選択比
が5以上のものをいう。
【0044】埋め込み配線とは、一般にシングルダマシ
ン(single damascene)やデュアルダマシン(dual damasc
ene)などのように、絶縁膜に形成された溝などの内部に
導電膜を埋め込んだ後、絶縁膜上の不要な導電膜を除去
する配線形成技術によって形成された配線をいう。
【0045】選択比について、「AのBに対する」(ま
たは「Bに対するAの」)選択比がXというときは、研
磨レートを例にとった場合、Bに対する研磨レートを基
準にしてAに対する研磨レートを計算したときにXにな
ることをいう。
【0046】以下の実施の形態では、特に必要なとき以
外は同一または同様な部分の説明を原則として繰り返さ
ない。
【0047】また、以下の実施の形態では、便宜上その
必要があるときは、複数のセクションまたは実施の形態
に分割して説明するが、特に明示した場合を除き、それ
らは互いに無関係なものではなく、一方は他方の一部ま
たは全部の変形例、詳細、補足説明などの関係にある。
【0048】さらに、以下の実施の形態において、要素
の数等(個数、数値、量、範囲などを含む)に言及する
場合、特に明示したときおよび原理的に明らかに特定の
数に限定されるときを除き、その特定の数に限定される
ものではなく、特定の数以上でも以下でもよい。さら
に、以下の実施の形態において、その構成要素(要素ス
テップ等を含む)は、特に明示した場合および原理的に
明らかに必須であると考えられる場合を除き、必ずしも
必須のものではないことはいうまでもない。
【0049】同様に、以下の実施の形態において、構成
要素などの形状、位置関係などに言及するときは、特に
明示した場合および原理的に明らかにそうでないと考え
られる場合を除き、実質的にその形状などに近似または
類似するものなどを含むものとする。このことは、上記
数値および範囲についても同様である。
【0050】また、本願において半導体集積回路装置と
いうときは、特に単結晶シリコン基板上に作られるもの
だけでなく、特にそうでない旨が明示された場合を除
き、SOI(Silicon On Insulator)基板やTFT(Thin
Film Transistor)液晶製造用基板などといった他の基板
上に作られるものを含むものとする。また、ウエハとは
半導体集積回路装置の製造に用いる単結晶シリコン基板
(一般にほぼ円盤形)、SOS基板、ガラス基板その他
の絶縁、半絶縁または半導体基板などやそれらを複合し
た基板をいう。
【0051】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の部材には同一の符号を付
し、その繰り返しの説明は省略する。
【0052】(実施の形態1)本発明の実施の形態1で
あるCMOS−LSIの製造方法を図1〜図19を用い
て工程順に説明する。
【0053】まず、図1に示すように、例えば1〜10
Ωcm程度の比抵抗を有するp型の単結晶シリコンからな
る半導体基板(以下、基板という)1に深さ350nm程
度の素子分離溝2をフォトリソグラフィとドライエッチ
ングを用いて形成した後、溝の内部を含む基板1上にC
VD法でシリコン酸化膜3を堆積する。続いて溝の上部
のシリコン酸化膜3を化学機械研磨(CMP)によって
その表面を平坦化する。その後、基板1にp型不純物
(ホウ素)およびn型不純物(例えばリン)をイオン打
ち込みすることによって、p型ウエル4およびn型ウエ
ル5を形成した後、基板1をスチーム酸化することによ
って、p型ウエル4およびn型ウエル5の表面に膜厚6
nm程度のゲート酸化膜6を形成する。
【0054】次に、図2に示すように、ゲート酸化膜6
の上部に、低抵抗多結晶シリコン膜、WN(窒化タング
ステン)膜およびW(タングステン)膜からなるゲート
電極7を形成する。多結晶シリコン膜はCVD法によ
り、WN膜およびW膜はスパッタ法により形成できる。
ゲート電極7は、これら堆積膜のパターニングにより形
成する。ゲート電極7は、低抵抗多結晶シリコン膜とW
シリサイド膜との積層膜などを使って形成してもよい。
その後、イオン打ち込みすることによって、p型ウエル
4に低不純物濃度のn- 型半導体領域11を、n型ウエ
ル5に低不純物濃度のp- 型半導体領域12を形成す
る。
【0055】次に、図3に示すように、たとえばシリコ
ン窒化膜をCVD法で堆積し、これを異方的にエッチン
グすることによって、ゲート電極7の側壁にサイドウォ
ールスペーサ13を形成する。この後、イオン打ち込み
することによって、p型ウエル4に高不純物濃度のn+
型半導体領域14(ソース、ドレイン)を形成し、n型
ウエル5に高不純物濃度のp+ 型半導体領域15(ソー
ス、ドレイン)を形成する。なお、n型不純物にはリン
またはヒ素を、p型不純物にはボロンを例示できる。そ
の後、チタン、コバルト等の金属膜を堆積し、熱処理の
後に未反応の金属膜を除去するいわゆるサリサイド法を
用いて、n+ 型半導体領域14(ソース、ドレイン)の
表面およびp+ 型半導体領域15(ソース、ドレイン)
の表面にシリサイド層9を形成する。ここまでの工程
で、nチャネル型MISFETQnおよびpチャネル型
MISFETQpが完成する。
【0056】次に、図4に示すように、基板1上にCV
D法でシリコン酸化膜18を堆積し、続いてフォトレジ
スト膜をマスクにしてシリコン酸化膜18をドライエッ
チングすることにより、n+ 型半導体領域14(ソー
ス、ドレイン)の上部にコンタクトホール20を形成
し、p+ 型半導体領域15(ソース、ドレイン)の上部
にコンタクトホール21を形成する。またこのとき、ゲ
ート電極7の上部にもコンタクトホール22を形成す
る。
【0057】シリコン酸化膜18は、ゲート電極7、7
の狭いスペースを埋め込むことのできるリフロー性の高
い膜、例えばBPSG(Boron-doped Phospho Silicate
Glass)膜で構成する。また、スピン塗布法によって形成
されるSOG(Spin On Glass) 膜で構成してもよい。
【0058】次に、コンタクトホール20、21、22
の内部にプラグ23を形成する。プラグ23を形成する
には、例えばコンタクトホール20、21、22の内部
を含むシリコン酸化膜18の上部にCVD法でTiN膜
およびW膜を堆積した後、シリコン酸化膜18の上部の
不要なTiN膜およびW膜を化学機械研磨(CMP)法
またはエッチバック法によって除去し、コンタクトホー
ル20、21、22の内部のみにこれらの膜を残す。
【0059】次に、図5に示すように、シリコン酸化膜
18の上部に第1層目の配線となるW配線24〜30を
形成する。W配線24〜30を形成するには、例えばシ
リコン酸化膜18の上部にスパッタリング法でW膜を堆
積した後、フォトレジスト膜をマスクにしてこのW膜を
ドライエッチングする。第1層目のW配線24〜30
は、コンタクトホール20、21、22を通じてnチャ
ネル型MISFETQnのソース、ドレイン(n+ 型半
導体領域)、pチャネル型MISFETQpのソース、
ドレイン(p+ 型半導体領域)あるいはゲート電極7と
電気的に接続される。
【0060】次に、図6(a)、(b)に示すように、
第1層目のW配線24〜30の上部にシリコン酸化膜3
1を堆積し、続いてフォトレジスト膜をマスクにしたド
ライエッチングでシリコン酸化膜31にスルーホール3
2〜36を形成した後、スルーホール32〜36の内部
にプラグ37を形成する。
【0061】シリコン酸化膜31は、例えばオゾン(ま
たは酸素)とテトラエトキシシラン(TEOS)とをソ
ースガスに用いたプラズマCVD法で堆積する。また、
プラグ37は、例えばW膜で構成し、前記コンタクトホ
ール20、21、22の内部にプラグ23を形成した方
法と同じ方法で形成する。
【0062】次に、図7(a)、(b)に示すように、
シリコン酸化膜31の上部にプラズマCVD法で膜厚5
0nm程度の薄いシリコン窒化膜38を堆積し、続いてシ
リコン窒化膜38の上部にプラズマCVD法で膜厚45
0nm程度のシリコン酸化膜39を堆積する。その後、フ
ォトレジスト膜をマスクにしたドライエッチングでスル
ーホール32〜36の上部のシリコン酸化膜39および
シリコン窒化膜38を除去し、配線溝40〜44を形成
する。
【0063】配線溝40〜44を形成するには、まずシ
リコン窒化膜38をエッチングストッパにしてシリコン
酸化膜39を選択的にエッチングし、その後、シリコン
窒化膜38をエッチングする。このように、配線溝40
〜44が形成されるシリコン酸化膜39の下層に薄いシ
リコン窒化膜38を形成しておき、このシリコン窒化膜
38の表面でエッチングを一旦停止した後、シリコン窒
化膜38をエッチングすることにより、配線溝40〜4
4の深さを精度良く制御することができる。
【0064】次に、上記配線溝40〜44の内部に以下
のような方法で第2層目の配線となる埋め込みCu配線
を形成する。
【0065】まず、図8に示すように、配線溝40〜4
4の内部を含むシリコン酸化膜39の上部にスパッタリ
ング法で膜厚50nm程度の薄いTiN(窒化チタン)膜
45を堆積した後、TiN膜45の上部に配線溝40〜
44の深さよりも十分に厚い膜厚(例えば800nm程
度)のCu膜46をスパッタリング法で堆積する。続い
て、475℃程度の非酸化性雰囲気(例えば水素雰囲
気)中で基板1を熱処理することによってCu膜46を
リフローさせ、配線溝40〜44の内部に隙間なくCu
膜46を埋め込む。
【0066】なお、ここでは、スパッタ法によるCu膜
46とその後のリフローによる埋め込みを説明したが、
薄いCu膜をスパッタ法により形成し、その後メッキ法
でCu膜46に相当するCu膜を形成しても良い。
【0067】Cuはシリコン酸化膜中に拡散し易いとい
う性質があるために、配線溝40〜44の内部にCu配
線を形成した場合、シリコン酸化膜39中にCuが拡散
し、配線間の短絡や、シリコン酸化膜39の誘電率上昇
による配線間寄生容量の増加を引き起こす。また、Cu
は酸化シリコンなどの絶縁材料に対する密着性が乏しい
という性質があるために、シリコン酸化膜39との界面
で剥離を引き起こし易い。
【0068】従って、配線溝40〜44の内部にCu配
線を形成する場合は、シリコン酸化膜39とCu膜46
との間にCuの拡散を抑制し、かつ絶縁材料に対する密
着性が高いバリア層を設ける必要がある。さらに、上記
のようなリフロー・スパッタリング法で配線溝40〜4
4の内部にCu膜46を埋め込む場合には、リフロー時
にCu膜46の濡れ性を向上させる性質もバリア層に要
求される。
【0069】Cuと殆ど反応しない上記TiNや、W
N、TaN(窒化タンタル)などの高融点金属窒化物
は、このようなバリア層として好適な材料である。ま
た、高融点金属窒化物にSi(シリコン)を添加した材
料や、Cuと反応し難いTa、Ti、W、TiW合金な
どの高融点金属もバリア層として用いることができる。
【0070】また、以下で説明するCu配線の形成方法
は、高純度のCu膜を使ってCu配線を形成する場合の
みならず、Cuを主成分とする合金膜を使ってCu配線
を形成する場合にも適用することができる。
【0071】図9は、上記Cu膜46の研磨に用いる枚
葉式のCMP装置100を示す概略図である。このCM
P装置100は、表面にCu膜46が形成された基板1
を複数枚収容するローダ120、Cu膜46を研磨、平
坦化する研磨処理部130、研磨が終了した基板1の表
面に防蝕処理を施す防蝕処理部140、防蝕処理が終了
した基板1を後洗浄するまでの間、その表面が乾燥しな
いように維持しておく浸漬処理部150、防蝕処理が終
了した基板1を後洗浄する後洗浄処理部160および後
洗浄が終了した基板1を複数枚収容するアンローダ17
0を備えている。
【0072】図10に示すように、CMP装置100の
研磨処理部130は、上部が開口された筐体101を有
しており、この筐体101に取り付けられた回転軸10
2の上端部には、モータ103によって回転駆動される
研磨盤(プラテン)104が取り付けられている。この
研磨盤104の表面には、多数の気孔を有する合成樹脂
を均一に貼り付けて形成した研磨パッド105が取り付
けられている。
【0073】また、この研磨処理部130は、基板1を
保持するためのウエハキャリア106を備えている。ウ
エハキャリア106を取り付けた駆動軸107は、ウエ
ハキャリア106と一体となってモータ(図示せず)に
より回転駆動され、かつ研磨盤104の上方で上下動さ
れるようになっている。
【0074】基板1は、ウエハキャリア106に設けら
れた真空吸着機構(図示せず)により、その主面すなわ
ち被研磨面を下向きとしてウエハキャリア106に保持
される。ウエハキャリア106の下端部には、基板1が
収容される凹部106aが形成されており、この凹部1
06a内に基板1を収容すると、その被研磨面がウエハ
キャリア106の下端面とほぼ同一か僅かに突出した状
態となる。
【0075】研磨盤104の上方には、研磨パッド10
5の表面と基板1の被研磨面との間に研磨スラリ(S)
を供給するためのスラリ供給管108が設けられてお
り、その下端から供給される研磨スラリ(S)によって
基板1の被研磨面が化学的および機械的に研磨される。
研磨スラリ(S)としては、例えばアルミナなどの砥粒
と過酸化水素水または硝酸第二鉄水溶液などの酸化剤と
を主成分とし、これらを水に分散または溶解させたもの
が使用される。
【0076】また、この研磨処理部130は、研磨パッ
ド105の表面を整形(ドレッシング)するための工具
であるドレッサ109を備えている。このドレッサ10
9は、研磨盤104の上方で上下動する駆動軸110の
下端部に取り付けられ、モータ(図示せず)により回転
駆動されるようになっている。
【0077】研磨が終了した基板1は、防蝕処理部14
0において、その表面に防蝕処理が施される。防蝕処理
部140は、上記した研磨処理部130の構成と類似し
た構成になっており、ここでは、まず研磨盤(プラテ
ン)の表面に取り付けた研磨パッドに基板1の主面が押
し付けられて研磨スラリが機械的に除去された後、例え
ばベンゾトリアゾール(BTA)などの防蝕剤を含んだ
薬液が基板1の主面に供給されることによって、基板1
の主面に形成されたCu配線の表面部分に疎水性保護膜
が形成される。
【0078】研磨スラリの機械的洗浄(前洗浄)は、例
えば図11に示すように、水平面内で回転させた基板1
の両面をPVA(ポリビニルアルコール)のような合成
樹脂の多孔質体からなる円筒状のブラシ121A、12
1Bで挟み、ブラシ121A、121Bを基板1の面に
対して垂直な面内で回転しながら基板1の両面を同時に
洗浄する。また、前洗浄後の防蝕処理に際しては、必要
に応じて純水スクラブ洗浄、純水超音波洗浄、純水流水
洗浄または純水スピン洗浄などを防蝕処理に先行または
並行して行うことにより、研磨処理部130で基板1の
主面に付着した研磨スラリ中の酸化剤を十分に除去し、
酸化剤が実質的に作用しない条件下で疎水性の保護膜を
形成するようにする。
【0079】防蝕処理が終了した基板1は、その表面の
乾燥を防ぐために、浸漬処理部150に一時的に保管さ
れる。浸漬処理部150は、防蝕処理が終了した基板1
を後洗浄するまでの間、その表面が乾燥しないように維
持するためのもので、例えば純水をオーバーフローさせ
た浸漬槽(ストッカ)の中に所定枚数の基板1を浸漬さ
せて保管する構造になっている。このとき、Cu配線2
8〜30の電気化学的腐蝕反応が実質的に進行しない程
度の低温に冷却した純水を浸漬槽に供給することによ
り、Cu配線28〜30の腐蝕をより一層確実に防止す
ることができる。
【0080】基板1の乾燥防止は、例えば純水シャワー
の供給など、少なくとも基板1の表面を湿潤状態に保持
することのできる方法であれば、上記した浸漬槽中での
保管以外の方法で行ってもよい。
【0081】後洗浄処理部160へ搬送された基板1
は、その表面の湿潤状態が保たれた状態で直ちに後洗浄
に付される。ここでは、酸化剤を中和するためにNH4
OHを含む洗浄液などの弱アルカリ薬液を供給しなが
ら、基板1の表面をスクラブ洗浄(またはブラシ洗浄)
した後、フッ酸水溶液を基板1の表面に供給してエッチ
ングによる異物粒子(パーティクル)の除去を行う。ま
た、上記のスクラブ洗浄に先行または並行して、基板1
の表面を純水スクラブ洗浄、純水超音波洗浄、純水流水
洗浄または純水スピン洗浄したり、基板1の裏面を純水
スクラブ洗浄したりしてもよい。
【0082】上記後洗浄処理が終了した基板1は、純水
リンスおよびスピンドライの後、乾燥した状態でアンロ
ーダ170に収容され、複数枚単位で一括して次工程へ
搬送される。
【0083】なお、図12に示すように、防蝕処理が終
了した基板1の表面乾燥を防ぐための浸漬処理部(ウエ
ハ保管部)150を遮光構造にし、保管中の基板1の表
面に照明光などが照射されないようにすることができ
る。これにより、光起電力効果による短絡電流の発生を
防ぐようにできる。浸漬処理部150を遮光構造にする
には、具体的には浸漬槽(ストッカ)の周囲を遮光シー
トなどで被覆することによって、浸漬槽(ストッカ)の
内部の照度を少なくとも500ルクス以下、好ましくは
300ルクス以下、さらに好ましくは100ルクス以下
にする。
【0084】また、図13に示すように、研磨処理の直
後、すなわちその表面に残った研磨スラリ中の酸化剤に
よる電気化学的腐蝕反応が開始される前に直ちに乾燥処
理部に搬送され、研磨スラリ中の水分が強制乾燥によっ
て除去されてもよい。図13に示すCMP装置200
は、表面にCu膜が形成された基板1を複数枚収容する
ローダ220、Cu膜を研磨、平坦化して配線を形成す
る研磨処理部230、研磨が終了した基板1の表面を乾
燥させる乾燥処理部240、基板1を後洗浄する後洗浄
処理部250および後洗浄が終了した基板1を複数枚収
容するアンローダ260を備えている。このCMP装置
200を使ったCu配線形成プロセスでは、研磨処理部
230において研磨処理に付された基板1は、研磨処理
の直後、すなわちその表面に残った研磨スラリ中の酸化
剤による電気化学的腐蝕反応が開始される前に直ちに乾
燥処理部240に搬送され、研磨スラリ中の水分が強制
乾燥によって除去される。その後、基板1は、乾燥状態
が維持されたまま後洗浄処理部250に搬送され、後洗
浄処理に付された後、純水リンスおよびスピンドライを
経てアンローダ260に収容される。この場合、研磨処
理の直後から後洗浄が開始されるまでの間、基板1の表
面が乾燥状態に保たれるために、電気化学的腐蝕反応の
開始が抑制され、これにより、Cu配線の腐蝕を有効に
防止することが可能となる。
【0085】このようなCMP法により、シリコン酸化
膜39上のCu膜46およびTiN膜45を除去し、図
14に示すように、配線溝40〜44内にCu配線46
a〜46eを形成する。
【0086】次に、Cu配線46a〜46eとシリコン
酸化膜39の表面にプラズマ処理を施す。図15は、プ
ラズマ処理に用いる処理装置の概要を示した断面図
(a)および平面図(b)である。
【0087】この処理装置には、ロードロック室301
に2つの処理室302a,302bとカセットインタフ
ェイス303が取り付けられている。ロードロック室3
01内には基板1を搬送するロボット304を有する。
ロードロック室301と処理室302a,302bとの
間には、処理中にもロードロック室301内の高真空状
態が保てるようにゲートバルブ305を有する。
【0088】処理室302a,302b内には基板1を
保持するサセプタ306、ガス流を整えるバッフル板3
07、サセプタ306を支持する支持部材308、サセ
プタ306に対向して配置されるメッシュ状の電極30
9、バッフル板307にほぼ対向して配置された絶縁板
310を有する。絶縁板310はサセプタ306と電極
309の間以外の不必要な領域での寄生放電を抑制する
作用がある。サセプタ306の裏面側には反射ユニット
311内に設置されたランプ312が配置され、ランプ
312を発した赤外線313が石英窓314を通過して
サセプタ306および基板1に照射される。これにより
基板1が加熱される。なお、基板1はサセプタ306上
にフェイスアップで設置される。
【0089】処理室302a,302bはその内部を高
真空に排気することが可能であり、処理ガスおよび高周
波電力がガスポート315から供給される。処理ガスは
メッシュ状の電極309を通過して基板1の近傍に供給
される。処理ガスは真空マニホールド316から排出さ
れ、処理ガスの供給流量および排気速度を制御すること
により圧力が制御される。高周波電力は電極309に印
加され、サセプタ306と電極309との間でプラズマ
を生成する。高周波電力はたとえば13.56MHzの
周波数を用いる。
【0090】処理室302aでは、たとえば次に説明す
るアンモニアプラズマ処理が行われる。また、処理室3
02bでは、後に説明するキャップ膜(シリコン窒化
膜)の堆積が行われる。処理室302aと処理室302
bとはロードロック室301を介して接続されているた
め、アンモニアプラズマ処理の後に真空破壊することな
く基板1を処理室302bに搬送することができ、アン
モニアプラズマ処理とキャップ膜の形成を連続的に行う
ことができる。
【0091】次に、上記したプラズマ処理装置を用い
て、基板1にアンモニアプラズマ処理を施す。カセット
インタフェイス303から基板1がロボット304によ
りロードロック室301に搬入される。ロードロック室
301を十分な減圧状態になるまで真空排気し、ロボッ
ト304を用いて処理室302aに基板1を搬送する。
処理室302aのゲートバルブ305を閉じ、処理室3
02a内が十分な真空度になるまで排気した後、処理室
302aにアンモニアガスを導入し、圧力調整を行って
所定の圧力に維持する。その後、高周波電源から電極3
09に電界を印加し、図16に示すように、基板1の表
面をプラズマ処理する。所定時間の経過後高周波電界を
停止し、プラズマを停止する。その後、処理室302a
内を真空排気し、ゲートバルブ305を開いてロボット
304により基板1をロードロック室301に搬出す
る。なお、ロードロック室301は高真空状態に維持さ
れているため、基板1の表面が大気雰囲気に曝されるこ
とがない。
【0092】プラズマ処理条件は、たとえば、基板1の
サイズを8インチとした場合、処理圧力を5.0Tor
r、RF電力を600W、基板温度を400℃、アンモ
ニア流量を200sccm、処理時間を10秒とするこ
とができる。電極間距離は600milsとした。な
お、プラズマ処理条件は、これら例示した条件に限られ
ないのはもちろんである。本発明者らの検討では、圧力
が高いほどプラズマダメージが低減でき、基板温度が高
いほどTDDB寿命の基板内ばらつきの低減と長寿命化
がはかれる。また、基板温度が高く、RF電力が大き
く、処理時間が長いほどCuの表面にヒロックが発生し
やすい、という知見が得られている。これらの知見と装
置構成による条件のばらつきを考慮すると、処理圧力は
0.5〜6Torr、RF電力は300〜600W、基
板温度は350〜450℃、アンモニア流量は20〜5
00sccm、処理時間は5〜180秒、電極間距離は
300〜600milsの範囲で設定することができ
る。
【0093】このように、Cu配線46a〜46eとシ
リコン酸化膜39の表面にプラズマ処理を施すことによ
り、Cu配線46a〜46eおよびシリコン酸化膜39
の表面のごく薄い領域に各々の下地材料の窒化膜を形成
することができる。これにより、次に説明するキャップ
膜(シリコン窒化膜)とCu配線46a〜46eおよび
シリコン酸化膜39との密着性が向上し、TDDB寿命
を著しく向上できる。この点は、本発明者らの実験結果
の解析とともに後に詳しく説明する。
【0094】次に、ロボット304を用いて基板1を処
理室302bに搬送する。処理室302bのゲートバル
ブ305を閉じ、処理室302b内が十分な真空度にな
るまで排気した後、処理室302bにシラン(Si
4 )、アンモニア、窒素の混合ガスを導入し、圧力調
整を行って所定の圧力に維持する。その後、高周波電源
から電極309に電界を印加してプラズマを発生し、図
17に示すように、Cu配線46a〜46eおよびシリ
コン酸化膜39の表面にシリコン窒化膜47(キャップ
膜)を堆積する。所定時間の経過後高周波電界を停止し
プラズマを停止する。その後、処理室302b内を真空
排気し、ゲートバルブ305を開いてロボット304に
より基板1をロードロック室301に搬出する。さら
に、ロボット304を用いてカセットインタフェイス3
03に基板1を排出する。
【0095】シリコン窒化膜47の膜厚はたとえば50
nmとする。その後、第3層目の配線と第2層目の配線
(Cu配線46a〜46e)とを接続するプラグを形成
するためのシリコン酸化膜を形成し、前記したと同様の
方法で、第3層目以降の埋め込みCu配線が形成され
る。図18は、上述したCu配線46a〜46eの形成
プロセスの全体フロー図である。
【0096】なお、図19に第7層目の配線までを形成
したCMOS−LSIの一例を示す。第1層目の配線
(M1)は、前記した通りタングステン膜からなる。第
2層配線(M2)から第5層配線(M5)までは、前記
したCu配線の形成方法で製造する。なお、第2層配線
(M2)および第3層配線(M3)は、配線幅、配線間
距離および配線高さ(厚さ)は何れも0.5μmで形成
する。第4層配線(M4)および第5層配線(M5)
は、配線幅、配線間距離および配線高さ(厚さ)は何れ
も1μmで形成する。第6層配線(M6)はタングステ
ン膜、アルミニウム膜およびタングステン膜の3層構成
とし、第7層配線(M7)はアルミニウム膜で構成す
る。第7層配線(M7)にはバンプ等が形成されるが図
示を省略している。
【0097】本実施の形態によれば、TDDB寿命が大
幅に改善される。図20は、本実施の形態の第2層配線
M2(Cu配線46a〜46e)と同層に形成されたT
EGサンプルのTDDB寿命を示すグラフであり、本実
施の形態の場合のデータをラインAに示す。比較のため
にアンモニアプラズマ処理をしない場合のTDDB寿命
データ(ラインRef)も同時に示す。図から明らかな
通り、本実施の形態では、比較データと比べて約6桁の
寿命向上が見られる。
【0098】図21は、本実施の形態で適用したシリコ
ン酸化膜39をそれよりも緻密で強固なシリコン窒化膜
に置き換えた場合のデータ(ラインB)を示す。絶縁膜
を窒化シリコンに置き換えた場合であってもアンモニア
プラズマ処理を施さなければ絶縁膜をシリコン酸化膜と
した場合と何ら相違はない(ラインRef)。一方、シ
リコン窒化膜を絶縁膜に適用し、アンモニアプラズマ処
理を施せば、本実施の形態以上にTDDB寿命が向上す
る。しかしその向上の割合は大きくなく、アンモニアプ
ラズマ処理を行うことによる要因の方が支配的であるこ
とがわかる。これは、TDDB寿命を支配する要因は絶
縁膜のバルクよりは、その界面が支配的であることを示
している。
【0099】そこで、本発明者らは、アンモニアプラズ
マ処理によりTDDB寿命が向上する機構を解析するた
めに銅とシリコン酸化膜の表面分析を行った。以下に解
析の結果を説明する。
【0100】図22〜図24は、Cu配線表面のXPS
(X-ray Photo-electron Spectroscopy )分析の結果を
示したグラフである。各図の(a)、(c)はCu2p
の分光結果を示し、(b)、(d)はN1sの分光結果
を示す。
【0101】図22(a)、(b)はアズデポ状態のC
u膜表面を分析した結果である。Cu2pのピークが観
察され、N1sのピークはノイズレベルであることか
ら、アズデポ状態のCu膜には窒素は存在しないことが
わかる。図22(c)、(d)は、Cu膜にCMPのみ
を施した直後のCu配線表面を分析した結果である。C
u2pのピークとともにN1sのピークが観察される。
前記した通りスラリにはBTAが含まれるため、Cu表
面に残留したBTA内の窒素を観察しているものと推察
できる。図23(a)、(b)は、CMP後に後洗浄ま
で行った状態のCu配線表面を分析した結果である。C
u2pピークに変化は見られないが、N1sピークが低
下している。洗浄によりBTAが除去されたと考えられ
る。図23(c)、(d)は、後洗浄後大気雰囲気に2
4時間放置した状態のCu配線表面を分析した結果であ
る。Cu2pのピークとともにCuOのピークが観察さ
れる。N1sピークには放置による変化は見られない。
放置によりCu表面が酸化され、CuOが生成している
ことがわかる。
【0102】このように酸化されたCu配線にアンモニ
アプラズマ処理を施した状態のCu配線表面を分析した
結果が図24(a)、(b)である。CuOのピークは
ほぼ消失している。一方、N1sピークは強く生じてい
る。Cu表面が還元されて酸素が引き抜かれているとと
もに表面が窒化されていると考えられる。比較のため、
酸化されたCu配線に350℃の水素熱処理を施した状
態のCu配線表面を分析した。結果は図24(c)、
(d)である。Cu2pピークについて、図24(c)
と図24(a)とを比較すれば、よりアズデポ状態(図
22(a))に近いことから、水素熱処理の方が還元性
は強いと考えられる。一方、N1sピークはほとんど観
察されないことから、水素熱処理ではCu表面が還元さ
れるのみである。
【0103】以上の結果から、アンモニアプラズマ処理
によりCu配線46a〜46eの表面は還元されるとと
もに窒化層が形成されてことがわかる。この窒化層は、
アンモニアプラズマ処理の後にシリコン窒化膜を堆積す
る際の原料ガスに含まれるシランと銅との反応を防止
し、銅のシリサイドの形成を抑制する働きを有すると考
えられる。シリサイド形成の防止は配線抵抗の増加を抑
制する役割があると考える。
【0104】図25は、シリコン酸化膜表面のXPS分
析を行った結果を示すグラフであり、図26および図2
7は、シリコン酸化膜の質量分析(TDS−APIM
S)を行った結果を示すグラフである。シリコン酸化膜
の分析においては、CMP後洗浄まで行った状態(プロ
ファイルC)、CMP後洗浄後水素プラズマ処理を行っ
た状態(プロファイルD)、CMP後洗浄後アンモニア
プラズマ処理を行った状態(プロファイルE)、CMP
後洗浄後窒素プラズマ処理を行った状態(プロファイル
F)について分析した。なお、プロファイルCの1eV
程度の高エネルギー方向へのずれはチャージアップの影
響によるものである。
【0105】図25(a)、(b)はともにSi2pス
ペクトルを観察したデータであり、(a)は10nm程
度の深さを、(b)は2nm程度の深さを分析したもの
である。図25(c)、(d)、(e)は各々N1s、
O1s、C1sスペクトルを観察したデータである。
【0106】図25(b)から、水素プラズマ処理(プ
ロファイルD)の低エネルギー側(102eV付近)に
ブロードなピークが見られる。これはSi−H結合が存
在すると考えられ、水素プラズマ処理によりシリコン酸
化膜表面にSi−Hが形成されると推察される。
【0107】図25(a)より、アンモニアプラズマ処
理(プロファイルE)と窒素プラズマ処理(プロファイ
ルF)の105eVのピークが低エネルギー側に広がっ
た非対称なピークになっている。非対称部分のピーク
(103.5eV)はSi−O−N結合と考えられる。
アンモニアプラズマ処理および窒素プラズマ処理により
シリコン酸化膜の表面が窒化されていると推察される。
また、図25(a)と(b)との比較から、窒化は表面
でより強くされていると考えられる。アンモニアプラズ
マ処理および窒素プラズマ処理による窒化は、図25
(c)でも確認できる。
【0108】図25(e)より、水素プラズマ処理(プ
ロファイルD)では炭素はほとんど検出されない。水素
プラズマ処理により表面の有機物が除去されていること
がわかる。また、CMP後(プロファイルC)の289
eVのピークはC−O結合と考えられる。CMP後では
スラリが残留していると考える。
【0109】図25(f)は、SiピークとNピークと
からそれらの存在比を求め、N量を推定した値を示す。
アンモニアプラズマ処理と窒素プラズマ処理とではほぼ
同等の窒化がなされていると考える。
【0110】図26(a)、(b)、(c)、(d)は
各々質量数41(Ar−H)、質量数27(C
2 3 )、質量数57(C4 9 )、質量数59(C3
7 O)を測定したグラフである。また、図27
(a)、(b)、(c)、(d)は各々質量数28(S
i、C2 4 )、質量数44(SiO、C3 6 )、質
量数29(SiH、C2 5 )、質量数31(Si
3 )を測定したグラフである。
【0111】図26(a)から、プラズマ処理による水
素の脱離量の相違はほとんどないが、水素プラズマ処理
(プロファイルD)の脱離温度が他の場合(560℃)
と比較して520℃と低いことがわかる。
【0112】図26(a)、(b)、(c)から、各プ
ロセスとも有機物の離脱が見られる。一方、図27
(a)〜(d)から、有機物の離脱以外のピークの存在
が見られる。すなわち、300〜400℃のピークは各
々、Si、SiO、SiH、SiH3 と思われる。各図
を比較すると、水素、アンモニア、窒素の各プラズマ処
理で、SiOの離脱は見られるが、アンモニアプラズマ
処理ではSiH、SiH3の離脱はほとんど観察されな
い。すなわち、アンモニアプラズマ処理ではSi−O−
Nが形成され、比較的低いエネルギーで容易に離脱す
る。また、離脱に必要なエネルギーは窒素プラズマ処理
の場合が最も高く、水素プラズマ処理とアンモニアプラ
ズマ処理とではほぼ同じと言える。
【0113】これらの結果から、シリコン酸化膜表面の
ダングリングボンドの原因となるSi−OHやSi−O
−は、アンモニアプラズマ処理により、弱い結合のSi
−O−Nで終端されると考えられる。アンモニアプラズ
マ処理の後のシリコン窒化膜の成膜において、ごく表面
のSi−O−Nが離脱し、バルクのSi−O結合とシリ
コン窒化膜のSi−Nとが強固に結合し、連続的な界面
を形成する。これが界面の密着性を向上する機構と考え
られる。一方、アンモニアプラズマ処理を行わない場合
には、そもそもSi−OH結合の多いシリコン酸化膜の
表面とシリコン窒化膜の原料ガスであるアンモニアとが
縮合反応し、ダングリングボンドの原因であるSi−O
−結合が多数発生していると考えられる。シリコン酸化
膜とシリコン窒化膜との界面に多数のダングリングボン
ドが存在すれば、そこはリークパスを形成することとな
り配線間のリーク電流、ひいては絶縁破壊の原因となっ
ていると考えられる。
【0114】以上の分析結果から、アンモニアプラズマ
処理により、酸化されたCu配線の表面は還元されてC
u単元素に変換され、イオン化されたCuよりも電気的
に安定な状態になり、かつ、シリコン酸化膜/シリコン
窒化膜界面は連続的な強固な膜になることから、リーク
電流が減少し、TDDB寿命も大幅に向上すると考えら
れる。
【0115】図28は、アンモニアプラズマ処理を行っ
た本実施の形態の場合の配線層とシリコン窒化膜(キャ
ップ膜)との界面を観察したTEM写真である。一方図
29はアンモニアプラズマ処理を行わない場合の界面の
TEM写真である。図28では、界面に薄い被膜の存在
が確認できる(矢印で示した)。この薄い被膜が前記し
た窒化層であると考えられる。一方図29では、そのよ
うな被膜は確認できない。
【0116】また、本実施の形態では、Cu配線の抵抗
を低減できる。図30は、各種の処理を行った場合の配
線抵抗の測定結果である。処理無し(プラズマ処理な
し)とアンモニアプラズマ処理をした場合とでは、他の
場合(水素プラズマ処理、水素アニール、窒素プラズマ
処理)と比較して有意に低い値となっている。図31お
よび図32は、これら各処理を施した場合のCu配線と
キャップ膜(シリコン窒化膜)との界面を観察したTE
M写真である。処理無しとアンモニアプラズマ処理の場
合(図31)では界面に特異ものは見られないが、水素
アニール、窒素プラズマ処理の場合(図32)では界面
に銅のシリサイド(CuSi)層が形成されている。こ
のシリサイド層が抵抗増加の原因と思われる。このよう
なシリサイド層は、シリコン窒化膜の形成の際のシラン
ガスとの反応で形成されるが、アンモニア処理を行って
いる場合にはCu表面にごく薄い窒化膜が形成されてお
り、この窒化膜がシリサイド化のブロッキング層として
機能していると考えられる。一方、水素アニール等、単
に銅表面を還元するのみでは活性なCu表面が露出して
シリコンとの反応が促進されるため、シリサイド層が生
成されやすいと考えられる。なお、水素プラズマ処理
(図32(c)、(f))の場合には、界面に何らかの
生成物が見られる。ただし、多くの場合にはそのような
生成物が形成されない場合もあり水素プラズマ処理の場
合にはシリサイド化の程度は小さいと考えられる。な
お、図31および図32において、TEM写真(図31
(a)および(b)、図32(a)〜(c))に加え
て、各々対応するトレース図面(図31(c)および
(d)、図32(d)〜(f))を参考のために各TE
M写真の下に示した。
【0117】上記した分析結果から、TDDB寿命の劣
化機構として、以下のようなモデルが考えられる。すな
わち、本実施の形態のアンモニア処理を施さない場合に
は、Cu配線の表面部分に酸化銅(CuO)が形成さ
れ、また、キャップ膜(シリコン窒化膜47)の形成の
際に銅シリサイドが形成される。このような酸化銅ある
いは銅シリサイドは、純粋な銅と比較してイオン化され
やすく、このようなイオン化された銅は配線間の電界に
よりドリフトされ、配線間の絶縁膜に拡散される。ま
た、銅配線を埋め込んで形成する絶縁膜(シリコン酸化
膜39)とキャップ膜(シリコン窒化膜47)との界面
は、本実施の形態のアンモニア処理を施さない場合には
ダングリングボンドが多く形成され、不連続であり、密
着性にも乏しい。このようなダングリングボンドの存在
は銅イオンの拡散を助長する作用を有し、銅イオンは界
面に沿ってドリフトされ拡散する。すなわち、配線間の
前記界面にリークパスが形成される。リークパスを流れ
るリーク電流は、長時間のリーク作用と電流による熱ス
トレスも加わり、その後加速度的に電流値が増加して破
壊に至る(TDDB寿命)。
【0118】これに対し、本実施の形態では、Cu配線
46a〜46eの表面にアンモニア処理を施すため、C
u配線46a〜46e表面の酸化層は還元されて消失
し、Cu配線46a〜46eの表面に薄い窒化層が形成
されるためシリコン窒化膜47の形成の際に銅シリサイ
ドが形成されない。このため、リークおよび絶縁破壊の
原因となる銅イオンを支配的に供給する原因物質を生じ
なくすることができる。また、本実施の形態では、シリ
コン酸化膜39の表面にアンモニア処理を施すため、シ
リコン窒化膜47との接続を連続的にし、ダングリング
ボンドの密度を低減してリークパスの形成を抑制でき
る。すなわち、TDDB寿命低下の原因となる銅イオン
の発生を抑制し、かつ、銅の拡散を抑制できるようなシ
リコン酸化膜39とシリコン窒化膜47との接合界面を
形成できる。これによりTDDB寿命を向上できるので
ある。
【0119】なお、前記した解析から、水素プラズマ処
理でもTDDB寿命を向上できると考えられる。すなわ
ち、水素プラズマ処理により、Cu表面は還元され、S
i−O−等のダングリングボンドや、その原因となるS
i−OHがSi−Hで終端される。そして、シリコン窒
化膜の形成の際に、結合の弱い表面のSi−Hが離脱
し、Si−Nで置換される。これにより連続的なシリコ
ン酸化膜とシリコン窒化膜の界面が形成される。ただし
配線抵抗は前記の通り増加する。図33は、水素プラズ
マ処理を行った場合のTDDB寿命のデータを示したグ
ラフである。参考にラインRef(処理無し)とライン
A(アンモニアプラズマ処理)を示した。水素プラズマ
処理(ラインC)では、TDDB寿命が格段に向上する
ことがわかる。水素プラズマ処理の場合にはプラズマダ
メージが軽減されることが期待されるので、キャップ膜
としてシリコン窒化膜にかわる他の材料であってCuと
の反応生成物を生じないような材料が適用できるときに
はきわめて有効である。なお、窒素プラズマ処理(ライ
ンD)ではTDDB寿命がかえって低下する。図26,
27からもわかるように、窒素プラズマ処理によってか
えって有機物の付着が増加していることに起因すると思
われる。
【0120】本実施の形態では、さらに、Cu配線46
a〜46eおよびシリコン酸化膜39とキャップ膜47
との接着性が向上しているため、界面の剥離強度が増
し、マージンが大きくなるという効果もある。
【0121】なお、アンモニア、水素の単一ガスに限ら
れず、窒素、アルゴン、ヘリウム等の不活性ガスとの混
合ガスプラズマで処理しても良い。すなわち、アンモニ
アと水素、窒素、アルゴンまたはヘリウムとの混合ガ
ス、あるいは、水素とアンモニア、窒素、アルゴンまた
はヘリウムとの混合ガスでも良い。さらに、これらのガ
スから選択された3元系、4元系等多元系の混合ガスで
あっても良い。このとき、水素、アンモニア、あるいは
水素とアンモニアの和は、総流量(質量流量)に対して
5%以上混合されていることが必要である。
【0122】(実施の形態2)本発明の実施の形態2で
あるCMOS−LSIの製造方法を図34〜図43を用
いて工程順に説明する。
【0123】本実施の形態の製造方法は、実施の形態1
における図1〜図8までの工程については同様である。
以下CMP工程以降の工程を説明する。
【0124】図34は、埋め込みCu配線の形成に用い
るCMP装置の全体構成の一例を示す概略図である。
【0125】図示のように、CMP装置400は、研磨
処理部401とその後段に設けられた後洗浄部402と
によって構成されている。研磨処理部401には、ウエ
ハ(基板)1の研磨処理を行う2台の定盤(第1定盤4
03A、第2定盤403B)、研磨処理が終わった基板
1を予備洗浄し、その表面に防食処理を施すクリーン・
ステーション404、基板1をローダ406、第1定盤
403A、第2定盤403B、クリーン・ステーション
404、アンローダ407間に移動させる回転アーム4
05などが設置されている。
【0126】研磨処理部401の後段には予備洗浄が終
わった基板1の表面をスクラブ洗浄する後洗浄部402
が設けられている。後洗浄部402には、ローダ40
8、第1洗浄部409A、第2洗浄部409B、スピン
ドライヤ410、アンローダ411などが設置されてい
る。また、後洗浄部402は、洗浄中の基板1の表面に
光が照射するのを防ぐために、全体が遮光壁430で囲
まれ、内部が180ルックス、好ましくは100ルック
ス以下の暗室状態となっている。これは、表面に研磨液
が付着した基板1に湿潤状態で光が照射されると、シリ
コンの光起電力によってpn接合に短絡電流が流れ、p
n接合のp側(+側)に接続されたCu配線の表面から
Cuイオンが解離して配線腐食を引き起こすからであ
る。
【0127】図35に示すように、第1定盤403A
は、その下部に設けられた駆動機構412によって水平
面内で回転駆動する。また、第1定盤403Aの上面に
は多数の気孔を有するポリウレタンなどの合成樹脂を均
一に貼り付けて形成した研磨パッド413が取り付けら
れている。第1定盤403Aの上方には、駆動機構41
4によって上下動および水平面内で回転駆動するウエハ
キャリア415が設置されている。基板1は、このウエ
ハキャリア415の下端部に設けられたウエハチャック
416およびリテーナリング417によって、その主面
(被研磨面)を下向きにして保持され、所定の荷重で研
磨パッド413に押し付けられる。研磨パッド413の
表面と基板1の被研磨面との間にはスラリ供給管418
を通じてスラリ(研磨液)Sが供給され、基板1の被研
磨面が化学的および機械的に研磨される。また、第1定
盤403Aの上方には、駆動機構419によって上下動
および水平面内で回転駆動するドレッサ420が設置さ
れている。ドレッサ420の下端部にはダイヤモンド粒
子を電着した基材が取り付けられており、研磨パッド4
13の表面は、研磨砥粒による目詰まりを防ぐために、
この基材によって定期的に切削される。なお、第2定盤
403Bは、2本のスラリ供給管418a、418bが
設けられている点を除き、第1定盤403Aとほぼ同様
の構成になっている。
【0128】上記CMP装置400を使ってCu配線を
形成するには、ローダ406に収容された基板1を回転
アーム405を使って研磨処理部401に搬入し、まず
図36に示すように、第1定盤403Aの上において、
砥粒を含まないスラリを使用した化学機械研磨(砥粒フ
リー化学機械研磨)(第1ステップのCMP)を行い、
前記配線溝40〜44の外部のCu膜46を除去する
(図37)。
【0129】ここで砥粒フリー化学機械研磨とは、アル
ミナ、シリカなどの粉末からなる砥粒の含有量が0.5
%重量未満の研磨液(スラリ)を使用した化学機械研磨
を意味し、研磨液としては、特に砥粒の含有量が0.1
重量%未満のものが好ましく、0.01重量%未満のも
のはさらに好ましい。
【0130】また、研磨液としては、Cuの腐食域に属
するようにそのpHが調整されたものが使用され、さら
にTiN膜45(バリア層)に対するCu膜46の研磨
選択比が少なくとも5以上となるようにその組成が調整
されたものが使用される。このような研磨液として、酸
化剤と有機酸とを含んだスラリを例示することができ
る。酸化剤としては、過酸化水素、水酸化アンモニウ
ム、硝酸アンモニウム、塩化アンモニウムなどを例示す
ることができ、有機酸としては、クエン酸、マロン酸、
フマル酸、リンゴ酸、アジピン酸、安息香酸、フタル
酸、酒石酸、乳酸、コハク酸などを例示することができ
る。これらのうち、過酸化水素は金属成分を含まず、か
つ強酸ではないため、研磨液に用いて好適な酸化剤であ
る。また、クエン酸は食品添加物としても一般に使用さ
れており、毒性が低く、廃液としての害も低く、臭いも
なく、水への溶解度も高いため、研磨液に用いて好適な
有機酸である。本実施の形態では、例えば純水に5体積
%の過酸化水素と0.03重量%のクエン酸とを加え、
砥粒の含有量を0.01重量%未満にした研磨液を使用
する。
【0131】上記研磨液で化学機械研磨を行うと、まず
Cu表面が酸化剤によって酸化され、表面に薄い酸化層
が形成される。次に酸化物を水溶性化する物質が供給さ
れると上記酸化層が水溶液となって溶出し、上記酸化層
の厚さか減る。酸化層が薄くなった部分は再度酸化性物
質に晒されて酸化層の厚さが増し、この反応を繰り返し
て化学機械研磨が進行する。なお、このような砥粒フリ
ーの研磨液を使用した化学機械研磨については、本願発
明者などによる日本特願平9−299937号および特
願平10−317233号に詳しく記載されている。
【0132】研磨の条件は、一例として荷重=250g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=150cc/minとし、研磨パッド
は、米国ロデール(Rodel) 社の硬質パッド(IC140
0)を使用する。研磨の終点は、Cu膜46が除去され
て下地のTiN膜45が露出した時点とし、終点の検出
は、研磨対象がCu膜46からTiN膜45になったと
きに変化する定盤またはウエハキャリアの回転トルク信
号強度を検出することによって行う。また、研磨パッド
の一部に穴を開け、ウエハ表面からの光反射スペクトル
変化に基づいて終点を検出したり、スラリの光学的スペ
クトル変化に基づいて終点を検出したりしてもよい。
【0133】図37に示すように、上記の砥粒フリー化
学機械研磨を行うことにより、配線溝40〜44の外部
のCu膜46は殆ど除去されて下層のTiN膜45が露
出するが、図38(a)(b)に拡大して示すように、
下地段差に起因して生じたTiN膜45の窪み(矢印で
示す)などには、この研磨では除去しきれなかったCu
膜46が残存する。
【0134】次に、配線溝40〜44の外部のTiN膜
45とその上面に局所的に残ったCu膜46とを除去す
るために、基板1を第1定盤403Aから第2定盤40
3Bに移し、砥粒を含む研磨液(スラリ)を使用した化
学機械研磨(有砥粒化学機械研磨)(第2ステップのC
MP)を行う。ここで有砥粒化学機械研磨とは、アルミ
ナ、シリカなどの粉末からなる砥粒の含有量が0.5重
量%以上の研磨液を使用した化学機械研磨を意味する。
本実施の形態では、研磨液として純水に5体積%の過酸
化水素、0.03重量%のクエン酸および0.5重量%
の砥粒を混合したものを使用するが、これに限定される
ものではない。この研磨液は、前記のスラリ供給管41
8aを通じて第2定盤403Bの研磨パッド413に供
給される。
【0135】また、この有砥粒化学機械研磨において
は、TiN膜45の上面に局所的に残ったCu膜46の
除去に引き続いて、配線溝40〜44の外部のTiN膜
45を除去する。そこで、TiN膜45(バリア層)に
対するCu膜46の研磨選択比が前記砥粒フリー化学機
械研磨のそれよりも低い条件、例えば選択比3以下の条
件で研磨を行い、配線溝40〜44の内部のCu膜46
の表面が研磨されるのを抑制する。
【0136】研磨の条件は、一例として荷重=120g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=150cc/minとし、研磨パッド
は、ロデール社のIC1400を使用する。研磨量はT
iN膜45の膜厚相当分とし、研磨の終点は、TiN膜
45の膜厚および研磨速度から算出した時間によって制
御する。
【0137】図39に示すように、上記の有砥粒化学機
械研磨を行うことにより、配線溝40〜44の外部のT
iN膜45は殆ど除去されて下層のシリコン酸化膜39
が露出するが、図40(a)、(b)に拡大して示すよ
うに、下地段差に起因して生じたシリコン酸化膜39の
窪み(矢印で示す)などには、上記の研磨で除去しきれ
なかったTiN膜45が残存する。
【0138】次に、配線溝40〜44の内部のCu膜4
6の研磨を可能な限り抑制しつつ、配線溝40〜44の
外部のシリコン酸化膜39上に局所的に残ったTiN膜
45(バリア層)を除去するための選択的化学機械研磨
(第3ステップのCMP)を行う。この選択的化学機械
研磨は、Cu膜46に対するTiN膜45の研磨選択比
が少なくとも5以上となる条件で行う。また、この化学
機械研磨は、Cu膜46の研磨速度に対するシリコン酸
化膜39の研磨速度の比が1よりも大きくなる条件で行
う。
【0139】上記選択的化学機械研磨を行うには、一般
に前記有砥粒化学機械研磨で使用したような0.5%重
量以上の砥粒を含有する研磨液に防食剤を添加したもの
を使用する。防食剤とは、Cu膜46の表面に耐食性の
保護膜を形成することによって研磨の進行を阻止または
抑制する薬剤をいい、ベンゾトリアゾール(BTA)、
BTAカルボン酸などのBTA誘導体、ドデシルメルカ
プタン、トリアゾール、トリルトリアゾールなどが使用
されるが、特にBTAを使用した場合に安定な保護膜を
形成することができる。
【0140】防食剤としてBTAを使用する場合、その
濃度はスラリの種類にもよるが、通常は0.001〜1
重量%、より好ましくは0.01〜1重量%、さらに好
ましくは0.1〜1重量%(3段階)の添加で十分な効
果が得られる。本実施の形態では、研磨液として前記第
2ステップの有砥粒化学機械研磨で使用した研磨液に防
食剤として0.1重量%のBTAを混合したものを使用
するが、これに限定されるものではない。また、防食剤
の添加による研磨速度の低下を避けるために、ポリアク
リル酸、ポリメタクリル酸、これらのアンモニウム塩ま
たはエチレンジアミン四酢酸(EDTA)などを必要に
応じて添加してもよい。なお、このような防食剤を含む
スラリを使用した化学機械研磨については、本願発明者
などによる特願平10−209857号、特願平9−2
99937号および特願平10−317233号に詳し
く記載されている。
【0141】この選択的化学機械研磨(第3ステップの
CMP)は、前記の有砥粒化学機械研磨(第2ステップ
のCMP)が終了した後、引き続いて第2定盤403B
の上で行われる。防食剤を添加した研磨液は、前記のス
ラリ供給管418bを通じて研磨パッド413の表面に
供給される。研磨の条件は、一例として荷重=120g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=190cc/minとする。
【0142】図41および図42(a)、(b)に示す
ように、上記の選択的化学機械研磨を行うことにより、
配線溝40〜44の外部のTiN膜45がすべて除去さ
れ、配線溝40〜44の内部に埋め込みCu配線46a
〜46eが形成される。
【0143】埋め込みCu配線46a〜46eの形成が
完了した上記基板1の表面には、砥粒などのパーティク
ルやCu酸化物などの金属粒子を含んだスラリ残渣が付
着している。そこでこのスラリ残渣を除去するために、
まず前記図34に示すクリーン・ステーション404に
おいてBTAを含む純水で基板1を洗浄する。このと
き、洗浄液に800kHz以上の高周波振動を加えて基
板1の表面からスラリ残渣を遊離させるメガソニック洗
浄を併用してもよい。次に、表面の乾燥を防ぐために基
板1を湿潤状態に保持した状態で研磨処理部401から
後洗浄部402に搬送し、第1洗浄部409Aにおいて
0.1重量%のNH4 OHを含む洗浄液を用いたスクラ
ブ洗浄を行い、続いて第2洗浄部409Bにおいて純水
を用いたスクラブ洗浄を行う。前記のように、後洗浄部
402は、洗浄中の基板1の表面に光が照射することに
起因してCu配線46a〜46eに腐食が発生するのを
防ぐため、全体が遮光壁430で覆われている。
【0144】上記スクラブ洗浄(後洗浄)が完了した基
板1は、スピンドライヤ410で乾燥された後、次工程
へ搬送される。
【0145】その後の工程は実施の形態1と同様であ
る。図43は、上述したCu配線46a〜46eの形成
プロセスの全体フロー図である。
【0146】本実施の形態によれば、実施の形態1の場
合よりさらにTDDB寿命を向上できる。図44は本実
施の形態の場合のTDDB寿命を示したグラフである。
本実施の形態の場合のデータはラインEで示している。
参考のため、処理無し(ラインRef)と有砥粒の化学
機械研磨の場合(実施の形態1)のデータ(ラインA)
を同時に示している。なお、アンモニアプラズマ処理を
行わず、砥粒フリーの化学機械研磨のみを行っただけで
もラインFに示すようにTDDB特性が改善する。この
ように砥粒フリーの場合にTDDB寿命が向上するのは
シリコン酸化膜に与えるダメージを低減できるためと考
えられる。有砥粒の場合、スラリには2〜3μmの粒径
(2次粒径)の砥粒(アルミナ等)が含まれる。この砥
粒によりマイクロスクラッチが生じ、シリコン酸化膜3
9の表面にダメージを与える。しかし、砥粒フリーの場
合にはスラリに砥粒が含まれず、あるいは含まれていて
もごく少数であるため、ダメージを大幅に軽減できる。
このため、TDDB特性が改善されたものと考えられ
る。
【0147】なお、次の実施の形態で説明する酸処理
(HF処理)を組み合わせると、さらにTDDB特性が
改善する(ラインG)。酸処理は、CMP後洗浄後、さ
らに酸性水溶液(たとえばHF水溶液)で基板1を処理
し、その後アンモニアプラズマ処理を行うものである。
酸処理により表面のダメージ層が除去されて界面の密着
性が向上しTDDB寿命が改善したものと考えられる。
【0148】(実施の形態3)図45は、Cu配線46
a〜46eの形成プロセスの全体フロー図である。同図
に示すように、HFまたはクエン酸による洗浄工程を挿
入した以外は実施の形態1と同様である。
【0149】HF洗浄は、たとえばブラシスクラブ洗浄
を用い、HF濃度を0.5%、洗浄時間を20秒の条件
が選択できる。
【0150】あるいはHF洗浄に代えてクエン酸洗浄を
用いても良い。クエン酸洗浄は、たとえばブラシスクラ
ブ洗浄を用い、クエン酸濃度を5%、洗浄時間を45秒
の条件が選択できる。
【0151】このようにHFまたはクエン酸洗浄を用い
ることにより、CMP等で生じた表面のダメージ層を除
去することができる。これによりTDDB寿命を向上で
きる。図46は、本実施の形態の場合のTDDB寿命を
示したグラフである。本実施の形態の場合のクエン酸を
適用したデータはラインH、HF洗浄を適用したデータ
はラインIで示している。参考のため、処理無し(ライ
ンRef)と実施の形態1のデータ(ラインA)を同時
に示している。なお、アンモニアプラズマ処理を行わ
ず、HF洗浄のみを行っただけでもラインJに示すよう
にTDDB特性が改善する。これは、ダメージ層の除去
により界面の特性を向上できたためと思われる。
【0152】(実施の形態4)図47〜図49は、本発
明の実施の形態4である半導体集積回路装置の製造方法
を示した平面図および断面図である。図47〜図49に
おいては配線部のみ示している。
【0153】図47に示すように、絶縁膜501上に配
線形成用の絶縁膜502を形成し、この絶縁膜502に
埋め込んで銅配線503を形成する。層配線503の形
成方法は実施の形態1〜3と同様である。
【0154】さらに、シリコン窒化膜504、低誘電率
のシリコン酸化膜505およびTEOSを原料ガスに用
いてプラズマCVD法により形成したシリコン酸化膜
(TEOS酸化膜)506を形成する。
【0155】低誘電率のシリコン酸化膜505は、例え
ば水素シルセスキオキサン(Hydrogen Silsesquioxane)
を原料とする無機系SOG膜、テトラアルコキシシラン
(tetra alkoxy silane) +アルキルアルコキシシラン(a
lkyl alkoxy silane) を原料とする有機系SOG膜とい
った塗布型絶縁膜や、プラズマCVD法で成膜するフロ
ロカーボンポリマー膜など、比誘電率(ε)が3.0以
下の酸化シリコン系絶縁膜によって構成する。このよう
な低誘電率のシリコン酸化膜を用いることによって配線
間寄生容量を低減し、配線遅延の問題を回避できる。
【0156】次に、図48(a)に示すようなパターン
で、図48(b)に示すように、接続孔507を開口す
る。接続孔507の開口にはフォトリソグラフィとエッ
チングを用いる。ところで、低誘電率のシリコン酸化膜
505は、表面が粗な膜構造を有し、Si−OH結合を
多く有する。このためその上層に形成する膜の膜質や界
面状態が良くないことは経験的に判明している。また、
次工程で説明するバリア膜(窒化チタン)をそのまま未
処理で成膜するとTDDB特性が良くないことも経験的
に判明している。そこで、次に、実施の形態1で説明し
たアンモニアプラズマ処理を接続孔507内部のシリコ
ン酸化膜505露出部に施す。これにより、表面のSi
−OH結合が改質されて、実施の形態1で説明したよう
にSi−O−N結合に転換される。
【0157】次に、図49に示すように、接続孔507
内に窒化チタンおよびタングステンからなるプラグ50
8を形成する。この窒化チタンの堆積の際、実施の形態
1と同様にSi−O−N結合が離脱し、窒化チタンと低
誘電率のシリコン酸化膜505との界面が改善され接着
性が向上する。
【0158】なお、このような接続孔内のプラズマ処理
は、配線溝にも適用できることはもちろんである。
【0159】また、アンモニアプラズマ処理に代えて水
素プラズマ処理、窒素、アルゴン、ヘリウム等が混合さ
れたプラズマ処理であっても良い。
【0160】なお、接続孔507の開口後にフォトレジ
スト膜を除去するためのアッシング工程に置いて、接続
孔507底部の配線503の表面が酸化される場合があ
る。このような酸化層を除去する技術として、特開平1
1−16912号公報に記載の技術がある。
【0161】また、低誘電率のシリコン酸化膜505
は、パッシベーション膜として形成される保護膜に含ま
れるシリコン酸化膜(たとえばTEOS酸化膜)の誘電
率よりも低い誘電率を有するシリコン酸化膜と定義でき
る。
【0162】以上、本発明者によってなされた発明を発
明の実施の形態に基づき具体的に説明したが、本発明は
前記実施の形態に限定されるものではなく、その要旨を
逸脱しない範囲で種々変更可能であることはいうまでも
ない。
【0163】すなわち、上記した埋め込みCu配線46
a〜46eの形成方法は、デュアルダマシン法を用いた
埋め込みCu配線の形成に適用することもできる。この
場合は、第1層目のW配線24〜30を形成した後、ま
ず図50に示すように、第1層目のW配線24〜30の
上部にプラズマCVD法で膜厚1200nm程度のシリコ
ン酸化膜31、膜厚50nm程度の薄いシリコン窒化膜3
8および膜厚350nm程度のシリコン酸化膜39を順次
堆積する。
【0164】次に、図51に示すように、フォトレジス
ト膜をマスクにしたドライエッチングで第1層目のW配
線24、26、27、29、30の上部のシリコン酸化
膜39、シリコン窒化膜38およびシリコン酸化膜31
を順次除去した後、図52(a)、(b)に示すよう
に、別のフォトレジスト膜をマスクに用い、シリコン窒
化膜38をエッチングのストッパしたドライエッチング
でシリコン酸化膜39を除去することによって、スルー
ホールを兼ねた配線溝50〜54を形成する。
【0165】次に、図53に示すように、配線溝50〜
54の内部を含むシリコン酸化膜39の上部に膜厚50
nm程度の薄いTiN膜45を堆積した後、TiN膜45
の上部に配線溝50〜54の深さよりも十分に厚い膜厚
のCu膜46を堆積する。スルーホールを兼ねた配線溝
50〜54は、前記配線溝40〜44に比べてアスペク
ト比が大きいため、TiN膜45はCVD法で堆積す
る。また、Cu膜46はスパッタリングを2回以上繰り
返すことによって堆積する。また、CVD法、電解メッ
キ法あるいは無電解メッキ法で形成してもよい。メッキ
法でCu膜46を形成する場合には、配線溝50〜54
の下層にCuのシード層をスパッタリング法などで形成
する工程が必要となる。
【0166】次に、図54に示すように、前述した砥粒
フリー化学機械研磨、有砥粒化学機械研磨および選択的
化学機械研磨によって配線溝50〜54の外部のCu膜
46とTiN膜45とを除去し、配線溝50〜54の内
部に埋め込みCu配線46a〜46eを形成する。その
後の工程は、前記シングルダマシン法を用いた埋め込み
Cu配線46a〜46eの形成方法と同じである。
【0167】また、前記実施の形態1〜4は、各々独立
に適用できることはもちろん、相互に組み合わせて適用
できることは言うまでもない。たとえば実施の形態2の
技術を適用して砥粒フリーで化学機械研磨を施し、その
後実施の形態3を適用して酸処理を施し、さらに実施の
形態1を適用してアンモニアあるいは水素、その他のプ
ラズマ処理を施しても良い。
【0168】また、前記実施の形態では、アンモニアプ
ラズマ処理後のシリコン窒化膜47の形成を真空破壊す
ることなく連続的に行ったが、アンモニアプラズマ処理
の後、一旦真空破壊をして、その後シリコン窒化膜47
を形成しても良い。真空破壊しない方が本発明の効果を
より効果的に奏することができるが、アンモニアプラズ
マ処理により薄い窒化層が形成されるため、真空破壊を
行い大気雰囲気に暴露しても酸化層の形成を抑制でき
る。よって、真空破壊した場合であっても、本実施の形
態の効果をある程度奏することは可能である。
【0169】
【発明の効果】本願において開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば以
下のとおりである。
【0170】ダマシン法を用いて形成された銅配線の絶
縁破壊耐性(信頼性)を向上できる。
【0171】配線層とキャップ膜との剥離の発生を抑制
できる。
【0172】キャップ膜にシリコン窒化膜を用いた場合
の銅配線の抵抗値の増加を防止できる。
【図面の簡単な説明】
【図1】本発明の一実施の形態(実施の形態1)である
半導体集積回路装置の製造方法を示す半導体基板の要部
断面図である。
【図2】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図3】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図4】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図5】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図6】(a)は実施の形態1の製造方法を示す平面
図、(b)は実施の形態1の製造方法を示す要部断面図
である。
【図7】(a)は実施の形態1の製造方法を示す平面
図、(b)は実施の形態1の製造方法を示す要部断面図
である。
【図8】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図9】埋め込みCu配線の形成に用いるCMP装置の
全体構成の一例を示す概略図である。
【図10】埋め込みCu配線の形成に用いるCMP装置
の一部を示す概略図である。
【図11】ウエハのスクラブ洗浄方法を示す斜視図であ
る。
【図12】埋め込みCu配線の形成に用いるCMP装置
の全体構成の他の例を示す概略図である。
【図13】埋め込みCu配線の形成に用いるCMP装置
の全体構成のさらに他の例を示す概略図である。
【図14】実施の形態1の製造方法を示す半導体基板の
要部断面図である。
【図15】(a)は、アンモニアプラズマ処理およびシ
リコン窒化膜の堆積に用いるプラズマ処理装置の概要を
示した断面図であり、(b)は同じく平面図である。
【図16】実施の形態1の製造方法を示す半導体基板の
要部断面図である。
【図17】実施の形態1の製造方法を示す半導体基板の
要部断面図である。
【図18】実施の形態1の半導体集積回路装置の製造方
法を示すフロー図である。
【図19】実施の形態1の半導体集積回路装置の概要を
示す断面図である
【図20】TDDB寿命を示すグラフである。
【図21】TDDB寿命を示すグラフである。
【図22】(a)〜(d)は、XPSデータを示すグラ
フである。
【図23】(a)〜(d)は、XPSデータを示すグラ
フである。
【図24】(a)〜(d)は、XPSデータを示すグラ
フである。
【図25】(a)〜(e)は、XPSデータを示すグラ
フである。(f)は組成比を示す表図である。
【図26】(a)〜(d)は、質量分析結果を示すグラ
フである。
【図27】(a)〜(d)は、質量分析結果を示すグラ
フである。
【図28】実施の形態1の配線部分を示すTEM写真で
ある。
【図29】比較として示すTEM写真である。
【図30】配線抵抗を示すグラフである。
【図31】(a)は処理無しの場合の配線部分を示すT
EM写真である。(b)は実施の形態1の配線部分を示
すTEM写真である。(c)および(d)は、各々
(a)および(b)をトレースした図面である。
【図32】(a)〜(c)は、比較として示すTEM写
真である。(d)、(e)および(f)は、各々
(a)、(b)および(c)をトレースした図面であ
る。
【図33】TDDB寿命を示すグラフである。
【図34】本発明の実施の形態2である半導体集積回路
装置の製造方法に用いるCMP装置の全体構成の一例を
示す概略図である。
【図35】埋め込みCu配線の形成に用いるCMP装置
の一部を示す概略図である
【図36】Cu膜の研磨状態を示すCMP装置の概略図
である。
【図37】実施の形態2の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図38】(a)は、実施の形態2の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図39】実施の形態2の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図40】(a)は、実施の形態2の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図41】実施の形態2の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図42】(a)は、実施の形態2の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図43】実施の形態2の半導体集積回路装置の製造方
法を示すフロー図である。
【図44】TDDB寿命を示すグラフである。
【図45】実施の形態3の半導体集積回路装置の製造方
法を示すフロー図である。
【図46】TDDB寿命を示すグラフである。
【図47】実施の形態4の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図48】(a)は、実施の形態4の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図49】実施の形態4の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図50】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図51】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図52】(a)は、他の実施の形態の半導体集積回路
装置の製造方法を示す半導体基板の要部平面図、(b)
は同じく要部断面図である。
【図53】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図54】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図55】銅配線、アルミニウム配線、タングステン配
線のTDDB特性を測定したデータを示すグラフであ
る。
【図56】本願のTDDB寿命測定に使用した試料を示
し、(a)は平面図、(b)および(c)は(a)にお
けるB−B’線断面およびC−C’線断面を各々示す。
【図57】測定の概要を示した概念図である。
【図58】電流電圧測定結果の一例である。
【符号の説明】
1 基板 2 素子分離溝 3 シリコン酸化膜 4 p型ウエル 5 n型ウエル 6 ゲート酸化膜 7 ゲート電極 9 シリサイド層 11 n- 型半導体領域 12 p- 型半導体領域 13 サイドウォールスペーサ 14 n+ 型半導体領域 15 p+ 型半導体領域 18 シリコン酸化膜 20〜22 コンタクトホール 23 プラグ 24〜30 W配線 28〜30 Cu配線 31 シリコン酸化膜 32〜36 スルーホール 37 プラグ 38 シリコン窒化膜 39 シリコン酸化膜 40〜44 配線溝 45 TiN膜 46 Cu膜 46a〜46e Cu配線 47 シリコン窒化膜(キャップ膜) 50〜54 配線溝 100 CMP装置 101 筐体 102 回転軸 103 モータ 104 研磨盤 105 研磨パッド 106 ウエハキャリア 106a 凹部 107 駆動軸 108 スラリ供給管 109 ドレッサ 110 駆動軸 120 ローダ 121A ブラシ 130 研磨処理部 140 防蝕処理部 150 浸漬処理部 160 後洗浄処理部 170 アンローダ 200 CMP装置 220 ローダ 230 研磨処理部 240 乾燥処理部 250 後洗浄処理部 260 アンローダ 301 ロードロック室 302a 処理室 302b 処理室 303 カセットインタフェイス 304 ロボット 305 ゲートバルブ 306 サセプタ 307 バッフル板 308 支持部材 309 電極 310 絶縁板 311 反射ユニット 312 ランプ 313 赤外線 314 石英窓 315 ガスポート 316 真空マニホールド 400 CMP装置 401 研磨処理部 402 後洗浄部 403A 第1定盤 403B 第2定盤 404 クリーン・ステーション 405 回転アーム 406 ローダ 407 アンローダ 408 ローダ 409A 第1洗浄部 409B 第2洗浄部 410 スピンドライヤ 411 アンローダ 412 駆動機構 413 研磨パッド 414 駆動機構 415 ウエハキャリア 416 ウエハチャック 417 リテーナリング 418 スラリ供給管 418a スラリ供給管 418b スラリ供給管 419 駆動機構 420 ドレッサ 430 遮光壁 501 絶縁膜 502 絶縁膜 503 配線 504 シリコン窒化膜 505 シリコン酸化膜 507 接続孔 508 プラグ Qn nチャネル型MISFET Qp pチャネル型MISFET
───────────────────────────────────────────────────── フロントページの続き (72)発明者 武田 健一 東京都国分寺市東恋ヶ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 齋藤 達之 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 山口 日出 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 大和田 伸郎 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 5F033 HH08 HH11 HH18 HH19 HH21 HH23 HH32 HH33 HH34 JJ01 JJ08 JJ11 JJ18 JJ19 JJ21 JJ23 JJ32 JJ33 JJ34 KK01 KK08 KK11 KK18 KK19 KK21 KK23 KK32 KK33 KK34 MM01 MM02 MM08 MM12 MM13 NN06 NN07 PP06 PP15 PP27 PP28 QQ00 QQ08 QQ09 QQ11 QQ20 QQ25 QQ37 QQ48 QQ50 QQ73 QQ75 QQ90 QQ93 QQ98 RR04 RR06 RR09 RR15 RR24 RR25 SS01 SS02 SS04 SS11 SS15 TT02 TT04 WW04 XX10 XX12 XX14 XX24 XX27

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 (a)半導体基板の上層に第1絶縁膜を
    形成し、前記第1絶縁膜に溝を形成する工程、 (b)前記第1絶縁膜上に第1導電膜を堆積し、前記溝
    を埋め込む第2導電膜を形成する工程、 (c)前記溝以外の前記第1絶縁膜上の前記第2導電膜
    および第1導電膜を研磨により除去し、前記溝内に配線
    を形成する工程、 (d)前記第1絶縁膜および配線の表面を還元性雰囲気
    のプラズマにより処理する工程、 (e)前記プラズマ処理工程の終了後、前記第1絶縁膜
    および配線上に第2絶縁膜を堆積する工程、 を含むことを特徴とする半導体集積回路装置の製造方
    法。
  2. 【請求項2】 請求項1記載の半導体集積回路装置の製
    造方法であって、 前記還元性雰囲気のプラズマは、アンモニア(NH3
    プラズマ、または、水素(H2 )プラズマであることを
    特徴とする半導体集積回路装置の製造方法。
  3. 【請求項3】 請求項1記載の半導体集積回路装置の製
    造方法であって、 前記還元性雰囲気のプラズマは、アンモニア(NH3
    と希釈ガスとの混合ガスプラズマであり、 前記希釈ガスは、水素(H2 )、窒素(N2 )、アルゴ
    ン(Ar)、ヘリウム(He)から選択された単一また
    は複数のガスであることを特徴とする半導体集積回路装
    置の製造方法。
  4. 【請求項4】 請求項3記載の半導体集積回路装置の製
    造方法であって、 前記混合ガスに対するアンモニア(NH3 )の濃度は5
    %以上であることを特徴とする半導体集積回路装置の製
    造方法。
  5. 【請求項5】 請求項1記載の半導体集積回路装置の製
    造方法であって、 前記還元性雰囲気のプラズマは、水素(H2 )と希釈ガ
    スとの混合ガスプラズマであり、 前記希釈ガスは、アンモニア(NH3 )、窒素
    (N2 )、アルゴン(Ar)、ヘリウム(He)から選
    択された単一または複数のガスであることを特徴とする
    半導体集積回路装置の製造方法。
  6. 【請求項6】 請求項5記載の半導体集積回路装置の製
    造方法であって、 前記混合ガスに対する水素(H2 )の濃度は5%以上で
    あることを特徴とする半導体集積回路装置の製造方法。
  7. 【請求項7】 請求項1記載の半導体集積回路装置の製
    造方法であって、 前記第1絶縁膜はシリコン酸化膜であり、 前記第2導電膜は銅からなることを特徴とする半導体集
    積回路装置の製造方法。
  8. 【請求項8】 請求項7記載の半導体集積回路装置の製
    造方法であって、 前記第2絶縁膜はシリコン窒化膜であることを特徴とす
    る半導体集積回路装置の製造方法。
  9. 【請求項9】 請求項8記載の半導体集積回路装置の製
    造方法であって、 前記還元性雰囲気のプラズマは、アンモニア(N
    3 )、水素(H2 )、または、これらのガスと窒素
    (N2 )、アルゴン(Ar)、ヘリウム(He)から選
    択された単一または複数のガスとの混合ガスのプラズマ
    であることを特徴とする半導体集積回路装置の製造方
    法。
  10. 【請求項10】 請求項9記載の半導体集積回路装置の
    製造方法であって、 前記銅は、99.99%以上の高純度であることを特徴
    とする半導体集積回路装置の製造方法。
  11. 【請求項11】 請求項1記載の半導体集積回路装置の
    製造方法であって、 前記(c)工程と(d)工程との間に、前記第1絶縁膜
    および配線の表面を酸洗浄する工程を有することを特徴
    とする半導体集積回路装置の製造方法。
  12. 【請求項12】 請求項11記載の半導体集積回路装置
    の製造方法であって、 前記酸洗浄には、フッ化水素(HF)またはクエン酸
    (C(CH2 COOH)2 (OH)(COOH))の水
    溶液を用いることを特徴とする半導体集積回路装置の製
    造方法。
  13. 【請求項13】 請求項12記載の半導体集積回路装置
    の製造方法であって、 前記第1絶縁膜はシリコン酸化膜であり、前記第2導電
    膜は銅からなり、前記第2絶縁膜はシリコン窒化膜であ
    ることを特徴とする半導体集積回路装置の製造方法。
  14. 【請求項14】 請求項13記載の半導体集積回路装置
    の製造方法であって、 前記還元性雰囲気のプラズマは、アンモニア(N
    3 )、水素(H2 )、または、これらのガスと窒素
    (N2 )、アルゴン(Ar)、ヘリウム(He)から選
    択された単一または複数のガスとの混合ガスのプラズマ
    であることを特徴とする半導体集積回路装置の製造方
    法。
  15. 【請求項15】 請求項14記載の半導体集積回路装置
    の製造方法であって、 前記銅は、99.99%以上の高純度であることを特徴
    とする半導体集積回路装置の製造方法。
  16. 【請求項16】 請求項1記載の半導体集積回路装置の
    製造方法であって、 前記(c)工程における研磨には、砥粒フリーの化学機
    械研磨法を用いることを特徴とする半導体集積回路装置
    の製造方法。
  17. 【請求項17】 請求項16記載の半導体集積回路装置
    の製造方法であって、 前記(c)工程における研磨は、砥粒フリー化学機械研
    磨を行う第1研磨、有砥粒化学機械研磨を行う第2研
    磨、および、前記第2導電膜に対して前記第1導電膜の
    選択比が5以上である化学機械研磨を行う第3研磨の3
    段階の化学機械研磨で行われることを特徴とする半導体
    集積回路装置の製造方法。
  18. 【請求項18】 請求項17記載の半導体集積回路装置
    の製造方法であって、 前記第1絶縁膜はシリコン酸化膜であり、前記第2導電
    膜は銅からなり、前記第2絶縁膜はシリコン窒化膜であ
    ることを特徴とする半導体集積回路装置の製造方法。
  19. 【請求項19】 請求項18記載の半導体集積回路装置
    の製造方法であって、 前記還元性雰囲気のプラズマは、アンモニア(N
    3 )、水素(H2 )、または、これらのガスと窒素
    (N2 )、アルゴン(Ar)、ヘリウム(He)から選
    択された単一または複数のガスとの混合ガスのプラズマ
    であることを特徴とする半導体集積回路装置の製造方
    法。
  20. 【請求項20】 請求項19記載の半導体集積回路装置
    の製造方法であって、 前記(c)工程と(d)工程との間に、前記第1絶縁膜
    および配線の表面をフッ化水素(HF)またはクエン酸
    (C(CH2 COOH)2 (OH)(COOH))の水
    溶液を用いて酸洗浄する工程を有することを特徴とする
    半導体集積回路装置の製造方法。
  21. 【請求項21】 請求項20記載の半導体集積回路装置
    の製造方法であって、 前記銅は、99.99%以上の高純度であることを特徴
    とする半導体集積回路装置の製造方法。
  22. 【請求項22】 (a)半導体基板の上層に第1絶縁膜
    を形成し、前記第1絶縁膜に溝を形成する工程、 (b)前記第1絶縁膜上に第1導電膜を堆積し、前記溝
    を埋め込む第2導電膜を形成する工程、 (c)前記溝以外の前記第1絶縁膜上の前記第2導電膜
    および第1導電膜を研磨により除去し、前記溝内に配線
    を形成する工程、 (d)前記第1絶縁膜および配線の表面にプラズマを用
    いて還元処理および窒化処理を施す工程、 (e)前記第1絶縁膜および配線上に第2絶縁膜を堆積
    する工程、 を含むことを特徴とする半導体集積回路装置の製造方
    法。
  23. 【請求項23】 請求項22記載の半導体集積回路装置
    の製造方法であって、 前記プラズマは、アンモニア(NH3 )、またはアンモ
    ニアと希釈ガスとの混合ガスのプラズマであり、前記希
    釈ガスは、水素(H2 )、窒素(N2 )、アルゴン(A
    r)、ヘリウム(He)から選択された単一または複数
    のガスであることを特徴とする半導体集積回路装置の製
    造方法。
  24. 【請求項24】 半導体基板の上層に形成された第1絶
    縁膜と、前記第1絶縁膜よりさらに上層に形成された不
    純物の侵入を防止する保護膜と、を有する半導体集積回
    路装置の製造方法であって、 (a)前記保護膜に含まれるシリコン酸化膜の誘電率よ
    り低い誘電率を有する第1絶縁膜を形成する工程、 (b)前記第1絶縁膜に溝または孔を形成する工程、 (c)前記第1絶縁膜の露出面を還元性雰囲気のプラズ
    マにより処理する工程、 (d)前記溝または孔の内壁を含む表面を覆う第1導電
    膜を堆積し、前記溝または孔を埋め込む第2導電膜を形
    成する工程、 (e)前記溝または孔以外の前記第2導電膜および第1
    導電膜を研磨により除去し、前記溝または孔内に導電部
    材を形成する工程、 を含むことを特徴とする半導体集積回路装置の製造方
    法。
  25. 【請求項25】 請求項24記載の半導体集積回路装置
    の製造方法であって、 前記還元性雰囲気のプラズマは、アンモニア(N
    3 )、水素(H2 )、または、これらのガスと窒素
    (N2 )、アルゴン(Ar)、ヘリウム(He)から選
    択された単一または複数のガスとの混合ガスのプラズマ
    であることを特徴とする半導体集積回路装置の製造方
    法。
  26. 【請求項26】 請求項25記載の半導体集積回路装置
    の製造方法であって、 前記第1絶縁膜の上面に第2絶縁膜を形成し、前記
    (b)工程において前記第1および第2絶縁膜に溝また
    は孔を形成し、前記(c)工程において前記溝または孔
    の内壁に露出した前記第1絶縁膜の露出面を還元性雰囲
    気のプラズマにより処理することを特徴とする半導体集
    積回路装置の製造方法。
  27. 【請求項27】 半導体基板の上層に形成された第1絶
    縁膜と、前記第1絶縁膜の溝内に埋め込んで形成された
    配線と、前記第1絶縁膜および配線上に形成された第2
    絶縁膜とを有する半導体集積回路装置であって、 前記第1絶縁膜および配線と前記第2絶縁膜との界面に
    は窒化膜が形成されていることを特徴とする半導体集積
    回路装置。
  28. 【請求項28】 請求項27記載の半導体集積回路装置
    であって、 前記第1絶縁膜はシリコン酸化膜であり、前記配線は銅
    であり、前記第2絶縁膜はシリコン窒化膜であることを
    特徴とする半導体集積回路装置。
  29. 【請求項29】 請求項28記載の半導体集積回路装置
    であって、 前記窒化膜は、その膜中の窒素濃度が、前記第1絶縁膜
    および配線側から前記第2絶縁膜側に進むに従って高く
    なることを特徴とする半導体集積回路装置。
  30. 【請求項30】 請求項1記載の半導体集積回路装置の
    製造方法であって、 前記(d)工程の終了後、前記半導体基板を大気雰囲気
    に曝すことなく減圧または不活性状態を維持し、前記第
    1絶縁膜および配線上に、前記(e)工程の前記第2絶
    縁膜を連続的に堆積することを特徴とする半導体集積回
    路装置の製造方法。
JP22687699A 1999-08-10 1999-08-10 半導体集積回路装置の製造方法 Expired - Lifetime JP4554011B2 (ja)

Priority Applications (14)

Application Number Priority Date Filing Date Title
JP22687699A JP4554011B2 (ja) 1999-08-10 1999-08-10 半導体集積回路装置の製造方法
TW089114753A TW521373B (en) 1999-08-10 2000-07-24 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
KR1020000046084A KR100746543B1 (ko) 1999-08-10 2000-08-09 반도체 집적 회로 장치의 제조 방법
US10/128,264 US20020119651A1 (en) 1999-08-10 2002-04-24 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/128,265 US6849535B2 (en) 1999-08-10 2002-04-24 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/140,110 US20020127842A1 (en) 1999-08-10 2002-05-08 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/140,111 US20020142576A1 (en) 1999-08-10 2002-05-08 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/140,112 US6815330B2 (en) 1999-08-10 2002-05-08 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/233,421 US6756679B2 (en) 1999-08-10 2002-09-04 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/233,432 US6797609B2 (en) 1999-08-10 2002-09-04 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/233,475 US6864169B2 (en) 1999-08-10 2002-09-04 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/233,469 US6797606B2 (en) 1999-08-10 2002-09-04 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US10/233,430 US6716749B2 (en) 1999-08-10 2002-09-04 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US12/018,790 US20080138979A1 (en) 1999-08-10 2008-01-23 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP22687699A JP4554011B2 (ja) 1999-08-10 1999-08-10 半導体集積回路装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006280936A Division JP2007005840A (ja) 2006-10-16 2006-10-16 半導体集積回路装置の製造方法

Publications (3)

Publication Number Publication Date
JP2001053076A true JP2001053076A (ja) 2001-02-23
JP2001053076A5 JP2001053076A5 (ja) 2004-11-11
JP4554011B2 JP4554011B2 (ja) 2010-09-29

Family

ID=16851969

Family Applications (1)

Application Number Title Priority Date Filing Date
JP22687699A Expired - Lifetime JP4554011B2 (ja) 1999-08-10 1999-08-10 半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (11) US6849535B2 (ja)
JP (1) JP4554011B2 (ja)
KR (1) KR100746543B1 (ja)
TW (1) TW521373B (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001185515A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd 研磨方法、配線形成方法、半導体装置の製造方法及び半導体集積回路装置
US6435943B1 (en) * 2000-10-26 2002-08-20 United Microelectronics Corp. Method of chemical mechanical polishing organic silicon material with low dielectric constant
JP2002328629A (ja) * 2001-04-13 2002-11-15 Samsung Electronics Co Ltd 配線及びその製造方法とその配線を含む薄膜トランジスタ基板及びその製造方法
JP2003077918A (ja) * 2001-09-04 2003-03-14 Nec Corp 半導体装置の製造方法
WO2003079429A1 (en) * 2002-03-15 2003-09-25 Renesas Technology Corp. Production method for semiconductor integrated circuit device
US6787462B2 (en) 2001-03-28 2004-09-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having buried metal wiring
JP2004304021A (ja) * 2003-03-31 2004-10-28 Ebara Corp 半導体装置の製造方法及び製造装置
US6887783B2 (en) 2002-01-15 2005-05-03 International Business Machines Corporation Bilayer HDP CVD/PE CVD cap in advance BEOL interconnect structures and method thereof
JP2006024641A (ja) * 2004-07-06 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
US6992007B2 (en) 2002-04-19 2006-01-31 Nec Electronics Corporation Method of cleaning damascene structure of semiconductor wafer during fabrication of semiconductor device
JP2006165597A (ja) * 2006-02-10 2006-06-22 Renesas Technology Corp 半導体装置の製造方法
JP2006237257A (ja) * 2005-02-24 2006-09-07 Nec Electronics Corp 半導体装置およびその製造方法
US7138717B2 (en) 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
JP2007005840A (ja) * 2006-10-16 2007-01-11 Renesas Technology Corp 半導体集積回路装置の製造方法
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US7390741B2 (en) 2003-12-22 2008-06-24 Fujitsu Limited Method for fabricating semiconductor device
JP2010098195A (ja) * 2008-10-17 2010-04-30 Hitachi Cable Ltd 配線構造及び配線構造の製造方法
KR101004922B1 (ko) 2008-02-27 2010-12-28 르네사스 일렉트로닉스 가부시키가이샤 프로브 카드, 프로브 카드의 제조 방법, 반도체 검사 장치 및 반도체 장치의 제조 방법
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2011233925A (ja) * 2011-07-28 2011-11-17 Renesas Electronics Corp 半導体装置の製造方法

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3645129B2 (ja) * 1999-06-25 2005-05-11 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2001223269A (ja) * 2000-02-10 2001-08-17 Nec Corp 半導体装置およびその製造方法
US6989600B2 (en) * 2000-04-20 2006-01-24 Renesas Technology Corporation Integrated circuit device having reduced substrate size and a method for manufacturing the same
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US7271489B2 (en) * 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
JP3901094B2 (ja) * 2001-03-16 2007-04-04 信越半導体株式会社 シリコンウエーハの保管用水及び保管方法
US6949411B1 (en) * 2001-12-27 2005-09-27 Lam Research Corporation Method for post-etch and strip residue removal on coral films
JP3734447B2 (ja) * 2002-01-18 2006-01-11 富士通株式会社 半導体装置の製造方法および半導体装置の製造装置
US6518184B1 (en) * 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US6764952B1 (en) * 2002-03-13 2004-07-20 Novellus Systems, Inc. Systems and methods to retard copper diffusion and improve film adhesion for a dielectric barrier on copper
JP3989763B2 (ja) * 2002-04-15 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6909196B2 (en) * 2002-06-21 2005-06-21 Micron Technology, Inc. Method and structures for reduced parasitic capacitance in integrated circuit metallizations
JP4087172B2 (ja) * 2002-07-11 2008-05-21 セイコーインスツル株式会社 半導体装置の製造方法
US7582260B2 (en) * 2002-07-18 2009-09-01 Montana State University Zwitterionic dyes for labeling in proteomic and other biological analyses
JP3974470B2 (ja) * 2002-07-22 2007-09-12 株式会社東芝 半導体装置
JP2004172576A (ja) * 2002-10-30 2004-06-17 Sony Corp エッチング液、エッチング方法および半導体装置の製造方法
US6790777B2 (en) 2002-11-06 2004-09-14 Texas Instruments Incorporated Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device
DE10257682A1 (de) * 2002-12-10 2004-07-08 Infineon Technologies Ag Halbleiterschaltungsanordnung
JP2004273523A (ja) * 2003-03-05 2004-09-30 Renesas Technology Corp 配線接続構造
JP2004288696A (ja) * 2003-03-19 2004-10-14 Fujitsu Ltd 半導体装置の製造方法
JP2004356178A (ja) * 2003-05-27 2004-12-16 Oki Electric Ind Co Ltd エッチング方法、及び半導体装置の製造方法
US20040266185A1 (en) * 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
US20050048768A1 (en) * 2003-08-26 2005-03-03 Hiroaki Inoue Apparatus and method for forming interconnects
JPWO2005055305A1 (ja) * 2003-12-04 2007-06-28 東京エレクトロン株式会社 半導体基板導電層表面の清浄化方法
JP4065855B2 (ja) * 2004-01-21 2008-03-26 株式会社日立製作所 生体および化学試料検査装置
US7700477B2 (en) * 2004-02-24 2010-04-20 Panasonic Corporation Method for fabricating semiconductor device
SG157226A1 (en) * 2004-02-24 2009-12-29 Taiwan Semiconductor Mfg A method for improving time dependent dielectric breakdown lifetimes
KR100519801B1 (ko) 2004-04-26 2005-10-10 삼성전자주식회사 스트레스 완충 스페이서에 의해 둘러싸여진 노드 콘택플러그를 갖는 반도체소자들 및 그 제조방법들
US7829152B2 (en) * 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
JP2006179599A (ja) * 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
US7442114B2 (en) * 2004-12-23 2008-10-28 Lam Research Corporation Methods for silicon electrode assembly etch rate and etch uniformity recovery
KR100640525B1 (ko) * 2004-12-29 2006-10-31 동부일렉트로닉스 주식회사 반도체 소자의 금속 라인 형성 방법
KR100628225B1 (ko) * 2004-12-29 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7368383B2 (en) * 2005-05-24 2008-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Hillock reduction in copper films
US7414275B2 (en) * 2005-06-24 2008-08-19 International Business Machines Corporation Multi-level interconnections for an integrated circuit chip
DE102005035740A1 (de) * 2005-07-29 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
JP4548280B2 (ja) * 2005-08-31 2010-09-22 ソニー株式会社 半導体装置の製造方法
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
JP4637733B2 (ja) * 2005-11-30 2011-02-23 富士通セミコンダクター株式会社 半導体装置およびその製造方法
DE102005057057B4 (de) * 2005-11-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
US7338826B2 (en) * 2005-12-09 2008-03-04 The United States Of America As Represented By The Secretary Of The Navy Silicon nitride passivation with ammonia plasma pretreatment for improving reliability of AlGaN/GaN HEMTs
US7863183B2 (en) 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US20070218214A1 (en) * 2006-03-14 2007-09-20 Kuo-Chih Lai Method of improving adhesion property of dielectric layer and interconnect process
KR20080106984A (ko) * 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
US8193087B2 (en) * 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
US7720562B2 (en) * 2006-11-08 2010-05-18 Ebara Corporation Polishing method and polishing apparatus
US7750470B2 (en) * 2007-02-08 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for planarization of dielectric layer around metal patterns for optical efficiency enhancement
JP2008218921A (ja) * 2007-03-07 2008-09-18 Nec Electronics Corp 位置ずれ量の測定用パターンおよび測定方法、ならびに半導体装置
JP4411331B2 (ja) * 2007-03-19 2010-02-10 信越化学工業株式会社 磁気記録媒体用シリコン基板およびその製造方法
US8334204B2 (en) 2008-07-24 2012-12-18 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
US8105937B2 (en) * 2008-08-13 2012-01-31 International Business Machines Corporation Conformal adhesion promoter liner for metal interconnects
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
JP5548396B2 (ja) * 2009-06-12 2014-07-16 三菱マテリアル株式会社 薄膜トランジスタ用配線層構造及びその製造方法
KR101559958B1 (ko) * 2009-12-18 2015-10-13 삼성전자주식회사 3차원 반도체 장치의 제조 방법 및 이에 따라 제조된 3차원 반도체 장치
DE102011083041B4 (de) * 2010-10-20 2018-06-07 Siltronic Ag Stützring zum Abstützen einer Halbleiterscheibe aus einkristallinem Silizium während einer Wärmebehandlung und Verfahren zur Wärmebehandlung einer solchen Halbleiterscheibe unter Verwendung eines solchen Stützrings
KR102014876B1 (ko) * 2011-07-08 2019-08-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
CN103918063A (zh) * 2011-09-26 2014-07-09 恩特格里公司 化学机械抛光后清洁装置及方法
JP2013105753A (ja) * 2011-11-10 2013-05-30 Toshiba Corp 半導体装置の製造方法
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
JP5870833B2 (ja) * 2012-04-24 2016-03-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US8841208B2 (en) 2012-07-18 2014-09-23 International Business Machines Corporation Method of forming vertical electronic fuse interconnect structures including a conductive cap
JP2014027012A (ja) * 2012-07-24 2014-02-06 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
WO2014026287A1 (en) * 2012-08-14 2014-02-20 Powerdisc Development Corporation Ltd. Fuel cell components, stacks and modular fuel cell systems
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US8753975B1 (en) 2013-02-01 2014-06-17 Globalfoundries Inc. Methods of forming conductive copper-based structures using a copper-based nitride seed layer without a barrier layer and the resulting device
US8859419B2 (en) 2013-02-01 2014-10-14 Globalfoundries Inc. Methods of forming copper-based nitride liner/passivation layers for conductive copper structures and the resulting device
JP2016149486A (ja) * 2015-02-13 2016-08-18 東京エレクトロン株式会社 絶縁膜の成膜方法及び半導体デバイスの製造方法
US10510688B2 (en) 2015-10-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Via rail solution for high power electromigration
CN107026113B (zh) 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US11355353B2 (en) 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
US11089673B2 (en) * 2019-07-19 2021-08-10 Raytheon Company Wall for isolation enhancement
KR20220117385A (ko) 2021-02-15 2022-08-24 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3837929A (en) * 1970-08-28 1974-09-24 Olin Corp Method of producing tarnish resistant copper and copper alloys and products thereof
DE3782904T2 (de) * 1986-09-17 1993-04-08 Fujitsu Ltd Verfahren zur ausbildung einer kupfer enthaltenden metallisierungsschicht auf der oberflaeche eines halbleiterbauelementes.
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
JPH04354133A (ja) * 1991-05-31 1992-12-08 Sony Corp 銅配線の形成方法
JPH0547735A (ja) 1991-08-20 1993-02-26 Tadahiro Omi 洗浄装置
US6146135A (en) * 1991-08-19 2000-11-14 Tadahiro Ohmi Oxide film forming method
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
JP3072807B2 (ja) 1992-07-15 2000-08-07 日本電信電話株式会社 半導体装置の製造方法
JP3156886B2 (ja) 1993-01-26 2001-04-16 日本電信電話株式会社 半導体装置の製造方法
JP3103241B2 (ja) 1993-03-26 2000-10-30 川崎製鉄株式会社 半導体装置の製造方法
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5395801A (en) * 1993-09-29 1995-03-07 Micron Semiconductor, Inc. Chemical-mechanical polishing processes of planarizing insulating layers
JP3326642B2 (ja) 1993-11-09 2002-09-24 ソニー株式会社 基板の研磨後処理方法およびこれに用いる研磨装置
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5837929A (en) * 1994-07-05 1998-11-17 Mantron, Inc. Microelectronic thermoelectric device and systems incorporating such device
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
JP2701751B2 (ja) * 1994-08-30 1998-01-21 日本電気株式会社 半導体装置の製造方法
JPH0982798A (ja) 1995-09-12 1997-03-28 Toshiba Corp 半導体装置およびその製造方法
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
JP3282496B2 (ja) 1996-05-17 2002-05-13 松下電器産業株式会社 半導体装置の製造方法
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US5875507A (en) 1996-07-15 1999-03-02 Oliver Design, Inc. Wafer cleaning apparatus
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
ATE312895T1 (de) 1996-07-25 2005-12-15 Dupont Air Prod Nanomaterials Zusammensetzung und verfahren zum chemisch- mechanischen polieren
JPH1056014A (ja) 1996-08-12 1998-02-24 Sony Corp 基板処理方法
US5932486A (en) 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers
US5972792A (en) 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
JP3150095B2 (ja) 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
JP3160545B2 (ja) 1997-01-28 2001-04-25 松下電器産業株式会社 埋め込み配線の形成方法
US6048789A (en) * 1997-02-27 2000-04-11 Vlsi Technology, Inc. IC interconnect formation with chemical-mechanical polishing and silica etching with solution of nitric and hydrofluoric acids
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
JPH1116912A (ja) * 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
JP3463979B2 (ja) * 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
US6171957B1 (en) * 1997-07-16 2001-01-09 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device having high pressure reflow process
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6043153A (en) * 1997-09-25 2000-03-28 Advanced Micro Devices, Inc. Method for reducing electromigration in a copper interconnect
JP3371775B2 (ja) 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6153043A (en) * 1998-02-06 2000-11-28 International Business Machines Corporation Elimination of photo-induced electrochemical dissolution in chemical mechanical polishing
JPH11251317A (ja) 1998-03-04 1999-09-17 Hitachi Ltd 半導体装置の製造方法および製造装置
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JP2000012543A (ja) 1998-06-23 2000-01-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6211084B1 (en) * 1998-07-09 2001-04-03 Advanced Micro Devices, Inc. Method of forming reliable copper interconnects
US6165894A (en) * 1998-07-09 2000-12-26 Advanced Micro Devices, Inc. Method of reliably capping copper interconnects
JP2000040679A (ja) * 1998-07-24 2000-02-08 Hitachi Ltd 半導体集積回路装置の製造方法
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
JP4095731B2 (ja) 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6515343B1 (en) * 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6596637B1 (en) * 1998-12-07 2003-07-22 Advanced Micro Devices, Inc. Chemically preventing Cu dendrite formation and growth by immersion
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
JP2000183000A (ja) 1998-12-14 2000-06-30 Fujitsu Ltd 半導体装置の製造方法、製造装置及び検査装置
US6271595B1 (en) * 1999-01-14 2001-08-07 International Business Machines Corporation Method for improving adhesion to copper
JP3974284B2 (ja) * 1999-03-18 2007-09-12 株式会社東芝 半導体装置の製造方法
JP2000277612A (ja) 1999-03-29 2000-10-06 Nec Corp 半導体装置の製造方法
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
JP4083342B2 (ja) 1999-04-09 2008-04-30 株式会社トクヤマ 研磨方法
JP2000306873A (ja) 1999-04-20 2000-11-02 Tokuyama Corp 研磨方法
JP2000315666A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP3099002B1 (ja) 1999-06-25 2000-10-16 茂徳科技股▲ふん▼有限公司 2段階化学機械研磨方法
US6159857A (en) * 1999-07-08 2000-12-12 Taiwan Semiconductor Manufacturing Company Robust post Cu-CMP IMD process
JP4156137B2 (ja) 1999-07-19 2008-09-24 株式会社トクヤマ 金属膜用研磨剤
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6207552B1 (en) * 2000-02-01 2001-03-27 Advanced Micro Devices, Inc. Forming and filling a recess in interconnect for encapsulation to minimize electromigration
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP3668694B2 (ja) 2001-03-19 2005-07-06 株式会社日立製作所 半導体装置の製造方法

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001185515A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd 研磨方法、配線形成方法、半導体装置の製造方法及び半導体集積回路装置
US6435943B1 (en) * 2000-10-26 2002-08-20 United Microelectronics Corp. Method of chemical mechanical polishing organic silicon material with low dielectric constant
US6787462B2 (en) 2001-03-28 2004-09-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having buried metal wiring
JP2002328629A (ja) * 2001-04-13 2002-11-15 Samsung Electronics Co Ltd 配線及びその製造方法とその配線を含む薄膜トランジスタ基板及びその製造方法
JP2003077918A (ja) * 2001-09-04 2003-03-14 Nec Corp 半導体装置の製造方法
US6887783B2 (en) 2002-01-15 2005-05-03 International Business Machines Corporation Bilayer HDP CVD/PE CVD cap in advance BEOL interconnect structures and method thereof
US6914320B2 (en) 2002-01-15 2005-07-05 International Business Machines Corporation Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
WO2003079429A1 (en) * 2002-03-15 2003-09-25 Renesas Technology Corp. Production method for semiconductor integrated circuit device
US6992007B2 (en) 2002-04-19 2006-01-31 Nec Electronics Corporation Method of cleaning damascene structure of semiconductor wafer during fabrication of semiconductor device
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9064870B2 (en) 2003-03-25 2015-06-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8617981B2 (en) 2003-03-25 2013-12-31 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10121693B2 (en) 2003-03-25 2018-11-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9818639B2 (en) 2003-03-25 2017-11-14 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9659867B2 (en) 2003-03-25 2017-05-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US9490213B2 (en) 2003-03-25 2016-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8053893B2 (en) 2003-03-25 2011-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8431480B2 (en) 2003-03-25 2013-04-30 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8810034B2 (en) 2003-03-25 2014-08-19 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10304726B2 (en) 2003-03-25 2019-05-28 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7777343B2 (en) 2003-03-25 2010-08-17 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
JP2004304021A (ja) * 2003-03-31 2004-10-28 Ebara Corp 半導体装置の製造方法及び製造装置
US7390741B2 (en) 2003-12-22 2008-06-24 Fujitsu Limited Method for fabricating semiconductor device
JP2006024641A (ja) * 2004-07-06 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
US7372158B2 (en) 2004-12-01 2008-05-13 International Business Machines Corporation HDP-based ILD capping layer
US7138717B2 (en) 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
JP4516447B2 (ja) * 2005-02-24 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7745937B2 (en) 2005-02-24 2010-06-29 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP2006237257A (ja) * 2005-02-24 2006-09-07 Nec Electronics Corp 半導体装置およびその製造方法
JP4535505B2 (ja) * 2006-02-10 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2006165597A (ja) * 2006-02-10 2006-06-22 Renesas Technology Corp 半導体装置の製造方法
JP2007005840A (ja) * 2006-10-16 2007-01-11 Renesas Technology Corp 半導体集積回路装置の製造方法
KR101004922B1 (ko) 2008-02-27 2010-12-28 르네사스 일렉트로닉스 가부시키가이샤 프로브 카드, 프로브 카드의 제조 방법, 반도체 검사 장치 및 반도체 장치의 제조 방법
JP2010098195A (ja) * 2008-10-17 2010-04-30 Hitachi Cable Ltd 配線構造及び配線構造の製造方法
JP2011233925A (ja) * 2011-07-28 2011-11-17 Renesas Electronics Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US6797606B2 (en) 2004-09-28
US20030017692A1 (en) 2003-01-23
US6797609B2 (en) 2004-09-28
US6756679B2 (en) 2004-06-29
US6849535B2 (en) 2005-02-01
US6864169B2 (en) 2005-03-08
US20030001183A1 (en) 2003-01-02
US6716749B2 (en) 2004-04-06
US6815330B2 (en) 2004-11-09
US20030001277A1 (en) 2003-01-02
KR20010030070A (ko) 2001-04-16
US20020127842A1 (en) 2002-09-12
US20020113271A1 (en) 2002-08-22
TW521373B (en) 2003-02-21
US20030045086A1 (en) 2003-03-06
US20020119651A1 (en) 2002-08-29
KR100746543B1 (ko) 2007-08-06
US20080138979A1 (en) 2008-06-12
JP4554011B2 (ja) 2010-09-29
US20030001280A1 (en) 2003-01-02
US20020127843A1 (en) 2002-09-12
US20020142576A1 (en) 2002-10-03

Similar Documents

Publication Publication Date Title
JP4554011B2 (ja) 半導体集積回路装置の製造方法
KR100698987B1 (ko) 반도체 집적 회로 장치의 제조 방법
US7084063B2 (en) Fabrication method of semiconductor integrated circuit device
JP2003051481A (ja) 半導体集積回路装置の製造方法
JP2003188254A (ja) 半導体装置の製造方法および半導体装置
JP2003332426A (ja) 半導体装置の製造方法および半導体装置
JP2003142579A (ja) 半導体装置の製造方法および半導体装置
JP2008141204A (ja) 半導体集積回路装置の製造方法
KR100746895B1 (ko) 반도체 집적 회로 장치의 제조 방법
JP2002329780A (ja) 半導体装置の製造方法および半導体装置
JP2007005840A (ja) 半導体集積回路装置の製造方法
JP2003124311A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060815

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061016

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070605

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070806

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20071003

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20071214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100521

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100714

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130723

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4554011

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term