WO2003079429A1 - Production method for semiconductor integrated circuit device - Google Patents

Production method for semiconductor integrated circuit device Download PDF

Info

Publication number
WO2003079429A1
WO2003079429A1 PCT/JP2003/001233 JP0301233W WO03079429A1 WO 2003079429 A1 WO2003079429 A1 WO 2003079429A1 JP 0301233 W JP0301233 W JP 0301233W WO 03079429 A1 WO03079429 A1 WO 03079429A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating film
integrated circuit
semiconductor substrate
wiring
circuit device
Prior art date
Application number
PCT/JP2003/001233
Other languages
French (fr)
Japanese (ja)
Inventor
Junji Noguchi
Original Assignee
Renesas Technology Corp.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp. filed Critical Renesas Technology Corp.
Priority to JP2003577326A priority Critical patent/JPWO2003079429A1/en
Publication of WO2003079429A1 publication Critical patent/WO2003079429A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor integrated circuit device manufacturing technique, and more particularly to chemical mechanical polishing.
  • the embedded wiring structure on the semiconductor substrate is formed by embedding the wiring metal film in the wiring embedding opening such as the wiring groove and hole formed in the insulating film, and then chemically polishing the unnecessary metal film outside the opening. It is formed by damascene wiring technology called Single-Damascene or Dual-Damascene, which is removed by a method.
  • the Cu wiring is in direct contact with the insulating film because it is more easily diffused into the insulating film than other metal films for wiring such as aluminum (AI).
  • the Cu wiring is in direct contact with the insulating film because it is more easily diffused into the insulating film than other metal films for wiring such as aluminum (AI).
  • a thin barrier metal film By covering the bottom and sides of the Cu wiring with a thin barrier metal film and covering the surface of the Cu wiring with a cap insulating film, Cu atoms in the Cu wiring can be prevented from diffusing into the surrounding insulating film. I'm preventing.
  • Japanese Patent Application Laid-Open No. 111-1843 discloses a structure in which the upper surface of a Cu wiring is formed lower than the upper surface of an insulating film, and a barrier insulating film is embedded therein.
  • Japanese Patent Application Laid-Open No. 10-50632 discloses a structure in which the upper surfaces of a Cu wiring and a barrier metal film are formed lower than the upper surface of an insulating film, and a barrier insulating film is embedded therein. Disclosure of the invention
  • the LSI under development by the present inventors forms Cu wiring by the following process.
  • an opening is formed in an insulating film deposited on a semiconductor substrate (wafer), and then a thin barrier metal film such as a titanium nitride film is deposited on the insulating film including the inside of the opening.
  • a Cu film having a thickness greater than the depth of the opening is deposited on the top of the substrate.
  • Cu wiring is formed inside the opening by removing unnecessary Cu film and barrier metal film outside the opening by chemical mechanical polishing.
  • the semiconductor substrate on which the Cu wiring is formed is transported to a cleaning processing unit, and cleaning for removing foreign substances such as slurry adhered to the surface of the semiconductor substrate in the polishing process (hereinafter referred to as post-cleaning). I do.
  • the post-cleaning process includes a cleaning process followed by an acid cleaning process.
  • the purpose of the cleaning process is to neutralize the acidic slurry containing an oxidizing agent attached to the surface of the semiconductor substrate, and to clean the surface of the semiconductor substrate while supplying a weak chemical solution.
  • the acid cleaning treatment is for the purpose of removing residual metal, reducing dangling ponds on the surface of the insulating film, and removing irregularities on the surface of the insulating film, etc., while supplying a chemical containing acid to the surface of the semiconductor substrate. Wash.
  • the acid contained in the above chemical solution is a strong acid such as dilute hydrofluoric acid (DHF)
  • DHF dilute hydrofluoric acid
  • a thin oxide layer (CuO) on the surface of the Cu wiring generated by the chemical mechanical polishing process is removed.
  • the cross-sectional area of the Cu wiring may be reduced, and the electric resistance may be increased. Therefore, it is desirable to use a chemical solution containing a weak acid such as an organic acid, particularly when the line width of the Cu wiring is fine.
  • a chemical solution containing an organic acid particularly when the line width of the Cu wiring is fine.
  • the cleaning is performed using a chemical solution containing an organic acid, the oxide layer (CuO) on the surface of the Cu wiring is not removed. Therefore, after the cleaning, a reduction treatment such as hydrogen anneal is performed and the oxide layer (CuO) is removed. ) Must be removed.
  • a cap insulating film made of a silicon nitride film or the like is deposited on the surface of the semiconductor substrate on which the post-cleaning process has been completed by using a plasma CVD method or the like.
  • the present inventor considers a case where the semiconductor substrate (wafer) is left in a clean room between the completion of the post-cleaning process and the deposition of the cap insulating film.
  • the TDDB life is a measure for objectively measuring the time dependency of dielectric breakdown.
  • a relatively high voltage is applied between Cu wirings under a measurement condition of a predetermined temperature (for example, 140 ° C). Create a graph in which the time from application to dielectric breakdown is plotted against the applied electric field, and extrapolate the actual electric field strength (for example, 0.2 MVZcm) from this graph to the time (life).
  • An object of the present invention is to provide a technique capable of suppressing a decrease in T DDB life of C U wiring.
  • the method of manufacturing a semiconductor integrated circuit device includes: (a) depositing a first insulating film on a semiconductor substrate and then forming an opening for wiring embedding in the first insulating film; b) depositing a conductive film containing Cu as a main component on the first insulating film including the inside of the opening; and (c) chemically and mechanically polishing the conductive film to leave the inside of the opening. Forming a Cu wiring in the opening; (d) cleaning the surface of the semiconductor substrate after the (c); and (e) cleaning the semiconductor after the (d).
  • FIG. 1 is a diagram illustrating a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention. It is principal part sectional drawing of a conductor board.
  • FIG. 2 is a cross-sectional view of a main part of a semiconductor substrate illustrating a method for manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
  • FIG. 3 is a fragmentary cross-sectional view of a semiconductor substrate, illustrating a method of manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
  • FIG. 4 is a cross-sectional view of a main part of a semiconductor substrate showing a method for manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
  • FIG. 5 is a cross-sectional view of a main part of a semiconductor substrate showing a method of manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
  • FIG. 6 is a fragmentary cross-sectional view of a semiconductor substrate illustrating a method of manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention.
  • FIG. 7 is a fragmentary cross-sectional view of a semiconductor substrate illustrating a method of manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention.
  • FIG. 8 is a graph showing the relationship between the number of days the substrate has been left until the cap insulating film is deposited after the post-cleaning process after chemical mechanical polishing and the breakdown electric field strength.
  • FIG. 9 is a graph showing the standing time dependence of the TDD B life of the sample used for the measurement of FIG.
  • Figure 10 is a graph of the relationship between the number of days the substrate has been left until the cap insulating film is deposited and the breakdown electric field strength after performing post-cleaning treatment after chemical mechanical polishing using two types of cap insulating films. It is.
  • FIG. 11 is a schematic diagram showing a degradation model of TDD B life due to standing time considered by the present inventors.
  • FIG. 12 is an explanatory view showing an example of a method of storing a semiconductor substrate after the post-cleaning process.
  • FIG. 13 is an explanatory diagram illustrating an example of a method of storing a semiconductor substrate after the post-cleaning process.
  • FIGS. 14 (a), (b) and (c) are explanatory views showing an example of a substrate management method from the post-cleaning process to the deposition of the cap insulating film.
  • Figure 15 shows an example of the substrate management method from the post-cleaning process to the deposition of the cap insulating film.
  • FIG. 16 is an explanatory diagram illustrating an example of a substrate management method from the post-cleaning process to the deposition of the cap insulating film.
  • FIG. 17 is a graph showing the TDB life of Cu wiring manufactured by introducing the method of managing a semiconductor substrate according to one embodiment of the present invention.
  • the present embodiment is applied to a method of manufacturing an LSI in which a Cu line is formed on a complementary metal insulator semiconductor field effect transistor (MIS) formed on a semiconductor substrate.
  • MIS complementary metal insulator semiconductor field effect transistor
  • a wafer-like semiconductor substrate (hereinafter, referred to as a * plate, sometimes also referred to as a wafer) 1 made of single-crystal silicon is prepared.
  • a wafer-like semiconductor substrate (hereinafter, referred to as a * plate, sometimes also referred to as a wafer) 1 made of single-crystal silicon is prepared.
  • an n-channel MISFETQn is formed in the p-type well 4
  • a p-channel MISFETQp is formed in the n-type well 5.
  • the substrate 1 in the element isolation region is etched to form a groove, and then a silicon oxide film 3 is deposited on the substrate 1 including the inside of the groove by a CVD method.
  • the silicon oxide film 3 outside is removed by a chemical mechanical polishing method.
  • boron ions are implanted into a part of the substrate 1 and phosphorus ions are implanted into the other part, and then the substrate 1 is heat-treated. These impurities are diffused into the substrate 1.
  • the n-channel type MISFETQn and the p-channel type MISFETQp may be formed by using any of the well-known processes. For example, they are formed as follows. First, a gate insulating film 6 made of a silicon oxide film is formed on each surface of the p-type well 4 and the n-type well 5 by steam oxidation of the substrate 1. A polycrystalline silicon film is deposited on the upper insulating film 6 by a CVD method, and then phosphorus is ion-implanted into the upper polycrystalline silicon film on the p-type well 4 to form a polycrystalline silicon film on the upper n-type well 5. After ion implantation of boron, the polycrystalline silicon film is buttered by drying using a photoresist film as a mask (the gate electrode 7 is formed).
  • an n-type semiconductor region 8 having a low impurity concentration is formed by ion-implanting phosphorus or arsenic into the p-type well 4, and a low impurity concentration is formed by ion-implanting boron into the n-type well 5.
  • a silicon nitride film is deposited on the substrate 1 by a CVD method, and then the silicon nitride film is anisotropically etched to form a sidewall on the side wall of the gate electrode 7.
  • a pulse 10 is formed.
  • an n + type semiconductor region 11 having a high impurity concentration is formed by ion-implanting phosphorus or arsenic into the p-type well 4 and ion-implanting boron into the n-type well 5.
  • a P + type semiconductor region 12 having a high impurity concentration is formed.
  • a silicide layer is formed on each of the gate electrode 7, the n + type semiconductor region 11 (source, drain) and the p + type semiconductor region 12 (source, drain).
  • Form 1 3 To form the silicide layer 13, a large amount of Co (cobalt) is deposited on the substrate 1 by a sputtering method, and then heat treatment is performed in a nitrogen gas atmosphere to form the substrate 1 and the gate electrode 7 with the Co film. After the reaction, the unreacted Co film is removed by wet etching. With the steps so far, the n-channel type MISFETQ n and the p-channel type MISFETQ p are completed.
  • a first-layer W (tungsten) wiring 20 is formed on the n-channel type MISFET Qn and the p-channel type MISFETQp.
  • a silicon nitride film 15 and a silicon oxide film 16 are deposited on the substrate 1 by a CVD method, and then the n + -type semiconductor regions 11 (source and drain) and After the silicon oxide film 16 and the silicon nitride film 15 on each of the p + type semiconductor regions 12 (source and drain) are dry-etched to form contact holes 17, the contact holes 17 are formed.
  • Form metal plug 18 is
  • the silicon oxide film 16 may be a silicon oxide film formed by a normal CVD method using monosilane (SiH 4 ) as a source gas, a BPSG (Boron-doped Phospho Silicate Glass) film, or It may be composed of an SOG (Spin On Glass) film formed by a spin coating method.
  • a TiN (titanium nitride) film and a W film are deposited by CVD on the silicon oxide film 16 including the inside of the contact hole 17, and then a silicon oxide film Unnecessary TiN film and W film on top of 16 are removed by chemical mechanical polishing.
  • a W film is deposited on the silicon oxide film 16 by a sputtering method, and the W film is patterned by dry etching using a photoresist film as a mask, thereby forming a first layer on the silicon oxide film 16.
  • the W wiring 20 of the eye is formed.
  • the first layer W wiring 20 is connected to the source and drain (n + type semiconductor region 11) or p channel of the n-channel type MIS FETQn through the metal plug 18 embedded in the contact hole 17. It is electrically connected to the source and drain of the type MISF ETQ p (p + type semiconductor region 12).
  • two insulating films 21 and 22 are deposited on the W wiring 20 by a CVD method or a coating method, and then the insulating films 21 and 22 are formed by dry etching using a photoresist film as a mask. after forming the through hole 23 to 22, to form the metal plug 24 in the through-holes 2 3.
  • OMV / Vm Or polyallyl ether (PAE) based material FLARE (manu
  • the insulating film 21 is composed of the above-mentioned organic insulating material, a Si OF-based material, an HSQ (hydrogen sUsesquioxane) -based material, a MSQ (methyl silsesquioxane) -based material, a porous HSQ-based material, a porous MS Q material, and the like. You may.
  • the insulating film 22 above the insulating film 21 is formed to protect the insulating film 21 having lower mechanical strength and moisture resistance than the inorganic insulating material.
  • the insulating film 22 is made of, for example, a silicon oxide film deposited by a CVD method, a silicon carbide (SiC) film or a silicon carbonitride (SiCN) film having a lower dielectric constant than the silicon oxide film.
  • Examples of the silicon carbide film and silicon carbonitride (SSCN) film include BLOk (manufactured by AMAT).
  • a W film is deposited on the insulating film 22 by a sputtering method, and then unnecessary W on the top of the silicon oxide film 22 is formed. The film is removed by a chemical mechanical polishing method.
  • two insulating films 25 and 26 are deposited on the silicon oxide film 22 by the CVD method, and then the upper portion of the through hole 23 is etched by dry etching using a photoresist film as a mask.
  • a wiring groove 27 is formed in the films 25 and 26.
  • the upper insulating film 26 is composed of, for example, a silicon oxide film deposited using oxygen and tetraethoxysilane (TEOS) as a source gas.
  • the lower insulating film 25 is a stopper film that prevents the lower insulating film 22 made of a silicon oxide film or the like from being etched when the wiring film 27 is formed by etching the insulating film 26. It is composed of an insulating film such as a silicon film having a large etching selectivity to a silicon oxide film.
  • a silicon oxynitride (SiON) film or a silicon carbonitride (SiCN) film having a lower dielectric constant than the silicon nitride film may be used.
  • a barrier metal film 28 made of a titanium nitride film or the like is deposited on the insulating film 26 including the inside of the wiring groove 27 by a sputtering method.
  • a Cu film 30a having a thickness larger than the depth of the wiring groove 27 is deposited by a sputtering method.
  • the barrier metal film 28 is formed by diffusing the Cu film 30a deposited inside the wiring groove 27 into the surrounding insulating film 26, and the adhesion between the Cu film 30a and the insulating film 26. It is formed in order to improve the quality.
  • the rear metal film 28 can be made of a conductive film such as a tungsten nitride (WN) film, a tantalum nitride (TaN) film, or a titanium tungsten (TiW) film, in addition to a titanium nitride film.
  • the substrate 1 is subjected to a heat treatment in a non-oxidizing atmosphere (for example, a hydrogen atmosphere) after the film formation to reflow the Cu film 30a.
  • a non-oxidizing atmosphere for example, a hydrogen atmosphere
  • a high-directional sputtering method such as a long throw sputtering method and a collimated sputtering method that can satisfactorily bury the Cu film 30a in the wiring groove 27 is used.
  • the Cu film 30a can be formed by a CVD method, an electrolytic plating method, or an electroless plating method, in addition to the sputtering method.
  • a thin Cu seed layer is formed on the barrier metal film 28 by a sputtering method, and then the Cu film 3 is formed on the surface of the seed layer using a plating solution such as copper sulfate. Grow 0a.
  • the thin film 303 may be made of a Cu alloy containing Cu as a main component in addition to a single Cu.
  • the Cu film 30a and the barrier metal film 28 outside the wiring groove 27 are removed by a chemical mechanical polishing method, so that the second inside of the wiring groove 27 is formed.
  • a Cu wiring 30 serving as a wiring of the layer is formed.
  • the Cu wiring 30 is electrically connected to the first-layer W wiring 20 via a metal plug 24 embedded in the through hole 23.
  • the Cu wiring 30 is formed by a so-called single damascene method in which the Cu film 30a is buried in the wiring groove 27, but the wiring groove 27 and the through hole 2 below the Cu wiring 30 are formed.
  • the Cu wiring 30 may be formed by a so-called dual damascene method, in which a Cu film 30a is buried in the inside of 3 simultaneously.
  • the polishing of the Cu film 30a is mainly performed by using abrasive grains such as alumina and silica and an oxidizing agent such as a hydrogen peroxide solution or an aqueous ferric nitrate solution, and dispersing or dissolving them in pure water.
  • a general-purpose polishing slurry may be used, but from the viewpoint of preventing micro scratches generated on the surface of the substrate 1, a slurry containing no abrasive (abrasive free) It is preferred to use (slurry).
  • the composition of the abrasive free slurry is a mixture of pure water with an oxidizing agent, an organic acid, and a corrosion inhibitor.
  • an oxidizing agent hydrogen peroxide (H 2 0 2), hydroxide Anmoniu ⁇ , nitric Anmoniumu
  • a chloride Anmoniumu as the organic acid, Kuen acid, malonic acid, fumaric acid, malic acid, Examples thereof include adipic acid, benzoic acid, phthalic acid, tartaric acid, lactic acid, succinic acid, and oxalic acid.
  • hydrogen peroxide is a suitable oxidizing agent to be used for a slurry because it contains no metal component and is not a strong acid.
  • cunic acid is commonly used as a food additive and has low toxicity, low harm as a waste liquid, no odor, and high solubility in water. Preferred organic acids.
  • the anticorrosion agent examples include benzotriazole (BTA), BTA derivatives such as BTA carboxylic acid, dodecyl mercaptan, triazole, and tolyl triazole. Particularly, when benzotriazole is used, Cu A stable corrosion-resistant protective film can be formed on the surface of the wiring 30.
  • the addition amount of the anticorrosive may be about 0.001-1% by weight of the total amount of the slurry.
  • polyacrylic acid, polymethacrylic acid, an ammonium salt thereof, or ethylenediaminetetraacetic acid (EDTA) may be added as necessary.
  • the surface of the Cu film 30a is oxidized by an oxidizing agent to form a thin oxide layer (CuO).
  • a substance for making the oxide water-soluble is supplied, a part of the oxidized layer is eluted as an aqueous solution, and the film thickness is reduced.
  • the thinned portion of the oxide layer on the surface of the Cu film 30a is again exposed to the oxidizing substance, and the thickness of the oxide layer increases. Chemical mechanical polishing of the Cu film 30a proceeds while repeating such a series of reactions.
  • the surface of the barrier metal film 28 on the insulating film 26 is exposed.
  • a polishing slurry containing abrasive grains such as alumina and silica is used. It is better to use the one with increased number. By using such a polishing slurry, the inside of the wiring groove 27 can be The barrier metal film 28 on the insulating film 26 can be removed without excessively polishing the Cu film 30a.
  • This anti-corrosion treatment is a treatment for forming a hydrophobic protective film on the surface of the Cu wiring 30.
  • a chemical solution containing an anti-corrosion agent such as benzotriazole (BTA) described above is applied to the substrate.
  • the substrate 1 on which the anticorrosion treatment has been completed is transported to the post-cleaning processing section, and the surface of the substrate 1, that is, the surface of the Cu wiring 30 or the insulating film 26 Removes foreign matter such as slurry attached to the surface.
  • the substrate 1 that has been subjected to the anticorrosion treatment is temporarily stored in the immersion treatment unit until it is transported to the post-cleaning treatment unit in order to prevent the oxidation of the Cu wiring 30 by drying.
  • the immersion treatment section has a structure in which, for example, a predetermined number of substrates 1 are immersed and stored in an immersion tank (storage force) in which pure water overflows. Prevention of drying of the surface of the substrate 1 is not limited to the above-described method of storing in the immersion tank, as long as at least the surface of the substrate 1 can be kept in a wet state, for example, by supplying a pure water shower. . In addition, the transfer of the substrate 1 from the immersion processing section to the post-cleaning processing section is performed promptly while keeping the surface of the substrate 1 wet.
  • the post-cleaning process includes an alkali cleaning process and a subsequent acid cleaning process.
  • the alkali cleaning is performed to neutralize an acidic slurry containing an oxidizing agent adhered to the surface of the substrate 1.
  • the surface of the substrate 1 is supplied while supplying a weak chemical solution having a pH of about 8. Scrub or brush wash.
  • a weak alkaline chemical solution is an aqueous solution containing about 0.01% of aminoethanol (DAE: Diluted Amino Ethanol).
  • the acid cleaning after the alkali cleaning is for the purpose of improving TDDB characteristics, removing residual metal, reducing dangling bonds on the surface of the insulating film 26, and removing irregularities on the surface of the insulating film 26.
  • the surface of the substrate 1 while supplying a chemical containing an organic acid such as an acid, such as Electraclean (EC) (Applied Materials, Inc., pH 5.5) or Silex (CIREX, manufactured by Wako Pure Chemical). Scrub or brush clean.
  • EC Electraclean
  • Silex Silex
  • Scrub or brush clean instead of these cleaning methods, disk-type cleaning methods and pen-type cleaning methods A cleaning method may be used.
  • the surface of the substrate 1 is subjected to pure water scrub cleaning, pure water ultrasonic cleaning, pure water running water cleaning, or pure water spin cleaning, or the back surface of the substrate 1 is purified.
  • Water scrub cleaning may be used.
  • the above post-cleaning treatment can be performed by a combination of alkali cleaning using ammonium hydroxide and acid cleaning using dilute hydrofluoric acid (DHF).
  • DHF dilute hydrofluoric acid
  • the hydrofluoric acid is an acid stronger than the organic acid
  • the thin oxide layer (CuO) on the surface of the Cu wiring 30 generated by the polishing treatment is removed.
  • Hydrogen annealing can be simplified or omitted.
  • hydrofluoric acid not only the oxide layer (CuO) on the surface of the Cu wiring 30 but also the Cu wiring 30 itself is etched, so the cross-sectional area of the Cu wiring 30 is reduced. There is a possibility that the electric resistance may increase if it is small. Therefore, especially when the line width of the Cu wiring 30 is fine, acid cleaning using an aqueous solution containing an organic acid is desirable.
  • the oxide layer (CuO) on the surface of the Cu wiring 30 is not removed, and thereafter, the oxide layer (CuO) is removed by performing a hydrogen annealing treatment.
  • the oxide layer (CuO) is removed by performing a hydrogen annealing treatment.
  • the acid cleaning is performed using hydrofluoric acid, it is not desirable to completely remove the oxide layer (CuO) during the acid cleaning in order to prevent the Cu wiring 30 from being scraped. Therefore, in this case, it is preferable to stop the acid cleaning in a short time so that the oxide layer (CuO) is not completely removed, and to completely remove the remaining oxide layer (CuO) by hydrogen annealing.
  • Organic acids and dilute hydrofluoric acid are used as acid cleaning chemicals to remove foreign matter remaining on the surface of the Cu wiring 30 and the surface of the insulating film 26 while minimizing scraping of the surface of the Cu wiring 30.
  • DHF dilute hydrofluoric acid
  • the concentration of hydrofluoric acid in this chemical solution is set to about 0.1 to 1% in order to minimize scraping of the Cu wiring 30.
  • the concentration of the organic acid should be about 0.1-1%, and the pH should be in the range of 2-6 (preferably about 3).
  • Examples of the organic acid include citric acid, malic acid, oxalic acid, malonic acid, and formic acid.
  • the etching rate of Cu can be suppressed to 3 nmz or less, and the etching rate of silicon oxide forming the insulating film 26 can be 1 n or more.
  • the foreign matter on the surface of the insulating film 26 can be lifted off while minimizing the scraping.
  • the dependence of the TDDB life described later on the standing time can be made the same level (10 days) as the acid cleaning using hydrofluoric acid.
  • an aqueous solution obtained by adding ammonia to the above-mentioned mixed aqueous solution of the organic acid and the diluted hydrofluoric acid (a mixed aqueous solution of the organic acid, the diluted hydrofluoric acid and ammonium hydroxide) can also be used.
  • the concentration of each of the organic acid, hydrofluoric acid and ammonium hydroxide should be about 0.1 to 1%.
  • this mixed aqueous solution has a pH of about 6 to 8, it is an aqueous solution that is closer to neutral than an acidic aqueous solution, so that the effect of protecting the surface of the Cu wiring 30 is better than when an acidic aqueous solution is used. Is improved.
  • an anticorrosive such as benzotriazole (BTA)
  • the above-mentioned% indicating the concentration of the aqueous solution to which hydrofluoric acid, organic acid and ammonia are added means weight%.
  • the surface moisture is sufficiently removed in advance by a drying process such as a spin drier.
  • the oxide layer (CuO) on the surface of the Cu wiring 30 is reduced by heat-treating the substrate 1 in a hydrogen gas atmosphere at 200 ° C to 475 ° C for about 0.5 to 5 minutes. This is the process of removing.
  • This hydrogen annealing treatment is desirably performed as soon as possible (preferably within half a day) after the post-cleaning treatment is completed.
  • the oxide layer (CuO) grows on the surface of the Cu wiring 30 that is in contact with the air in the clean room.
  • the removal of the oxide layer (CuO) will be incomplete, and the TDDB life will be shortened.
  • a silicon nitride film is formed on the insulating film 26 by a CVD method.
  • the surface of the Cu wiring 30 is covered with the cap insulating film 3 "I by depositing a cap insulating film 31 made of the same material.
  • C C diffuses from the surface of the Cu wiring 30 to the surrounding insulating film.
  • the cap insulating film 31 is deposited using, for example, a parallel plate type plasma CVD apparatus.
  • ammonia ( ⁇ 3 ) gas is supplied into the processing chamber of the plasma CVD apparatus.
  • the surface of the substrate 1 may be subjected to an ammonia plasma treatment.
  • a hydrogen gas may be supplied into the treatment chamber, and the surface of the substrate 1 may be subjected to the hydrogen plasma treatment.
  • Figure 8 shows a post-cleaning process after chemical mechanical polishing (CMP) using the above-mentioned aminoethanol aqueous solution (DAE) and CI REX, and then depositing a cap insulating film 31 composed of a silicon nitride film.
  • CMP chemical mechanical polishing
  • DAE aminoethanol aqueous solution
  • CI REX CI REX
  • FIG. 9 is a graph showing the dependence of the TDDB life of the same sample on the standing time.
  • the TDDB life is the same from the time immediately after standing to the 4th term, but it decreases by about 3 digits between the 4th and 5th days, and about 3 digits between the 5th and 6th days. It was found that the TDD B life decreased sharply after 4 days of standing, such as a decrease of about 3 digits between the 6th and the 11th day.
  • FIG. 10 shows the result of performing similar measurements by changing the material of the cap insulating film 31 and the chemical solution for post-cleaning. From these results, (1) when the cap insulating film 31 is formed of a silicon carbonitride film, the TDDB life is less deteriorated (about one third) than when the cap insulating film 31 is formed of a silicon nitride film. ) When post-cleaning using hydrofluoric acid, there is not much change in the TDDB life.However, when post-cleaning using CI REX or EC, the TDDB will pass 10 days after standing. It was found that the life was shortened.
  • FIG. 11 shows a model of the degradation of the TDD DB life due to the standing time considered by the present inventors.
  • the time from the post-cleaning process after the chemical mechanical polishing process to the deposition of the cap insulating film 31, that is, the time during which the wiring 30 is exposed to the oxygen or moisture in the atmosphere is within 4 words. It was concluded that That is, in an LSI manufacturing line having the above-described Cu wiring forming process, the design and management of the line are performed so that the process from the post-cleaning process to the deposition process of the cap insulating film 31 is completed within four days. It is desirable to do.
  • a countermeasure for example, as shown in Fig. 12, store the wafer case 40 containing the substrate (wafer) 1 after the post-cleaning process in the storage box 41 to avoid contact with oxygen and moisture. Therefore, a method of storing the substrate (wafer) 1 while supplying a non-oxidizing gas such as nitrogen substantially free of moisture into the storage box 41 is conceivable. In this case, if the dehumidifying agent 42 is put in the storage box 41 or the dehumidifying agent 42 is put in the wafer case 40 as shown in FIG. The progress of oxidation and corrosion can be more effectively suppressed.
  • the method of storing the wafer case 40 containing the substrate (wafer) 1 in the storage box 41 as described above is effective.
  • the substrate 1 after re-cleaning is left in a clean room for a long time, the surface of the Cu wiring 30 is oxidized and corroded again, so this re-cleaning is performed immediately before the cap insulating film 31 is deposited. It is desirable to carry out. Even in the case where the substrate 1 after the re-cleaning process is unavoidably temporarily left behind, by storing it in the storage box 41 described above, reoxidation and corrosion of the surface of the Cu wiring 30 are minimized. Can be stopped.
  • the hydrogen annealing process may be performed again to reduce the surface of the Cu wiring 30 and then the cap insulating film 31 may be deposited. At that time, if it is expected that the time for leaving the substrate 1 will be long, it is effective to repeat the hydrogen annealing every predetermined time. Further, the hydrogen annealing treatment may be performed in combination with the re-cleaning treatment, the reducing plasma treatment, or the like. Further, the substrate 1 is transported again to the chemical mechanical polishing step to polish the surface of the Cu wiring 30 thinly, and then the post-cleaning treatment and the hydrogen annealing treatment are performed, and then the cap insulating film 31 is deposited. May go.
  • the cap insulating film 31 may be deposited after cleaning the substrate 1 with hydrofluoric acid (DHF) to remove oxides and Cu particles on the surface.
  • DHF hydrofluoric acid
  • the chemical mechanical polishing method is performed again or the re-cleaning method using hydrofluoric acid is used, the Cu wiring 30 itself is also cut, so that the cross-sectional area becomes small and the electric resistance increases. Care must be taken because of the danger.
  • any one of them may be performed alone, or a plurality of processing may be performed in combination.
  • a management system that allows the leaving period from the post-cleaning process to the deposition process of the cap insulating film 31 to be within four days is adopted, and the leaving period is four days. It is necessary to adopt a management system that immediately executes the above-mentioned regenerating process for the substrate 1 that has passed.
  • each of the production lines ranges from a post-cleaning process to a deposition process of the cap insulating film 31. It is necessary to employ a management system in which the leaving period of the substrate is 4 days or less, and a management system in which the substrate 1 whose leaving period has passed 4 is subjected to a regenerating process.
  • the substrate (wafer) 1 after the post-cleaning process is completed.
  • a normal process control card, a lot number display card, etc. and a standing time control card are stuck on the surface of the wafer case 40 or storage box 41 for storing It is conceivable to enter and manage the date and time when the post-cleaning process is completed and the remaining time.
  • the number of idle days and whether a regeneration process is in progress The number of days that can be left at a glance to determine whether or not it is possible.
  • a reproduction display card, etc. has been created, and as shown in Figure 15, the number of days left in the wafer case storage area in the clean room that stores the wafer case 40 and storage box 41. It is effective to install a display card that describes the necessity of reproduction processing.
  • a cap insulating film 31 is deposited on the host computer that manages all the production lines in the factory after the chemical mechanical polishing process and the post-cleaning process are completed.
  • a data management system will be built in which data such as the idle time until and the presence or absence of playback processing can be entered, and these data can be displayed on a screen on a computer terminal that manages the progress of the lot.
  • a warning to prohibit the deposition of the cap insulating film 31 is displayed on the computer terminal.
  • the process from forming the wiring to depositing the cap insulating film is performed. By performing the process within four days, it is possible to suppress a decrease in the TDDB life of the Cu wiring.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

An LSI production line including the processes of forming Cu wiring in the opening of an insulation film by means of a chemical-mechanical polishing method, and then covering the surface of the Cu wiring with a cap insulation film, wherein time required from the Cu wiring formation up to the cap insulation film deposition is set to be within four days. In addition, a semiconductor substrate that has required at least four days from a post-cleaning step up to the cap insulation film deposition process is subjected to a regenerative treatment, thereby minimizing a deterioration in a TDDB life and ensuring the reliability and production yield of an LSI.

Description

明 細 書 半導体集積回路装置の製造方法 技術分野  Description Method of manufacturing semiconductor integrated circuit device
本発明は、 半導体集積回路装置の製造技術に関し、 特に、 化学的機械研磨 The present invention relates to a semiconductor integrated circuit device manufacturing technique, and more particularly to chemical mechanical polishing.
(Chemical Mechanical Pol i shi ng)法を用いて銅 (C u) を主成分とする導電膜か らなる埋込み配線を形成する工程を有する半導体集積回路装置の製造に適用して 有効な技術に関するものである。 背景技術 Technology that is effective when applied to the manufacture of semiconductor integrated circuit devices that have a process of forming buried interconnects consisting of a conductive film containing copper (Cu) as a main component using the (Chemical Mechanical Polishing) method It is. Background art
半導体基板上の埋込み配線構造は、 絶縁膜に形成された配線溝ゃ孔などの配線 埋込み用開口部内に配線用金属膜を埋め込んだ後、 開口部の外側の不要な金属膜 を化学的機械研磨法で除去するシングルダマシン (Single-Damascene) あるい はデュアルダマシン (Dual-Damascene) と呼ばれるダマシン配線技術によって 形成されている。  The embedded wiring structure on the semiconductor substrate is formed by embedding the wiring metal film in the wiring embedding opening such as the wiring groove and hole formed in the insulating film, and then chemically polishing the unnecessary metal film outside the opening. It is formed by damascene wiring technology called Single-Damascene or Dual-Damascene, which is removed by a method.
しかし、 金属膜が銅 (Cu) である場合は、 アルミニウム (A I ) のような他 の配線用金属膜と比較して絶縁膜中に拡散され易いことから、 C u配線が絶縁膜 と直接接しないように、 Cu配線の底面および側面を薄いバリアメタル膜で覆う と共に、 Cu配線の表面をキャップ絶縁膜で被覆することによって、 Cu配線中 の C u原子が周囲の絶縁膜に拡散するのを防いでいる。  However, when the metal film is copper (Cu), the Cu wiring is in direct contact with the insulating film because it is more easily diffused into the insulating film than other metal films for wiring such as aluminum (AI). By covering the bottom and sides of the Cu wiring with a thin barrier metal film and covering the surface of the Cu wiring with a cap insulating film, Cu atoms in the Cu wiring can be prevented from diffusing into the surrounding insulating film. I'm preventing.
C u配線中の C u原子力周囲の絶縁膜に拡散するのを防ぐ技術については、 特 開平 1 1— 1 1 1 843号公報ゃ特開平 1 0— 50632号公報などに記載があ る。 このうち、 特開平 1 1—1 1 1 843号公報は、 Cu配線の上面を絶縁膜の 上面よりも低く形成し、 そこにバリア絶縁膜を埋め込む構造を開示している。 ま た、 特開平 10— 50632号公報は、 Cu配線とバリアメタル膜のそれぞれの 上面を絶縁膜の上面よリも低く形成し、 そこにバリァ絶縁膜を埋め込む構造を開 示している。 発明の開示 A technique for preventing diffusion into the insulating film around Cu nuclear in the Cu wiring is described in Japanese Patent Application Laid-Open No. H11-111842 / Japanese Patent Application Laid-Open No. H10-50632. Among them, Japanese Patent Application Laid-Open No. 111-1843 discloses a structure in which the upper surface of a Cu wiring is formed lower than the upper surface of an insulating film, and a barrier insulating film is embedded therein. Japanese Patent Application Laid-Open No. 10-50632 discloses a structure in which the upper surfaces of a Cu wiring and a barrier metal film are formed lower than the upper surface of an insulating film, and a barrier insulating film is embedded therein. Disclosure of the invention
本発明者が開発中の L S Iは、 概略次のようなプロセスによって C u配線を形 成している。  The LSI under development by the present inventors forms Cu wiring by the following process.
まず、 半導体基板 (ウェハ) 上に堆積した絶縁膜に開口部を形成した後、 この 開口部の内部を含む絶縁膜上に窒化チタン膜などからなるバリアメタル膜を薄く 堆積し、 続いてバリアメタルの上部に開口部の深さよりも厚い膜厚を有する C u 膜を堆積する。 次に、 開口部の外側の不要な C u膜とバリアメタル膜を化学的機 械研磨法で除去することにより、 開口部の内部に C u配線を形成する。  First, an opening is formed in an insulating film deposited on a semiconductor substrate (wafer), and then a thin barrier metal film such as a titanium nitride film is deposited on the insulating film including the inside of the opening. A Cu film having a thickness greater than the depth of the opening is deposited on the top of the substrate. Next, Cu wiring is formed inside the opening by removing unnecessary Cu film and barrier metal film outside the opening by chemical mechanical polishing.
次に、 C u配線が形成された半導体基板を洗浄処理部に搬送し、 上記研磨処理 工程で半導体基板の表面に付着したスラリなどの異物を除去するための洗浄 (以 下、 後洗浄という) を行う。  Next, the semiconductor substrate on which the Cu wiring is formed is transported to a cleaning processing unit, and cleaning for removing foreign substances such as slurry adhered to the surface of the semiconductor substrate in the polishing process (hereinafter referred to as post-cleaning). I do.
この後洗浄処理工程は、 アル力リ洗浄処理とその後の酸洗浄処理とからなる。 アル力リ洗浄処理は、 半導体基板の表面に付着した酸化剤を含む酸性のスラリの 中和を目的とするもので、 弱アル力リ薬液を供給しながら半導体基板の表面を洗 浄する。 また、 酸洗浄処理は、 残留金属の除去、 絶縁膜の表面のダングリングポ ンドの低減および絶縁膜の表面の凹凸の除去などを目的とするもので、 酸を含む 薬液を供給しながら半導体基板の表面を洗浄する。  The post-cleaning process includes a cleaning process followed by an acid cleaning process. The purpose of the cleaning process is to neutralize the acidic slurry containing an oxidizing agent attached to the surface of the semiconductor substrate, and to clean the surface of the semiconductor substrate while supplying a weak chemical solution. The acid cleaning treatment is for the purpose of removing residual metal, reducing dangling ponds on the surface of the insulating film, and removing irregularities on the surface of the insulating film, etc., while supplying a chemical containing acid to the surface of the semiconductor substrate. Wash.
なお、 上記薬液に含まれる酸が希フッ酸 (D H F ) のような強酸である場合は 、 化学的機械研磨処理で発生した C u配線の表面の薄い酸化層 (C u O) が除去 されるだけでなく、 C u配線それ自体もエッチングされてしまうので、 C u配線 の断面積が小さくなリ、 電気抵抗が大きくなる虞れがある。 従って、 特に C u配 線の線幅が微細である場合は、 有機酸のような弱酸を含む薬液を使用することが 望ましい。 ただし、 有機酸を含む薬液で洗浄を行った場合は、 C u配線の表面の 酸化層 (C u O) が除去されないので、 洗浄後に水素ァニールなどの還元処理を 行って酸化層 (C u O ) を除去する必要がある。  If the acid contained in the above chemical solution is a strong acid such as dilute hydrofluoric acid (DHF), a thin oxide layer (CuO) on the surface of the Cu wiring generated by the chemical mechanical polishing process is removed. In addition, since the Cu wiring itself is also etched, the cross-sectional area of the Cu wiring may be reduced, and the electric resistance may be increased. Therefore, it is desirable to use a chemical solution containing a weak acid such as an organic acid, particularly when the line width of the Cu wiring is fine. However, if the cleaning is performed using a chemical solution containing an organic acid, the oxide layer (CuO) on the surface of the Cu wiring is not removed. Therefore, after the cleaning, a reduction treatment such as hydrogen anneal is performed and the oxide layer (CuO) is removed. ) Must be removed.
次に、 上記後洗浄処理が終了した半導体基板の表面にプラズマ C V D法などを 用いて窒化シリコン膜などからなるキヤップ絶縁膜を堆積する。  Next, a cap insulating film made of a silicon nitride film or the like is deposited on the surface of the semiconductor substrate on which the post-cleaning process has been completed by using a plasma CVD method or the like.
ここで、 本発明者は、 上記後洗浄処理が終了してからキャップ絶縁膜を堆積す るまでの間に、 半導体基板 (ウェハ) をクリーンルーム内に放置しておいた場合 、 一定時間経過後に Cu配線の TDDB (Time Dependence on Dielectric Br eakdown) 寿命が急激に低下するという現象を見出した。 この TDDB寿命とは 、 絶縁破壊の時間的依存性を客観的に計る尺度であって、 所定の温度 (例えば 1 40°C) の測定条件下で Cu配線間に比較的高い電圧を加え、 電圧印加から絶縁 破壊までの時間を印加電界に対してプロットしたグラフを作成し、 このグラフか ら実際の使用電界強度 (例えば 0. 2MVZcm) に外挿して求めた時間 (寿命 ) をいう。 Here, the present inventor considers a case where the semiconductor substrate (wafer) is left in a clean room between the completion of the post-cleaning process and the deposition of the cap insulating film. However, they found that the TDDB (Time Dependence on Dielectric Breakdown) life of the Cu wiring rapidly decreased after a certain period of time. The TDDB life is a measure for objectively measuring the time dependency of dielectric breakdown. A relatively high voltage is applied between Cu wirings under a measurement condition of a predetermined temperature (for example, 140 ° C). Create a graph in which the time from application to dielectric breakdown is plotted against the applied electric field, and extrapolate the actual electric field strength (for example, 0.2 MVZcm) from this graph to the time (life).
従って、 半導体基板上に Cu配線を形成する場合は、 研磨後の後洗浄処理から キャップ絶縁膜を堆積するまでの間に Cu配線の TDDB寿命が低下するのを防 ぐ何らかの対策が必要となる。  Therefore, when forming Cu wiring on a semiconductor substrate, some measures must be taken to prevent the TDDB life of the Cu wiring from being shortened between the post-cleaning process after polishing and the deposition of the cap insulating film.
本発明の目的は、 C U配線の T DDB寿命の低下を抑制することのできる技術 を提供することにある。 An object of the present invention is to provide a technique capable of suppressing a decrease in T DDB life of C U wiring.
本発明の前記ならびにその他の目的と新規な特徴は、 本明細書の記述および添 付図面から明らかになるであろう。  The above and other objects and novel features of the present invention will become apparent from the description of the present specification and the accompanying drawings.
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、 以下の通りである。  The following is a brief description of an outline of typical inventions among the inventions disclosed in the present application.
本願の一発明による半導体集積回路装置の製造方法は、 (a) 半導体基板上に 第 1絶縁膜を堆積した後、 前記第 1絶縁膜に配線埋込み用の開口部を形成するェ 程と、 (b) 前記開口部内を含む前記第 1絶縁膜上に Cuを主成分として含む導 電膜を堆積する工程と、 (c) 前記導電膜を化学的および機械的に研磨して前記 開口部内に残すことにより、 前記開口部内に Cu配線を形成する工程と、 (d) 前記 (c) 工程の後、 前記半導体基板の表面を洗浄する工程と、 (e) 前記 (d ) 工程の後、 前記半導体基板上に第 2絶縁膜を堆積することによって、 前記 Cu 配線の表面を前記第 2絶縁膜で被覆する工程とを含み、 前記 (d) 工程が終了し た後、 4日以内に前記 (e) 工程を実施するように製造ラインの管理を行うもの である。 図面の簡単な説明  The method of manufacturing a semiconductor integrated circuit device according to one aspect of the present invention includes: (a) depositing a first insulating film on a semiconductor substrate and then forming an opening for wiring embedding in the first insulating film; b) depositing a conductive film containing Cu as a main component on the first insulating film including the inside of the opening; and (c) chemically and mechanically polishing the conductive film to leave the inside of the opening. Forming a Cu wiring in the opening; (d) cleaning the surface of the semiconductor substrate after the (c); and (e) cleaning the semiconductor after the (d). Covering the surface of the Cu wiring with the second insulating film by depositing a second insulating film on the substrate, wherein the step (d) is completed within four days after the step (d) is completed. ) The production line is managed so that the process is performed. BRIEF DESCRIPTION OF THE FIGURES
図 1は、 本発明の一実施の形態である半導体集積回路装置の製造方法を示す半 導体基板の要部断面図である。 FIG. 1 is a diagram illustrating a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention. It is principal part sectional drawing of a conductor board.
図 2は、 本発明の一実施の形態である半導体集積回路装置の製造方法を示す半 導体基板の要部断面図である。  FIG. 2 is a cross-sectional view of a main part of a semiconductor substrate illustrating a method for manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
図 3は、 本発明の一実施の形態である半導体集積回路装置の製造方法を示す半 導体基板の要部断面図である。  FIG. 3 is a fragmentary cross-sectional view of a semiconductor substrate, illustrating a method of manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
図 4は、 本発明の一実施の形態である半導体集積回路装置の製造方法を示す半 導体基板の要部断面図である。  FIG. 4 is a cross-sectional view of a main part of a semiconductor substrate showing a method for manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
図 5は、 本発明の一実施の形態である半導体集積回路装置の製造方法を示す半 導体基板の要部断面図である。  FIG. 5 is a cross-sectional view of a main part of a semiconductor substrate showing a method of manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention.
図 6は、 本発明の一実施の形態である半導体集積回路装置の製造方法を示す半 導体基板の要部断面図である。  FIG. 6 is a fragmentary cross-sectional view of a semiconductor substrate illustrating a method of manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention.
図 7は、 本発明の一実施の形態である半導体集積回路装置の製造方法を示す半 導体基板の要部断面図である。  FIG. 7 is a fragmentary cross-sectional view of a semiconductor substrate illustrating a method of manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention.
図 8は、 化学的機械研磨後の後洗浄処理を行った後、 キャップ絶縁膜を堆積す るまでの基板放置日数と破壊電界強度との関係を実測したグラフである。  FIG. 8 is a graph showing the relationship between the number of days the substrate has been left until the cap insulating film is deposited after the post-cleaning process after chemical mechanical polishing and the breakdown electric field strength.
図 9は、 図 8の測定に用いたサンプルの T D D B寿命の放置時間依存性を示す グラフである。  FIG. 9 is a graph showing the standing time dependence of the TDD B life of the sample used for the measurement of FIG.
図 1 0は、 化学的機械研磨後の後洗浄処理を行った後、 キャップ絶縁膜を堆積 するまでの基板放置日数と破壊電界強度との関係を 2種類のキヤップ絶縁膜を使 つて実測したグラフである。  Figure 10 is a graph of the relationship between the number of days the substrate has been left until the cap insulating film is deposited and the breakdown electric field strength after performing post-cleaning treatment after chemical mechanical polishing using two types of cap insulating films. It is.
図 1 1は、 本発明者が考察した放置時間による T D D B寿命の劣化モデルを示 す模式図である。  FIG. 11 is a schematic diagram showing a degradation model of TDD B life due to standing time considered by the present inventors.
図 1 2は、 後洗浄処理が終了した半導体基板の保管方法の一例を示す説明図で FIG. 12 is an explanatory view showing an example of a method of storing a semiconductor substrate after the post-cleaning process.
09る。 09.
図 1 3は、 後洗浄処理が終了した半導体基板の保管方法の一例を示す説明図で あ 。  FIG. 13 is an explanatory diagram illustrating an example of a method of storing a semiconductor substrate after the post-cleaning process.
図 1 4 ( a ) 、 ( b ) 、 ( c ) は、 後洗浄処理からキャップ絶縁膜の堆積まで の基板管理方法の一例を示す説明図である。  FIGS. 14 (a), (b) and (c) are explanatory views showing an example of a substrate management method from the post-cleaning process to the deposition of the cap insulating film.
図 1 5は、 後洗浄処理からキャップ絶縁膜の堆積までの基板管理方法の一例を 示す説明図である。 Figure 15 shows an example of the substrate management method from the post-cleaning process to the deposition of the cap insulating film. FIG.
図 1 6は、 後洗浄処理からキャップ絶縁膜の堆積までの基板管理方法の一例を 示す説明図である。  FIG. 16 is an explanatory diagram illustrating an example of a substrate management method from the post-cleaning process to the deposition of the cap insulating film.
図 1 7は、 本発明の一実施の形態である半導体基板の管理方法を導入して製造 した C u配線の T D D B寿命を示すグラフである。 発明を実施するための最良の形態  FIG. 17 is a graph showing the TDB life of Cu wiring manufactured by introducing the method of managing a semiconductor substrate according to one embodiment of the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
以下、 本発明の実施の形態を図面に基づいて詳細に説明する。 なお、 実施の形 態を説明するための全図において同一機能を有するものは同一の符号を付し、 そ の繰り返しの説明は省略する。  Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. In all the drawings for describing the embodiments, those having the same functions are denoted by the same reference numerals, and the description thereof will not be repeated.
本実施の形態は、半導体基板に形成した相補型 M I S F E T (Complementary Metal Insulator Semiconductor Field Effect Transistor)の上咅に C u酉己線を 形成する L S Iの製造方法に適用したものである。 この L S Iの製造方法を図面 に従って説明すれば、 次の通りである。  The present embodiment is applied to a method of manufacturing an LSI in which a Cu line is formed on a complementary metal insulator semiconductor field effect transistor (MIS) formed on a semiconductor substrate. The method of manufacturing this LSI will be described below with reference to the drawings.
まず、 図 1に示すように、 単結晶シリコンからなるウェハ状の半導体基板 (以 下、 *板というが、 ウェハということもある) 1を用意し、 この基板 1の主面に 素子分離溝 2、 p型ゥエル 4および n型ゥエル 5を形成した後、 p型ゥエル4に nチャネル型 M I S F E T Q nを形成し、 n型ゥエル 5に pチャネル型 M I S F E T Q pを形成する。 First, as shown in FIG. 1, a wafer-like semiconductor substrate (hereinafter, referred to as a * plate, sometimes also referred to as a wafer) 1 made of single-crystal silicon is prepared. After forming the p-type well 4 and the n-type well 5, an n-channel MISFETQn is formed in the p-type well 4 , and a p-channel MISFETQp is formed in the n-type well 5.
上記素子分離溝 2を形成するには、 素子分離領域の基板 1をエッチングして溝 を形成した後、 溝の内部を含む基板 1上に C V D法で酸化シリコン膜 3を堆積し 、 続いて溝の外部の酸化シリコン膜 3を化学的機械研磨法によって除去する。 ま た、 p型ゥエル 4および n型ゥエル 5を形成するには、 基板 1の一部にホウ素を イオン注入し、 他の一部にリンをイオン注入した後、 基板 1を熱処理することに よって、 これらの不純物を基板 1内に拡散させる。  In order to form the element isolation groove 2, the substrate 1 in the element isolation region is etched to form a groove, and then a silicon oxide film 3 is deposited on the substrate 1 including the inside of the groove by a CVD method. The silicon oxide film 3 outside is removed by a chemical mechanical polishing method. In addition, to form the p-type well 4 and the n-type well 5, boron ions are implanted into a part of the substrate 1 and phosphorus ions are implanted into the other part, and then the substrate 1 is heat-treated. These impurities are diffused into the substrate 1.
nチャネル型 M I S F E T Q nおよび pチャネル型 M I S F E T Q pは、 周知 のプロセスのいずれを用いて形成してもよいが、 例えば次のように形成する。 ま ず、 基板 1をスチーム酸化することによって、 p型ゥエル 4および n型ゥエル 5 のそれぞれの表面に酸化シリコン膜からなるゲート絶縁膜 6を形成した後、 ゲ一 卜絶縁膜 6の上部に C V D法で多結晶シリコン膜を堆積し、 続いて p型ゥエル 4 の上部の多結晶シリコン膜にリンをイオン注入し、 n型ゥエル 5の上部の多結晶 シリコン膜にホウ素をイオン注入した後、 フォトレジスト膜をマスクにしたドラ ィエツチングで多結晶シリコン膜をバタ一二ングすること (こより、 ゲート電極 7 を形成する。 The n-channel type MISFETQn and the p-channel type MISFETQp may be formed by using any of the well-known processes. For example, they are formed as follows. First, a gate insulating film 6 made of a silicon oxide film is formed on each surface of the p-type well 4 and the n-type well 5 by steam oxidation of the substrate 1. A polycrystalline silicon film is deposited on the upper insulating film 6 by a CVD method, and then phosphorus is ion-implanted into the upper polycrystalline silicon film on the p-type well 4 to form a polycrystalline silicon film on the upper n-type well 5. After ion implantation of boron, the polycrystalline silicon film is buttered by drying using a photoresist film as a mask (the gate electrode 7 is formed).
次に、 p型ゥエル 4にリンまたはヒ素をイオン注入することによって低不純物 濃度の n一型半導体領域 8を形成し、 n型ゥエル 5にホウ素をイオン注入するこ とによつて低不純物濃度の P—型半導体領域 9を形成した後、 基板 1上に C V D 法で窒化シリコン膜を堆積し、続いてこの窒化シリコン膜を異方的にエツチング することによって、ゲート電極 7の側壁にサイドウォールスぺーサ 1 0を形成す る。次に、 p型ゥエル 4にリンまたはヒ素をイオン注入することによって高不純 物濃度の n+型半導体領域 1 1 (ソース、 ドレイン) を形成し、 n型ゥエル 5にホ ゥ素をイオン注入することによって高不純物濃度の P+型半導体領域 1 2 (ソース 、 ドレイン) を形成する。  Next, an n-type semiconductor region 8 having a low impurity concentration is formed by ion-implanting phosphorus or arsenic into the p-type well 4, and a low impurity concentration is formed by ion-implanting boron into the n-type well 5. After the formation of the p-type semiconductor region 9, a silicon nitride film is deposited on the substrate 1 by a CVD method, and then the silicon nitride film is anisotropically etched to form a sidewall on the side wall of the gate electrode 7. A pulse 10 is formed. Next, an n + type semiconductor region 11 (source, drain) having a high impurity concentration is formed by ion-implanting phosphorus or arsenic into the p-type well 4 and ion-implanting boron into the n-type well 5. As a result, a P + type semiconductor region 12 (source, drain) having a high impurity concentration is formed.
次に、 基板 1の表面を洗浄した後、 ゲート電極 7、 n +型半導体領域 1 1 (ソ ース、 ドレイン) および p +型半導体領域 1 2 (ソース、 ドレイン) のそれぞれ の表面にシリサイド層 1 3を形成する。 シリサイド層 1 3を形成するには、基板 1上にスパッタリング法で C o (コバルト) 莫を堆積し、 次いで窒素ガス雰囲気 中で熱処理を行って基板 1およびゲ一ト電極 7と C o膜とを反応させた後、未反 応の C o膜をウエットエッチングで除去する。 ここまでの工程で、 nチャネル型 M I S F E T Q nおよび pチャネル型 M I S F E T Q pが完成する。 Next, after cleaning the surface of the substrate 1, a silicide layer is formed on each of the gate electrode 7, the n + type semiconductor region 11 (source, drain) and the p + type semiconductor region 12 (source, drain). Form 1 3 To form the silicide layer 13, a large amount of Co (cobalt) is deposited on the substrate 1 by a sputtering method, and then heat treatment is performed in a nitrogen gas atmosphere to form the substrate 1 and the gate electrode 7 with the Co film. After the reaction, the unreacted Co film is removed by wet etching. With the steps so far, the n-channel type MISFETQ n and the p-channel type MISFETQ p are completed.
次に、 図 2に示すように、 nチャネル型 M I S F E T Q nおよび pチャネル型 M I S F E T Q pの上部に第 1層目の W (タングステン) 配線 2 0を形成する。  Next, as shown in FIG. 2, a first-layer W (tungsten) wiring 20 is formed on the n-channel type MISFET Qn and the p-channel type MISFETQp.
W配線 2 0を形成するには、 まず基板 1上に C V D法で窒化シリコン膜 1 5お よび酸化シリコン膜 1 6を堆積し、 続いて n +型半導体領域 1 1 (ソース、 ドレ イン) および p +型半導体領域 1 2 (ソース、 ドレイン) のそれぞれの上部の酸 化シリコン膜 1 6および窒化シリコン膜 1 5をドライエッチングしてコンタクト ホール 1 7を形成した後、 コンタクトホール 1 7の内部にメタルプラグ 1 8を形 成する。 上記酸化シリコン膜 1 6は、 モノシラン (S i H4) をソースガスに用いた通 常の C V D法で形成される酸化シリコン膜の他、 BPS G (Boron-doped Phosph o Silicate Glass)膜、 あるいはスピン塗布法によって形成される S O G(Spin On Glass)膜などで構成してもよい。 To form the W wiring 20, first, a silicon nitride film 15 and a silicon oxide film 16 are deposited on the substrate 1 by a CVD method, and then the n + -type semiconductor regions 11 (source and drain) and After the silicon oxide film 16 and the silicon nitride film 15 on each of the p + type semiconductor regions 12 (source and drain) are dry-etched to form contact holes 17, the contact holes 17 are formed. Form metal plug 18. The silicon oxide film 16 may be a silicon oxide film formed by a normal CVD method using monosilane (SiH 4 ) as a source gas, a BPSG (Boron-doped Phospho Silicate Glass) film, or It may be composed of an SOG (Spin On Glass) film formed by a spin coating method.
メタルプラグ 1 8を形成するには、 コンタクトホール 1 7の内部を含む酸化シ リコン膜 1 6上に CVD法で T i N (窒化チタン) 膜と W膜とを堆積し、 続いて 酸化シリコン膜 1 6の上部の不要な T i N膜および W膜を化学的機械研磨法によ つて除去する。  To form the metal plug 18, a TiN (titanium nitride) film and a W film are deposited by CVD on the silicon oxide film 16 including the inside of the contact hole 17, and then a silicon oxide film Unnecessary TiN film and W film on top of 16 are removed by chemical mechanical polishing.
次に、 酸化シリコン膜 1 6の上部にスパッタリング法で W膜を堆積し、 フォト レジス卜膜をマスクにしたドライエッチングでこの W膜をパターニングすること により、 酸化シリコン膜 16の上部に第 1層目の W配線 20を形成する。 第 1層 目の W配線 20は、 コンタク卜ホール 1 7の内部に埋め込まれたメタルプラグ 1 8を介して nチャネル型 M I S FETQnのソース、 ドレイン ( n +型半導体領 域 1 1 ) または pチャネル型 M I S F ETQ pのソース、 ドレイン (p+型半導 体領域 1 2) と電気的に接続される。  Next, a W film is deposited on the silicon oxide film 16 by a sputtering method, and the W film is patterned by dry etching using a photoresist film as a mask, thereby forming a first layer on the silicon oxide film 16. The W wiring 20 of the eye is formed. The first layer W wiring 20 is connected to the source and drain (n + type semiconductor region 11) or p channel of the n-channel type MIS FETQn through the metal plug 18 embedded in the contact hole 17. It is electrically connected to the source and drain of the type MISF ETQ p (p + type semiconductor region 12).
次に、 図 3に示すように、 W配線 20の上部に CVD法または塗布法で 2層の 絶縁膜 21、 22を堆積し、 続いてフォトレジスト膜をマスクにしたドライエツ チングで絶縁膜 21、 22にスルーホール 23を形成した後、 スルーホール23 の内部にメタルプラグ 24を形成する。 Next, as shown in FIG. 3, two insulating films 21 and 22 are deposited on the W wiring 20 by a CVD method or a coating method, and then the insulating films 21 and 22 are formed by dry etching using a photoresist film as a mask. after forming the through hole 23 to 22, to form the metal plug 24 in the through-holes 2 3.
ここで、 下層の絶縁膜 21は、 W配線 20同士の寄生容量あるいは W配線 20 と次の工程で形成される第 2層目の配線との寄生容量を低減するために、 酸化シ リコンょリも誘電率が低い有機ポリマー、 有機シリ力ガラスなどの絶縁材料で構 成する。 この種の有機ポリマーとしては、 例えば S i LK (米 The Dow Chemi cal Co製、 比誘電率 =2. 7、 耐熱温度 =490°C以上、 絶縁破壊耐圧 =4. 0 〜5. OMV/Vm) またはポリアリルエーテル (PAE) 系材料の FLARE (米 Honeywell Electronic Materials製、比誘電率 = 2. 8、耐熱温度 = 400 °C以上) などがある。 また、 有機シリカガラスとしては、 例えば HSG— R7 ( 日立化成工業製、 比誘電率 =2. 8、 耐熱温度 =650°C) . B l a c k D i a mo η d (米 Applied Materials, Inc製、 比誘電率 =3. 0-2. 4、 耐熱温度 =450°C) 、 p-MT ES (日立開発製、 比誘電率 =3. 2) 、 CORAL ( 米 Novellus Systems, Inc製、 比誘電率 = 2. 7〜 2 · 4、 耐熱温度 = 500 °C ) s Au r o r a 2. 7 (日本エー 'エス 'ェム社製、 比誘電率 = 2. 7、 耐熱 温度 =450°C) などの S i OC系材料がある。 絶縁膜 21は、 上記した有機系 絶縁材料の他、 S i OF系材料、 HSQ (hydrogen sUsesquioxane) 系材料、 M SQ (methyl silsesquioxane) 系材料、 ポーラス HSQ系材料、 ポーラス MS Q 材料などで構成してもよい。 Here, the lower insulating film 21 is formed of silicon oxide to reduce the parasitic capacitance between the W wirings 20 or the parasitic capacitance between the W wiring 20 and the second-layer wiring formed in the next step. It is also made of an insulating material such as an organic polymer having a low dielectric constant and an organic silicon glass. Examples of this type of organic polymer include SiLK (a product of The Dow Chemical Co., USA, dielectric constant = 2.7, heat resistance temperature = 490 ° C or higher, dielectric breakdown voltage = 4.0 to 5. OMV / Vm ) Or polyallyl ether (PAE) based material FLARE (manufactured by Honeywell Electronic Materials, USA, dielectric constant = 2.8, heat resistance = 400 ° C or more). As organic silica glass, for example, HSG-R7 (manufactured by Hitachi Chemical Co., Ltd., relative permittivity = 2.8, heat-resistant temperature = 650 ° C). B lack Diamo η d (manufactured by Applied Materials, Inc., USA) Dielectric constant = 3.0-2.4, heat resistant temperature = 450 ° C), p-MT ES (manufactured by Hitachi, relative permittivity = 3.2), CORAL (manufactured by Novellus Systems, Inc., US, relative permittivity = 2.7 to 2.4, heat resistance temperature = 500 ° C) s There are Si OC-based materials such as Au rora 2.7 (manufactured by Japan ASM Co., Ltd., relative permittivity = 2.7, heat resistance temperature = 450 ° C). The insulating film 21 is composed of the above-mentioned organic insulating material, a Si OF-based material, an HSQ (hydrogen sUsesquioxane) -based material, a MSQ (methyl silsesquioxane) -based material, a porous HSQ-based material, a porous MS Q material, and the like. You may.
絶縁膜 21の上部の絶縁膜 22は、 無機系絶縁材料に比べて機械的強度や耐湿 性が低い絶縁膜 21を保護するために形成する。 絶縁膜 22は、 例えば CVD法 で堆積した酸化シリコン膜の他、 酸化シリコン膜よリも誘電率が低い炭化シリコ ン (S i C) 膜または炭窒化シリコン (S i CN) 膜などで構成する。 炭化シリ コン膜や炭窒化シリコン (S ί CN) 膜としては、 例えば BLOk (AM AT社 製) がある。  The insulating film 22 above the insulating film 21 is formed to protect the insulating film 21 having lower mechanical strength and moisture resistance than the inorganic insulating material. The insulating film 22 is made of, for example, a silicon oxide film deposited by a CVD method, a silicon carbide (SiC) film or a silicon carbonitride (SiCN) film having a lower dielectric constant than the silicon oxide film. . Examples of the silicon carbide film and silicon carbonitride (SSCN) film include BLOk (manufactured by AMAT).
絶縁膜 21、 22に形成したスルーホール 23の内部にメタルプラグ 24を形 成するには、 絶縁膜 22上にスパッタリング法で W膜を堆積した後、 酸化シリコ ン膜 22の上部の不要な W膜を化学的機械研磨法によつて除去する。  In order to form the metal plug 24 inside the through holes 23 formed in the insulating films 21 and 22, a W film is deposited on the insulating film 22 by a sputtering method, and then unnecessary W on the top of the silicon oxide film 22 is formed. The film is removed by a chemical mechanical polishing method.
次に、 図 4に示すように、 酸化シリコン膜 22の上部に CVD法で 2層の絶縁 膜 25、 26を堆積した後、 フォトレジスト膜をマスクにしたドライエッチング でスルーホール 23の上部の絶縁膜 25、 26に配線溝 27を形成する。  Next, as shown in FIG. 4, two insulating films 25 and 26 are deposited on the silicon oxide film 22 by the CVD method, and then the upper portion of the through hole 23 is etched by dry etching using a photoresist film as a mask. A wiring groove 27 is formed in the films 25 and 26.
上記 2層の絶縁膜 25、 26のうち、 上層の絶縁膜 26は、 例えば酸素とテト ラエトキシシラン (TEOS) をソースガスに用いて堆積される酸化シリコン膜 で構成する。 下層の絶縁膜 25は、 絶縁膜 26をエッチングして配線溝 27を形 成する際、 酸化シリコン膜などからなる下層の絶縁膜 22がエッチングされるの を防ぐストツバ膜となるもので、 例えば窒化シリコン膜のように酸化シリコン膜 に対するエッチング選択比が大きい絶縁膜で構成する。 また、 配線間寄生容量を 低減する観点から、 窒化シリコン膜よりも誘電率が低い酸窒化シリコン (S i O N) 膜や炭窒化シリコン (S i CN) 膜などで構成してもよい。  Of the two insulating films 25 and 26, the upper insulating film 26 is composed of, for example, a silicon oxide film deposited using oxygen and tetraethoxysilane (TEOS) as a source gas. The lower insulating film 25 is a stopper film that prevents the lower insulating film 22 made of a silicon oxide film or the like from being etched when the wiring film 27 is formed by etching the insulating film 26. It is composed of an insulating film such as a silicon film having a large etching selectivity to a silicon oxide film. Further, from the viewpoint of reducing the parasitic capacitance between wirings, a silicon oxynitride (SiON) film or a silicon carbonitride (SiCN) film having a lower dielectric constant than the silicon nitride film may be used.
次に、 図 5に示すように、 配線溝 27の内部を含む絶縁膜 26の上部に窒化チ タン膜などからなるバリアメタル膜 28をスパッタリング法で堆積した後、 1くリ ァメタル膜 2 8の上部に配線溝 2 7の深さよりも厚い膜厚を有する C u膜 3 0 a をスパッタリング法で堆積する。 Next, as shown in FIG. 5, a barrier metal film 28 made of a titanium nitride film or the like is deposited on the insulating film 26 including the inside of the wiring groove 27 by a sputtering method. On top of the metal film 28, a Cu film 30a having a thickness larger than the depth of the wiring groove 27 is deposited by a sputtering method.
バリアメタル膜 2 8は、 配線溝 2 7の内部に堆積した C u膜 3 0 aが周囲の絶 縁膜 2 6中に拡散したリ、 C u膜 3 0 aと絶縁膜 2 6の接着性を向上させたリす るために形成する。 ノくリアメタル膜 2 8は、 窒化チタン膜の他、 窒化タングステ ン (W N ) 膜、 窒化タンタル (T a N ) 膜、 チタンタングステン (T i W) 膜な どの導電膜で構成することもできる。  The barrier metal film 28 is formed by diffusing the Cu film 30a deposited inside the wiring groove 27 into the surrounding insulating film 26, and the adhesion between the Cu film 30a and the insulating film 26. It is formed in order to improve the quality. The rear metal film 28 can be made of a conductive film such as a tungsten nitride (WN) film, a tantalum nitride (TaN) film, or a titanium tungsten (TiW) film, in addition to a titanium nitride film.
C u膜 3 0 aをスパッタリング法で形成する場合は、 成膜後に基板 1を非酸化 性雰囲気 (例えば水素雰囲気) 中で熱処理して C u膜 3 0 aをリフローさせる。 また、 スパッタリング法としては、 C u膜 3 0 aを配線溝 2 7の内部に良好に埋 め込むことのできるロングスロースパッタリング法ゃコリメ一トスパッタリング 法などの高指向性スパッタリング法を用いることが好ましい。 C u膜 3 0 aは、 スパッタリング法の他、 C V D法、 電解メツキ法または無電解メツキ法で形成す ることもできる。 電解メツキ法を用いる場合は、 バリアメタル膜 2 8の上部にス パッタリング法で薄い C uのシード層を形成した後、 硫酸銅などのメツキ液を使 つてシード層の表面に C u膜 3 0 aを成長させる。 0リ膜3 0 3は、 単体の C u の他、 C uを主成分として含む C u合金で構成してもよい。  When the Cu film 30a is formed by a sputtering method, the substrate 1 is subjected to a heat treatment in a non-oxidizing atmosphere (for example, a hydrogen atmosphere) after the film formation to reflow the Cu film 30a. In addition, as the sputtering method, a high-directional sputtering method such as a long throw sputtering method and a collimated sputtering method that can satisfactorily bury the Cu film 30a in the wiring groove 27 is used. preferable. The Cu film 30a can be formed by a CVD method, an electrolytic plating method, or an electroless plating method, in addition to the sputtering method. When the electrolytic plating method is used, a thin Cu seed layer is formed on the barrier metal film 28 by a sputtering method, and then the Cu film 3 is formed on the surface of the seed layer using a plating solution such as copper sulfate. Grow 0a. The thin film 303 may be made of a Cu alloy containing Cu as a main component in addition to a single Cu.
次に、 図 6に示すように、 配線溝 2 7の外側の C u膜 3 0 aおよびバリアメタ ル膜 2 8を化学的機械研磨法で除去することによって、 配線溝 2 7の内部に第 2 層目の配線となる C u配線 3 0を形成する。 C u配線 3 0は、 スルーホール 2 3 の内部に埋め込まれたメタルプラグ 2 4を介して第 1層目の W配線 2 0と電気的 に接続される。 なお、 ここでは配線溝 2 7の内部に C u膜 3 0 aを埋め込む、 い わゆるシングルダマシン法によって C u配線 3 0を形成しているが、 配線溝 2 7 とその下部のスルーホール 2 3の内部に同時に C u膜 3 0 aを埋め込む、 いわゆ るデュアルダマシン法によって C u配線 3 0を形成してもよい。  Next, as shown in FIG. 6, the Cu film 30a and the barrier metal film 28 outside the wiring groove 27 are removed by a chemical mechanical polishing method, so that the second inside of the wiring groove 27 is formed. A Cu wiring 30 serving as a wiring of the layer is formed. The Cu wiring 30 is electrically connected to the first-layer W wiring 20 via a metal plug 24 embedded in the through hole 23. Here, the Cu wiring 30 is formed by a so-called single damascene method in which the Cu film 30a is buried in the wiring groove 27, but the wiring groove 27 and the through hole 2 below the Cu wiring 30 are formed. The Cu wiring 30 may be formed by a so-called dual damascene method, in which a Cu film 30a is buried in the inside of 3 simultaneously.
上記 C u膜 3 0 aの研磨は、 例えばアルミナ、 シリカなどの砥粒と過酸化水素 水または硝酸第二鉄水溶液などの酸化剤とを主成分とし、 これらを純水に分散ま たは溶解させた汎用の研磨スラリを使用してもよいが、 基板 1の表面に発生する マイクロスクラッチを防止する観点からは、 砥粒を含まないスラリ (砥粒フリー スラリ) を使用することが好ましい。 The polishing of the Cu film 30a is mainly performed by using abrasive grains such as alumina and silica and an oxidizing agent such as a hydrogen peroxide solution or an aqueous ferric nitrate solution, and dispersing or dissolving them in pure water. A general-purpose polishing slurry may be used, but from the viewpoint of preventing micro scratches generated on the surface of the substrate 1, a slurry containing no abrasive (abrasive free) It is preferred to use (slurry).
砥粒フリースラリの組成は、 純水に酸化剤、 有機酸および防蝕剤を配合したも のである。 酸化剤としては、 過酸化水素 (H 202) 、 水酸化アンモニゥ厶、 硝酸 アンモニゥム、 塩化アンモニゥムなどを例示することができ、 有機酸としては、 クェン酸、 マロン酸、 フマル酸、 リンゴ酸、 アジピン酸、 安息香酸、 フタル酸、 酒石酸、 乳酸、 コハク酸、 シユウ酸などを例示することができる。 上記酸化剤の うち、 過酸化水素は金属成分を含まず、 かつ強酸ではないため、 スラリに用いて 好適な酸化剤である。 また、 上記有機酸のうち、 クェン酸は食品添加物としても 一般に使用されており、 毒性が低く、 廃液としての害も低く、 臭いもなく、 水へ の溶解度も高いため、 研磨液に用いて好適な有機酸である。 The composition of the abrasive free slurry is a mixture of pure water with an oxidizing agent, an organic acid, and a corrosion inhibitor. As the oxidizing agent, hydrogen peroxide (H 2 0 2), hydroxide Anmoniu厶, nitric Anmoniumu, can be exemplified a chloride Anmoniumu, as the organic acid, Kuen acid, malonic acid, fumaric acid, malic acid, Examples thereof include adipic acid, benzoic acid, phthalic acid, tartaric acid, lactic acid, succinic acid, and oxalic acid. Among the above oxidizing agents, hydrogen peroxide is a suitable oxidizing agent to be used for a slurry because it contains no metal component and is not a strong acid. Of the above organic acids, cunic acid is commonly used as a food additive and has low toxicity, low harm as a waste liquid, no odor, and high solubility in water. Preferred organic acids.
防蝕剤としては、 ベンゾトリアゾール (B T A) 、 B T Aカルボン酸などの B T A誘導体、 ドデシルメルカブタン、 トリァゾール、 トリル卜リアゾールなどを 例示することができるが、 特にべンゾトリアゾールを使用した場合は、 C u配線 3 0の表面に安定な耐蝕性保護膜を形成することができる。 防蝕剤の添加量は、 スラリ全量の 0. 0 0 1〜1重量%程度でよい。 また、 P方蝕剤の添加による研磨 速度の低下を避けるために、 ポリアクリル酸、 ポリメタクリル酸、 これらのアン モニゥム塩またはエチレンジァミン四酢酸 (E D T A) などを必要に応じて添加 してもよい。  Examples of the anticorrosion agent include benzotriazole (BTA), BTA derivatives such as BTA carboxylic acid, dodecyl mercaptan, triazole, and tolyl triazole. Particularly, when benzotriazole is used, Cu A stable corrosion-resistant protective film can be formed on the surface of the wiring 30. The addition amount of the anticorrosive may be about 0.001-1% by weight of the total amount of the slurry. Further, in order to avoid a decrease in the polishing rate due to the addition of the P cariogenic agent, polyacrylic acid, polymethacrylic acid, an ammonium salt thereof, or ethylenediaminetetraacetic acid (EDTA) may be added as necessary.
上記砥粒フリースラリを用いて化学的機械研磨を行うと、 まず C u膜 3 0 aの 表面が酸化剤によって酸化されて薄い酸化層 (C u O) が形成される。 次に、 酸 化物を水溶性化する物質を供給すると、 上記酸化層の一部が水溶液となって溶出 し、 その膜厚が薄くなる。 そして、 C u膜 3 0 aの表面の酸化層が薄くなつた部 分が再度酸化性物質に曝されて酸化層の厚さが増す。 C u膜 3 0 aの化学的機械 研磨は、 このような一連の反応が繰リ返されながら進行する。  When chemical mechanical polishing is performed using the above abrasive free slurry, first, the surface of the Cu film 30a is oxidized by an oxidizing agent to form a thin oxide layer (CuO). Next, when a substance for making the oxide water-soluble is supplied, a part of the oxidized layer is eluted as an aqueous solution, and the film thickness is reduced. Then, the thinned portion of the oxide layer on the surface of the Cu film 30a is again exposed to the oxidizing substance, and the thickness of the oxide layer increases. Chemical mechanical polishing of the Cu film 30a proceeds while repeating such a series of reactions.
C u膜 3 0 aの研磨が進行してその膜厚が薄くなると、 絶縁膜 2 6上のバリア メタル膜 2 8の表面が露出する。 このバリアメタル膜 2 8を研磨するには、 アル ミナ、 シリカなどの砥粒を含んだ研磨スラリを使用するが、 上記砥粒フリースラ リに比べて酸化剤の割合を減らすと共に、 防蝕剤の割合を増やしたものを使用す るのがよい。 このような研磨スラリを使用することにより、 配線溝 2 7の内部の C u膜 3 0 aを過剰に研磨することなく、 絶縁膜 2 6上のバリアメタル膜 2 8を 除去することができる。 As the polishing of the Cu film 30a progresses and the film thickness decreases, the surface of the barrier metal film 28 on the insulating film 26 is exposed. In order to polish the barrier metal film 28, a polishing slurry containing abrasive grains such as alumina and silica is used. It is better to use the one with increased number. By using such a polishing slurry, the inside of the wiring groove 27 can be The barrier metal film 28 on the insulating film 26 can be removed without excessively polishing the Cu film 30a.
次に、 上記のようにして形成された C u配線 3 0の表面に防食処理を施す。 こ の防食処理は、 C u配線 3 0の表面に疎水性の保護膜を形成する処理であり、 例 えば前述したベンゾトリアゾール (B T A) のような防蝕剤を含んだ薬液を基板 Next, the surface of the Cu wiring 30 formed as described above is subjected to anticorrosion treatment. This anti-corrosion treatment is a treatment for forming a hydrophobic protective film on the surface of the Cu wiring 30. For example, a chemical solution containing an anti-corrosion agent such as benzotriazole (BTA) described above is applied to the substrate.
1の表面に供給することによって行われる。 This is done by feeding on one surface.
次に、 防食処理が終了した基板 1を後洗浄処理部に搬送し、 前記 C u膜 3 0 a の化学的機械研磨時に基板 1の表面、 すなわち C u配線 3 0の表面や絶縁膜 2 6 の表面に付着したスラリなどの異物を除去する。  Next, the substrate 1 on which the anticorrosion treatment has been completed is transported to the post-cleaning processing section, and the surface of the substrate 1, that is, the surface of the Cu wiring 30 or the insulating film 26 Removes foreign matter such as slurry attached to the surface.
防食処理が終了した基板 1は、 後洗浄処理部に搬送されるまでの間、 乾燥によ る C u配線 3 0の酸化の進行を防ぐために、 一時的に浸漬処理部に保管される。 浸漬処理部は、 例えば純水をオーバーフローさせた浸漬槽 (ストツ力) の中に所 定枚数の基板 1を浸潰させた状態で保管する構造になっている。 基板 1の表面の 乾燥防止は、 例えば純水シャワーの供給など、 少なくとも基板 1の表面を湿潤状 態に保つことのできる方法であれば、 上記した浸漬槽中で保管する方法に限定さ れない。 また、 浸漬処理部から後洗浄処理部への基板 1の搬送は、 基板 1の表面 の湿潤状態を保った状態で速やかに行う。  The substrate 1 that has been subjected to the anticorrosion treatment is temporarily stored in the immersion treatment unit until it is transported to the post-cleaning treatment unit in order to prevent the oxidation of the Cu wiring 30 by drying. The immersion treatment section has a structure in which, for example, a predetermined number of substrates 1 are immersed and stored in an immersion tank (storage force) in which pure water overflows. Prevention of drying of the surface of the substrate 1 is not limited to the above-described method of storing in the immersion tank, as long as at least the surface of the substrate 1 can be kept in a wet state, for example, by supplying a pure water shower. . In addition, the transfer of the substrate 1 from the immersion processing section to the post-cleaning processing section is performed promptly while keeping the surface of the substrate 1 wet.
後洗浄処理は、 アルカリ洗浄処理とその後の酸洗浄処理とからなる。 アルカリ 洗浄は、 基板 1の表面に付着した酸化剤を含む酸性のスラリを中和するために行 うもので、 例えば p H 8程度の弱アル力リ性薬液を供給しながら基板 1の表面を スクラブ洗浄またはブラシ洗浄する。 弱アルカリ性薬液としては、 アミノエタノ ールを 0. 0 1 %程度含む水溶液(D A E :Diluted Amino Ethanol) を例示する ことができる。  The post-cleaning process includes an alkali cleaning process and a subsequent acid cleaning process. The alkali cleaning is performed to neutralize an acidic slurry containing an oxidizing agent adhered to the surface of the substrate 1. For example, the surface of the substrate 1 is supplied while supplying a weak chemical solution having a pH of about 8. Scrub or brush wash. An example of the weak alkaline chemical solution is an aqueous solution containing about 0.01% of aminoethanol (DAE: Diluted Amino Ethanol).
アルカリ洗浄後の酸洗浄は、 T D D B特性の向上、 残留金属の除去、 絶縁膜 2 6の表面のダングリングボンドの低減および絶縁膜 2 6の表面の凹凸の除去など を目的とするもので、 クェン酸などの有機酸を含む薬液、 例えばエレクトラクリ ーン (E C) (米 Applied Materials, Inc製、 p H 5 . 5 ) やサイレックス (C I R E X、 和光純薬製) などを供給しながら基板 1の表面をスクラブ洗浄または ブラシ洗浄する。 また、 これらの洗浄方式に代えてディスク型洗浄方式やペン型 洗浄方式を用いてもよい。 さらに、 後洗浄処理に先立って、 または並行して、 基 板 1の表面を純水スクラブ洗浄、 純水超音波洗浄、 純水流水洗浄または純水スピ ン洗浄したり、 基板 1の裏面を純水スクラブ洗浄したりしてもよい。 The acid cleaning after the alkali cleaning is for the purpose of improving TDDB characteristics, removing residual metal, reducing dangling bonds on the surface of the insulating film 26, and removing irregularities on the surface of the insulating film 26. The surface of the substrate 1 while supplying a chemical containing an organic acid such as an acid, such as Electraclean (EC) (Applied Materials, Inc., pH 5.5) or Silex (CIREX, manufactured by Wako Pure Chemical). Scrub or brush clean. Instead of these cleaning methods, disk-type cleaning methods and pen-type cleaning methods A cleaning method may be used. Further, prior to or in parallel with the post-cleaning process, the surface of the substrate 1 is subjected to pure water scrub cleaning, pure water ultrasonic cleaning, pure water running water cleaning, or pure water spin cleaning, or the back surface of the substrate 1 is purified. Water scrub cleaning may be used.
上記後洗浄処理は、 水酸化アンモニゥムを使ったアルカリ洗浄と希フッ酸 (D HF) を使った酸洗浄を組み合わせて行うこともできる。 この場合は、 フッ酸が 有機酸よリも強い酸であることから、 前記研磨処理で発生した C u配線 30の表 面の薄い酸化層 (CuO) が除去されるので、 次の工程である水素ァニール処理 を簡略化または省略することができる。 し力、し、 フッ酸を使用した場合は、 Cu 配線 30の表面の酸化層 (CuO) だけでなく、 Cu配線 30それ自体もエッチ ングされてしまうので、 Cu酉己線 30の断面積が小さくなリ、 電気抵抗が大きく なる虞れがある。 従って、 特に Cu配線 30の線幅が微細である場合には、 有機 酸を含む水溶液を使用した酸洗浄が望ましい。  The above post-cleaning treatment can be performed by a combination of alkali cleaning using ammonium hydroxide and acid cleaning using dilute hydrofluoric acid (DHF). In this case, since the hydrofluoric acid is an acid stronger than the organic acid, the thin oxide layer (CuO) on the surface of the Cu wiring 30 generated by the polishing treatment is removed. Hydrogen annealing can be simplified or omitted. When hydrofluoric acid is used, not only the oxide layer (CuO) on the surface of the Cu wiring 30 but also the Cu wiring 30 itself is etched, so the cross-sectional area of the Cu wiring 30 is reduced. There is a possibility that the electric resistance may increase if it is small. Therefore, especially when the line width of the Cu wiring 30 is fine, acid cleaning using an aqueous solution containing an organic acid is desirable.
一方、 有機酸を含む水溶液を使って酸洗浄を行った場合は、 Cu配線 30の表 面の酸化層 (CuO) が除去されないので、 その後、 水素ァニール処理を行って 酸化層 (CuO) を除去する必要がある。 また、 フッ酸を使って酸洗浄を行った 場合であっても、 Cu配線 30の削れを防ぐためには、 酸洗浄時に酸化層 (Cu O) を完全に除去することは望ましくない。 従って、 この場合は、 酸洗浄を酸化 層 (CuO) が完全に除去されない程度の短時間に止め、 残った酸化層 (CuO ) を水素ァニール処理で完全に除去するとよい。  On the other hand, when acid cleaning is performed using an aqueous solution containing an organic acid, the oxide layer (CuO) on the surface of the Cu wiring 30 is not removed, and thereafter, the oxide layer (CuO) is removed by performing a hydrogen annealing treatment. There is a need to. Further, even when the acid cleaning is performed using hydrofluoric acid, it is not desirable to completely remove the oxide layer (CuO) during the acid cleaning in order to prevent the Cu wiring 30 from being scraped. Therefore, in this case, it is preferable to stop the acid cleaning in a short time so that the oxide layer (CuO) is not completely removed, and to completely remove the remaining oxide layer (CuO) by hydrogen annealing.
Cu配線 30の表面の削れを最小限に抑えながら、 Cu配線 30の表面や絶縁 膜 26の表面に残留している異物を除去する酸洗浄用薬液として、 有機酸と希フ ッ酸 (DH F) の混合水溶液を使用することもできる。 この薬液中のフッ酸濃度 は、 C u配線 30の削れを最小限に抑えるために、 0. 1〜1%程度とする。 ま た、 有機酸の濃度も 0. 1〜1%程度とし、 pHを 2〜6の範囲 (好ましくは 3 程度) に調整する。 有機酸としては、 クェン酸、 リンゴ酸、 シユウ酸、 マロン酸 、 ギ酸などを例示することができる。 さらに、 この混合水溶液に前述したベンゾ トリァゾール (BTA) などの防蝕剤を添加し、 Cu配線 30の表面に保護膜を 形成することによって、 Fイオンによる Cu配線 30のエッチングを抑制するよ うにしてもよい。 このように BTAを添加した場合も、 1"1を2〜6の範囲 (好 ましくは 3程度) に調整する。 Organic acids and dilute hydrofluoric acid (DHF) are used as acid cleaning chemicals to remove foreign matter remaining on the surface of the Cu wiring 30 and the surface of the insulating film 26 while minimizing scraping of the surface of the Cu wiring 30. ) Can also be used. The concentration of hydrofluoric acid in this chemical solution is set to about 0.1 to 1% in order to minimize scraping of the Cu wiring 30. The concentration of the organic acid should be about 0.1-1%, and the pH should be in the range of 2-6 (preferably about 3). Examples of the organic acid include citric acid, malic acid, oxalic acid, malonic acid, and formic acid. Further, by adding an anticorrosive such as benzotriazole (BTA) described above to the mixed aqueous solution and forming a protective film on the surface of the Cu wiring 30, etching of the Cu wiring 30 by F ions is suppressed. Is also good. Even when BTA is added in this way, 1 "1 is in the range of 2 to 6 (favorable). Adjust to about 3).
上記した組成の混合水溶液を使用した場合は、 Cuのエッチングレートを 3 n mZ以下に抑え、 絶縁膜 26を構成する酸化シリコンのエッチングレートを 1 n 以上とすることができるので、 C u配線 30の削れを最小限に抑えながら、 絶縁膜 26の表面の異物をリフトオフすることが可能となる。 これにより、 後述 する TDDB寿命の放置時間依存性を、 フッ酸を使った酸洗浄と同レベル (10 日) にすることができる。  When the mixed aqueous solution having the above-described composition is used, the etching rate of Cu can be suppressed to 3 nmz or less, and the etching rate of silicon oxide forming the insulating film 26 can be 1 n or more. The foreign matter on the surface of the insulating film 26 can be lifted off while minimizing the scraping. As a result, the dependence of the TDDB life described later on the standing time can be made the same level (10 days) as the acid cleaning using hydrofluoric acid.
また、 上記した有機酸と希フッ酸の混合水溶液にアンモニアを添加した水溶液 (有機酸と希フッ酸と水酸化アンモニゥムの混合水溶液) を使用することもでき る。 この場合、 有機酸、 フッ酸、 水酸化アンモニゥムのそれぞれの濃度は 0. 1 ~1%程度とする。 この混合水溶液は、 pHが 6〜8程度であることから、 酸性 の水溶液に比べて中性に近い水溶液であるため、 酸性の水溶液を用いた場合よリ もさらに Cu配線 30の表面の保護効果が向上する。 さらに、 この混合水溶液に 前述したベンゾトリアゾ一ル (BTA) などの防蝕剤を添加することにより、 C u配線 30の表面の保護効果がさらに向上する。 このように BT Aを添加した場 合も、 p Hを 6〜8程度に調整する。  Further, an aqueous solution obtained by adding ammonia to the above-mentioned mixed aqueous solution of the organic acid and the diluted hydrofluoric acid (a mixed aqueous solution of the organic acid, the diluted hydrofluoric acid and ammonium hydroxide) can also be used. In this case, the concentration of each of the organic acid, hydrofluoric acid and ammonium hydroxide should be about 0.1 to 1%. Since this mixed aqueous solution has a pH of about 6 to 8, it is an aqueous solution that is closer to neutral than an acidic aqueous solution, so that the effect of protecting the surface of the Cu wiring 30 is better than when an acidic aqueous solution is used. Is improved. Further, by adding an anticorrosive such as benzotriazole (BTA) to the mixed aqueous solution, the effect of protecting the surface of the Cu wiring 30 is further improved. Even when BTA is added in this way, the pH is adjusted to about 6 to 8.
なお、 上記のフッ酸、 有機酸およびアンモニアを添加した水溶液の濃度を示す %とは we i ght%を意味する。  The above-mentioned% indicating the concentration of the aqueous solution to which hydrofluoric acid, organic acid and ammonia are added means weight%.
上記後洗浄処理が終了した基板 1を水素ァニールする場合は、 あらかじめスピ ンドライヤなどの乾燥処理によって、 表面の水分を十分に除去しておく。  When hydrogen annealing is performed on the substrate 1 that has been subjected to the post-cleaning process, the surface moisture is sufficiently removed in advance by a drying process such as a spin drier.
水素ァニール処理は、 例えば 200°C~475 °Cの水素ガス雰囲気中で基板 1 を 0. 5〜5分程度熱処理することによって、 Cu配線 30の表面の酸化層 (C u O) を還元、 除去する処理である。 この水素ァニール処理は、 後洗浄処理が終 了した後、 できるだけ速やか (望ましくは半日以内) に行うことが望ましい。 後 洗浄処理が終了した基板 1をクリーンルーム内に長時間放置しておくと、 クリー ンルーム内の空気と接触している Cu配線 30の表面で酸化層 (CuO) の成長 が進行するために、 その後、 水素ァニール処理を行っても酸化層 (CuO) の除 去が不完全となり、 TDDB寿命の低下を招来する。  In the hydrogen annealing process, for example, the oxide layer (CuO) on the surface of the Cu wiring 30 is reduced by heat-treating the substrate 1 in a hydrogen gas atmosphere at 200 ° C to 475 ° C for about 0.5 to 5 minutes. This is the process of removing. This hydrogen annealing treatment is desirably performed as soon as possible (preferably within half a day) after the post-cleaning treatment is completed. After the substrate 1 after the cleaning process is left in the clean room for a long time, the oxide layer (CuO) grows on the surface of the Cu wiring 30 that is in contact with the air in the clean room. However, even if hydrogen annealing is performed, the removal of the oxide layer (CuO) will be incomplete, and the TDDB life will be shortened.
次に、 図 7に示すように、 絶縁膜 26の上部に CVD法で窒化シリコン膜から 0301233 Next, as shown in FIG. 7, a silicon nitride film is formed on the insulating film 26 by a CVD method. 0301233
なるキャップ絶縁膜 31を堆積することによって、 Cu配線 30の表面をキヤッ プ絶縁膜 3 "Iで覆う。 キャップ絶縁膜 31は、 C u配線 30の表面から周囲の絶 縁膜に C υが拡散することによって、 絶縁膜の T D D Β寿命が低下する不具合を 防止するために形成する。 なお、 配線間の寄生容量を低減するために、 キャップ 絶縁膜 31を窒化シリコン膜 ( ε = 7. 0 ) よりも誘電率が低い炭窒化シリコン (S i CN) 膜 (£ = 4. 8) 、 炭化シリコン (S ί C) 膜 (ど =4. 5) 、 酸 窒化シリコン (S i ON) 膜 (ε=4. 2) などで構成することもできる。 The surface of the Cu wiring 30 is covered with the cap insulating film 3 "I by depositing a cap insulating film 31 made of the same material. In the cap insulating film 31, C C diffuses from the surface of the Cu wiring 30 to the surrounding insulating film. In order to reduce the TDD lifetime of the insulating film, the cap insulating film 31 is formed of a silicon nitride film (ε = 7.0) to reduce the parasitic capacitance between wirings. Lower dielectric constant than silicon carbonitride (SiCN) film (£ = 4.8), silicon carbide (S ί C) film (such as = 4.5), silicon oxynitride (SiON) film (ε = 4.2).
キャップ絶縁膜 31の堆積は、 例えば平行平板型のプラズマ CVD装置などを 使って行うが、 キャップ絶縁膜 31の成膜に先立ってプラズマ CVD装置の処理 室内にアンモニア (ΝΗ3) ガスを供給し、 基板 1の表面にアンモニアプラズマ 処理を施してもよい。 また、 このアンモニアプラズマ処理に代えて、 あるいはァ ンモニァプラズマ処理の前あるいは後に処理室内に水素ガスを供給し、 基板 1の 表面に水素プラズマ処理を施してもよい。 The cap insulating film 31 is deposited using, for example, a parallel plate type plasma CVD apparatus. Before the formation of the cap insulating film 31, ammonia (ΝΗ 3 ) gas is supplied into the processing chamber of the plasma CVD apparatus. The surface of the substrate 1 may be subjected to an ammonia plasma treatment. Instead of this ammonia plasma treatment, or before or after the ammonia plasma treatment, a hydrogen gas may be supplied into the treatment chamber, and the surface of the substrate 1 may be subjected to the hydrogen plasma treatment.
基板 1の表面に対して上記のような還元性ガスを使ったプラズマ処理を施すこ とにより、 先の後洗浄処理で除去できなかった基板 1の表面の異物や、 後洗浄 ( 特に酸洗浄) 時に基板 1の表面に付着した有機物の残渣、 あるいはその後の水素 ァニール処理で除去できなかった C u配線 30の表面の酸化層 (C u Ο) などを ほぼ完全に除去することができるので、 C u配線 30の表面のリーク電流を減少 して T DDB寿命をさらに向上させることができる。  By subjecting the surface of the substrate 1 to the plasma treatment using a reducing gas as described above, foreign matter on the surface of the substrate 1 that could not be removed by the preceding post-cleaning process or post-cleaning (particularly acid cleaning) Since the residue of organic substances sometimes attached to the surface of the substrate 1 or the oxide layer (Cu u) on the surface of the Cu wiring 30 that could not be removed by the subsequent hydrogen annealing treatment can be almost completely removed. The leakage current on the surface of the u wiring 30 can be reduced to further improve the TDDB life.
本発明者によって得られた知見によれば、 TDDB寿命の低下を防止するため には、 前述した化学的機械研磨処理後の後洗浄処理からキャップ絶縁膜 31の堆 積までの一連のプロセスを所定の時間内に行うことが望ましい。 すなわち、 Cu 配線 30を形成した後、 その表面をキャップ絶縁膜 31で被覆するまでの間に基 板 1の表面がクリーンルーム内の空気に長時間曝されると、 T D D B寿命の低下 を招来することが本発明者の検討によって明らかになった。  According to the knowledge obtained by the present inventor, in order to prevent the TDDB life from being shortened, a series of processes from the post-cleaning process after the above-described chemical mechanical polishing process to the deposition of the cap insulating film 31 are prescribed. It is desirable to perform within the time. That is, if the surface of the substrate 1 is exposed to the air in the clean room for a long time after the formation of the Cu wiring 30 and before the surface is covered with the cap insulating film 31, the TDDB life is reduced. Was clarified by the study of the present inventors.
図 8は、 化学的機械研磨 (CMP) 後の後洗浄処理を前述したアミノエタノ一 ル水溶液 (DAE) と C I REXとを用いて実施した後、 窒化シリコン膜からな るキャップ絶縁膜 31を堆積するまでの基板放置日数 (横軸) と破壊電界強度 ( 縦軸) との関係を実測したグラフである。 図示のように、 放置後 5、 6曰目から 破壊電界強度が低下し始め、 その後、 初期値の約半分程度まで低下することが判 明した。 Figure 8 shows a post-cleaning process after chemical mechanical polishing (CMP) using the above-mentioned aminoethanol aqueous solution (DAE) and CI REX, and then depositing a cap insulating film 31 composed of a silicon nitride film. The graph shows the relationship between the number of days that the substrate was left (horizontal axis) and the breakdown electric field strength (vertical axis). As shown in the figure, after leaving, It was found that the breakdown electric field strength began to decrease, and then decreased to about half of the initial value.
図 9は、 上記と同じサンプルの T DDB寿命の放置時間依存性を示すグラフで ある。 図示のように、 放置直後から 4曰目までの TDDB寿命は同じであるが、 4日目から 5日目の間で約 3桁の低下、 5日目から 6日目の間で約 3桁の低下、 6曰目から 1 1日目の間で約 3桁の低下、 というように、 放置後 4日を過ぎると TDD B寿命が急激に低下することが判明した。  FIG. 9 is a graph showing the dependence of the TDDB life of the same sample on the standing time. As shown in the figure, the TDDB life is the same from the time immediately after standing to the 4th term, but it decreases by about 3 digits between the 4th and 5th days, and about 3 digits between the 5th and 6th days. It was found that the TDD B life decreased sharply after 4 days of standing, such as a decrease of about 3 digits between the 6th and the 11th day.
次に、 キャップ絶縁膜 31の材料および後洗浄の薬液を変えて同様の測定を行 つた結果を図 10に示す。 この結果から、 (1) キャップ絶縁膜 31を炭窒化シ リコン膜で構成した場合は、 窒化シリコン膜で構成した場合に比べて T D D B寿 命の劣化が少ない (約 3分の 1 ) 、 (2) フッ酸を使って後洗浄をした場合は、 TDDB寿命の変化があまり見られないが、 C I REXまたは ECを使って後洗 浄をした場合は、 いずれも放置後 1 0曰を過ぎると TDDB寿命が劣化すること が判明した。  Next, FIG. 10 shows the result of performing similar measurements by changing the material of the cap insulating film 31 and the chemical solution for post-cleaning. From these results, (1) when the cap insulating film 31 is formed of a silicon carbonitride film, the TDDB life is less deteriorated (about one third) than when the cap insulating film 31 is formed of a silicon nitride film. ) When post-cleaning using hydrofluoric acid, there is not much change in the TDDB life.However, when post-cleaning using CI REX or EC, the TDDB will pass 10 days after standing. It was found that the life was shortened.
上記の測定結果から、 本発明者が考察した放置時間による T D D B寿命の劣化 モデルを図 1 1に示す。  Based on the above measurement results, FIG. 11 shows a model of the degradation of the TDD DB life due to the standing time considered by the present inventors.
後洗浄処理から 4日を過ぎた基板 1の表面を透過型電子顕微鏡 (TEM) を使 つて観察すると、 隣接する C u配線 30との間の絶縁膜 26表面に微小な C u粒 が数多く発生していた。 この Cu粒は、 クリーンルーム内の空気に曝された Cu 配線 30の表面に大気中の水分が付着して C uイオンが析出したものと考えられ る。 特に、 C I REXや ECなどの有機酸を使用して後洗浄を行った場合は、 C u配線 30の表面の酸化層 (CuO) が除去されないので、 大気中の水分と反応 して腐蝕した酸化層 (CuO) から Cuイオンが発生し易い。 また、 基板 1上に 形成された C u配線 30同士の間には、 化学的機械研磨時のチャージアップなど 、 何らかの原因で僅かな電位差が発生していると考えられる。 その結果、 この電 位差によって上記 C u粒が絶縁膜 26の表面に流れ出し、 T D D B寿命の劣化や 酉己線間リークを引き起こすものと推定される。 なお、 フッ酸のような強酸を使用 して後洗浄を行った場合は、 酸化層 (CuO) が除去されるので、 Cu配線 30 の表面が再び酸化されて酸化層 (CuO) が形成され、 さらにこの酸化層 (Cu O) から C uイオンが発生するまでにある程度の時間を要する。 従って、 この場 合は、 有機酸を使用して後洗浄を行った場合に比べて T D D B劣化の放置時間依 存性は少ないと考えられる。 Observing the surface of the substrate 1 four days after the post-cleaning process using a transmission electron microscope (TEM), many fine Cu particles are generated on the surface of the insulating film 26 between the adjacent Cu wiring 30. Was. It is considered that the Cu particles were caused by the adhesion of moisture in the air to the surface of the Cu wiring 30 exposed to the air in the clean room, and the Cu ions were precipitated. In particular, when post-cleaning is performed using an organic acid such as CI REX or EC, the oxide layer (CuO) on the surface of the Cu wiring 30 is not removed, and thus, the oxidized layer reacts with moisture in the atmosphere and is corroded. Cu ions are easily generated from the layer (CuO). Further, it is considered that a slight potential difference is generated between the Cu wirings 30 formed on the substrate 1 for some reason such as charge-up during chemical mechanical polishing. As a result, it is presumed that the Cu particles flow out to the surface of the insulating film 26 due to the potential difference, causing the deterioration of the TDDB life and the leak between the lines. If post-cleaning is performed using a strong acid such as hydrofluoric acid, the oxide layer (CuO) is removed, and the surface of the Cu wiring 30 is oxidized again to form an oxide layer (CuO). This oxide layer (Cu It takes some time until Cu ions are generated from O). Therefore, in this case, it is considered that the TDDB degradation is less dependent on the standing time than when post-cleaning is performed using an organic acid.
以上の検討結果から、 化学的機械研磨処理後の後洗浄処理からキヤップ絶縁膜 3 1を堆積するまでの時間、 すなわち配線 3 0が大気中の酸素や水分に曝されて いる時間を 4曰以内とすることが望ましい、 という結論が得られた。 すなわち、 上記のような C u配線形成工程を有する L S Iの製造ラインにおいては、 後洗浄 処理工程からキヤップ絶縁膜 3 1の堆積工程までを 4日以内に完了させるように 、 ラインの設計および管理をすることが望ましい。  From the above study results, the time from the post-cleaning process after the chemical mechanical polishing process to the deposition of the cap insulating film 31, that is, the time during which the wiring 30 is exposed to the oxygen or moisture in the atmosphere is within 4 words. It was concluded that That is, in an LSI manufacturing line having the above-described Cu wiring forming process, the design and management of the line are performed so that the process from the post-cleaning process to the deposition process of the cap insulating film 31 is completed within four days. It is desirable to do.
また、 実際の L S I製造ラインでは、 装置の故障や不良の発生といった不測の 事態の発生によって、 製造ラインを一時的に停止しなければならない場合が生じ 得る。 そのため、 後洗浄処理工程からキャップ絶縁膜 3 1の堆積工程までを 4曰 以内で完了するようにラインの管理を行っている場合であっても、 上記の期間が やむを得ず 4日を経過してしまうことがある。  In addition, in an actual LSI manufacturing line, there may be a case where the manufacturing line must be temporarily stopped due to an unexpected situation such as equipment failure or occurrence of a defect. Therefore, even if the line is managed so that the process from the post-cleaning process to the deposition process of the cap insulating film 31 can be completed within 4 words, the above period will inevitably pass 4 days. Sometimes.
その対策としては、 例えば図 1 2に示すように、 後洗浄処理が終了した基板 ( ウェハ) 1を収納したウェハケース 4 0を保管ボックス 4 1内に保管し、 酸素や 水分との接触を避けるために、 実質的に水分を含まない窒素などの非酸化性ガス を保管ボックス 4 1内に供給しながら基板 (ウェハ) 1を保管する方法が考えら れる。 この場合、 保管ボックス 4 1の中に除湿剤 4 2を入れたり、 図 1 3に示す ように、 ウェハケース 4 0の中にも除湿剤 4 2を入れたりすれば、 C u配線 3 0 の酸化や腐蝕の進行をさらに有効に抑制することができる。 また、 後洗浄処理か らキャップ絶縁膜 3 1の堆積までを 4日以内に完了させる場合であっても、 その 間に C u配線 3 0の表面がクリーンルーム内の空気に曝されていると、 前述した C uイオンの発生を誘発するので好ましくない。 従って、 この場合でも、 基板 ( ウェハ) 1を収納したウェハケース 4 0を上記のような保管ボックス 4 1内に保 管する方法は有効である。  As a countermeasure, for example, as shown in Fig. 12, store the wafer case 40 containing the substrate (wafer) 1 after the post-cleaning process in the storage box 41 to avoid contact with oxygen and moisture. Therefore, a method of storing the substrate (wafer) 1 while supplying a non-oxidizing gas such as nitrogen substantially free of moisture into the storage box 41 is conceivable. In this case, if the dehumidifying agent 42 is put in the storage box 41 or the dehumidifying agent 42 is put in the wafer case 40 as shown in FIG. The progress of oxidation and corrosion can be more effectively suppressed. Even if the process from the post-cleaning process to the deposition of the cap insulating film 31 is completed within four days, if the surface of the Cu wiring 30 is exposed to the air in the clean room during that time, It is not preferable because it induces the generation of Cu ions described above. Therefore, even in this case, the method of storing the wafer case 40 containing the substrate (wafer) 1 in the storage box 41 as described above is effective.
後洗浄処理工程からキャップ絶縁膜 3 1の堆積工程までの期間が 4日を経過し てしまった場合の他の対策として、 基板 1を後洗浄処理工程に再度搬送して表面 洗浄を行った後、 キャップ絶縁膜 3 1を堆積する方法も有効である。 この場合、 再洗浄処理を行った基板 1を長時間クリーンルーム内に放置すれば、 C u配線 3 0の表面が再び酸化、 腐蝕してしまうため、 この再洗浄処理は、 キャップ絶縁膜 3 1を堆積する直前に行うことが望ましい。 また、 再洗浄処理の終わった基板 1 をやむを得ず一時的に放置する場合でも、 前述した保管ボックス 4 1内に保管し ておくことによって、 C u配線 3 0の表面の再酸化、 腐蝕を最小限に止めること ができる。 As another countermeasure when the period from the post-cleaning process to the deposition process of the cap insulating film 31 has passed 4 days, as another measure, after the substrate 1 is transported again to the post-cleaning process and the surface is cleaned. The method of depositing the cap insulating film 31 is also effective. in this case, If the substrate 1 after re-cleaning is left in a clean room for a long time, the surface of the Cu wiring 30 is oxidized and corroded again, so this re-cleaning is performed immediately before the cap insulating film 31 is deposited. It is desirable to carry out. Even in the case where the substrate 1 after the re-cleaning process is unavoidably temporarily left behind, by storing it in the storage box 41 described above, reoxidation and corrosion of the surface of the Cu wiring 30 are minimized. Can be stopped.
また、 上記した再洗浄処理に代えて、 前述した水素ァニール処理を再度行って C u配線 3 0の表面を還元した後、 キャップ絶縁膜 3 1の堆積を行ってもよい。 その際、 基板 1を放置する時間が長くなると予想される場合には、 水素ァニール を所定時間毎に繰り返して行うことが有効である。 また、 この水素ァニール処理 と前記の再洗浄処理や還元性プラズマ処理などを組み合わせて実施してもよい。 また、 基板 1を化学的機械研磨工程に再度搬送して C u配線 3 0の表面を薄く 研磨し、 続いて前記の後洗浄処理および水素ァニール処理を行ってからキヤップ 絶縁膜 3 1の堆積を行ってもよい。 あるいは、 基板 1をフッ酸 (D H F ) で洗浄 して表面の酸化物や C u粒などを除去した後、 キャップ絶縁膜 3 1の堆積を行つ てもよい。 ただし、 化学的機械研磨を再度実施する方法やフッ酸を使用する再洗 浄方法を用いる場合は、 C u配線 3 0それ自体も削るので、 その断面積が小さく なリ、 電気抵抗が大きくなる虞れがあるため注意を要する。  Instead of the re-cleaning process, the hydrogen annealing process may be performed again to reduce the surface of the Cu wiring 30 and then the cap insulating film 31 may be deposited. At that time, if it is expected that the time for leaving the substrate 1 will be long, it is effective to repeat the hydrogen annealing every predetermined time. Further, the hydrogen annealing treatment may be performed in combination with the re-cleaning treatment, the reducing plasma treatment, or the like. Further, the substrate 1 is transported again to the chemical mechanical polishing step to polish the surface of the Cu wiring 30 thinly, and then the post-cleaning treatment and the hydrogen annealing treatment are performed, and then the cap insulating film 31 is deposited. May go. Alternatively, the cap insulating film 31 may be deposited after cleaning the substrate 1 with hydrofluoric acid (DHF) to remove oxides and Cu particles on the surface. However, when the chemical mechanical polishing method is performed again or the re-cleaning method using hydrofluoric acid is used, the Cu wiring 30 itself is also cut, so that the cross-sectional area becomes small and the electric resistance increases. Care must be taken because of the danger.
後洗浄処理工程からキャップ絶縁膜 3 1の堆積工程までの期間が 4日を経過し た基板 1に対して、 上記のような再生処理を施すことにより、 T D D B寿命の劣 化を最小限に止め、 L S Iの信頼性、 製造歩留まりを確保することができる。 な お、 上記した再生処理は、 その内のいずれか一つを単独で実施してもよいが、 複 数の処理を組み合わせて実施してもよい。  By subjecting the substrate 1 that has passed 4 days from the post-cleaning process to the deposition of the cap insulating film 31 to the regeneration process as described above, the deterioration of the TDDB life is minimized. , LSI reliability and production yield can be ensured. In the above-mentioned reproduction processing, any one of them may be performed alone, or a plurality of processing may be performed in combination.
このように、 実際の L S I製造ラインでは、 後洗浄処理工程からキャップ絶縁 膜 3 1の堆積工程までの放置期間が 4日以内となるような管理システムを採用す ると共に、 この放置期間が 4日を経過した基板 1に対しては、 速やかに上記のよ うな再生処理を実施するような管理システムを採用する必要がある。 また、 C u 配線形成工程を有する L S Iの製造ラインが工場内に複数ある場合には、 それぞ れの製造ラインにおいて、 後洗浄処理工程からキャップ絶縁膜 3 1の堆積工程ま での放置期間が 4日以内となるような管理システムと、 放置期間が 4曰を経過し た基板 1に対して再生処理を実施するような管理システムとを採用する必要があ る。 As described above, in an actual LSI manufacturing line, a management system that allows the leaving period from the post-cleaning process to the deposition process of the cap insulating film 31 to be within four days is adopted, and the leaving period is four days. It is necessary to adopt a management system that immediately executes the above-mentioned regenerating process for the substrate 1 that has passed. In addition, when there are a plurality of LSI production lines having a Cu wiring formation process in a factory, each of the production lines ranges from a post-cleaning process to a deposition process of the cap insulating film 31. It is necessary to employ a management system in which the leaving period of the substrate is 4 days or less, and a management system in which the substrate 1 whose leaving period has passed 4 is subjected to a regenerating process.
後洗浄処理からキャップ絶縁膜 3 1の堆積までの放置時間を管理する手法とし ては、 例えば図 1 4 ( a ) 、 (b ) に示すように、 後洗浄処理が終了した基板 ( ウェハ) 1を収納する前記のウェハケース 4 0や保管ボックス 4 1の表面に、 通 常の工程コントロールカードゃロッ卜番号表示カードなどと共に、 放置時間コン トロールカードを貼り付け、 これに化学的機械研磨処理および後洗浄処理が完了 した日時や放置可能時間などを記入して管理する方法が考えられる。  As a method of managing the leaving time from the post-cleaning process to the deposition of the cap insulating film 31, for example, as shown in FIGS. 14 (a) and (b), the substrate (wafer) 1 after the post-cleaning process is completed. A normal process control card, a lot number display card, etc. and a standing time control card are stuck on the surface of the wafer case 40 or storage box 41 for storing It is conceivable to enter and manage the date and time when the post-cleaning process is completed and the remaining time.
また、 管理ミスや作業ミスを確実に防止するためには、 上記のような放置時間 コントロールカードとは別に、 例えば図 1 4 ( c ) に示すような、 放置日数や、 再生処理中であるか否かが一目で分かる放置日数 Z再生表示カードなどを作成し ておいたり、 図 1 5に示すように、 ウェハケース 4 0や保管ボックス 4 1を保管 するクリーンルーム内のウェハケース置き場に放置日数や再生処理の要否などを 記載した表示カードを設置したりすることが有効である。  In addition, in order to reliably prevent management mistakes and work mistakes, besides the idle time control card as described above, for example, as shown in Fig. 14 (c), the number of idle days and whether a regeneration process is in progress The number of days that can be left at a glance to determine whether or not it is possible.Z A reproduction display card, etc., has been created, and as shown in Figure 15, the number of days left in the wafer case storage area in the clean room that stores the wafer case 40 and storage box 41. It is effective to install a display card that describes the necessity of reproduction processing.
また、 工場内の全ての製造ラインを管理するホストコンピュータには、 図 1 6 に示すように、 例えば化学的機械研磨処理および後洗浄処理が終了してからキヤ ップ絶縁膜 3 1を堆積するまでの放置時間や、 再生処理の有無などのデータを入 力しておき、 ロッ卜の進行を管理するコンピュータ端末に画面上にこれらのデー タを表示できるような管理システムを構築する。  Also, as shown in Fig. 16, for example, a cap insulating film 31 is deposited on the host computer that manages all the production lines in the factory after the chemical mechanical polishing process and the post-cleaning process are completed. A data management system will be built in which data such as the idle time until and the presence or absence of playback processing can be entered, and these data can be displayed on a screen on a computer terminal that manages the progress of the lot.
そして、 例えば放置時間が 4曰を経過している Iこもかかわらず再生処理が行わ れていない場合は、 キャップ絶縁膜 3 1の堆積を禁止する警告をコンピュータ端 末に表示したり、 放置時間が 4曰に近付くとコンピュータ端末にその旨を表示し たり、 警告音を発したりする機能を持たせることによって、 管理ミスや作業ミス をより確実に防止することができる。  For example, if the idle time has passed 4 times and the regeneration process has not been performed, a warning to prohibit the deposition of the cap insulating film 31 is displayed on the computer terminal. By providing the computer terminal with a function to indicate that it is close to the above and to emit a warning sound, management mistakes and work mistakes can be more reliably prevented.
図 1 7に示すように、 上記した本実施の形態の管理手法を導入した結果、 C u 配 3 0線の T D D B寿命の劣化を有効に抑制することができた。  As shown in FIG. 17, as a result of introducing the above-described management method of the present embodiment, it was possible to effectively suppress the deterioration of the TDBD life of the Cu distribution 30 line.
以上、 本発明者によってなされた発明を実施の形態に基づき具体的に説明した が、 本発明は前記実施の形態に限定されるものではなく、 その要旨を逸脱しない 範囲で種々変更可能であることはいうまでもない。 産業上の利用可能性 As described above, the invention made by the inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and does not depart from the gist of the invention. It goes without saying that various changes can be made within the range. Industrial applicability
化学的機械研磨法を用いて絶縁膜の開口部内に銅を主成分として含む配線を形 成した後、 前記配線の表面をキャップ絶縁膜で覆う際、 配線の形成からキャップ 絶縁膜の堆積までを 4日以内に実施することによリ、 C u配線の T D D B寿命の 低下を抑制することができる。  After forming wiring containing copper as a main component in the opening of the insulating film using a chemical mechanical polishing method, when covering the surface of the wiring with a cap insulating film, the process from forming the wiring to depositing the cap insulating film is performed. By performing the process within four days, it is possible to suppress a decrease in the TDDB life of the Cu wiring.

Claims

請 求 の 範 囲 The scope of the claims
1. (a) 半導体基板上に第 1絶縁膜を形成した後、 前記第 1絶縁膜に配線埋込 み用の開口部を形成する工程、 1. (a) after forming a first insulating film on a semiconductor substrate, forming an opening for wiring embedding in the first insulating film;
(b) 前記開口部内を含む前記第 1絶縁膜上に銅を主成分として含む導電膜を形 成する工程、  (b) forming a conductive film containing copper as a main component on the first insulating film including the inside of the opening;
( c ) 前記導電膜を化学的および機械的に研磨して前記開口部内に残すことによ リ、 前記開口部内に前記導電膜からなる配線を形成する工程、  (c) forming a wiring made of the conductive film in the opening by chemically and mechanically polishing and leaving the conductive film in the opening;
(d) 前記 (c) 工程の後、 前記半導体基板の表面を洗浄する工程、  (d) washing the surface of the semiconductor substrate after the step (c);
(e) 前記 (d) 工程の後、 前記半導体基板上に第 2絶縁膜を形成することによ つて、 前記配線の上面を前記第 2絶縁膜で被覆する工程を含み、  (e) after the step (d), forming a second insulating film on the semiconductor substrate to cover an upper surface of the wiring with the second insulating film,
前記 (d) 工程の終了後、 4日以内に前記 (e) 工程を実施することを特徴と する半導体集積回路装置の製造方法。  A method for manufacturing a semiconductor integrated circuit device, wherein the step (e) is performed within 4 days after the step (d) is completed.
2. 前記半導体基板の表面を洗浄する工程は、 有機酸を含有する薬液を使用した 酸洗浄処理を含むことを特徴とする請求項 1記載の半導体集積回路装置の製造方 法。  2. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein the step of cleaning the surface of the semiconductor substrate includes an acid cleaning treatment using a chemical solution containing an organic acid.
3. 前記酸洗浄処理を行った後、 前記 (e) 工程に先立って、 前記半導体基板の 表面を水素ァニール処理する工程をさらに含むことを特徴とする請求項 2記載の 半導体集積回路装置の製造方法。  3. The semiconductor integrated circuit device according to claim 2, further comprising a step of performing a hydrogen annealing treatment on the surface of the semiconductor substrate after the acid cleaning treatment and prior to the step (e). Method.
4. 前記 (d) 工程の終了から前記 (e) 工程の開始までの間、 前記半導体基板 を非酸化性ガス雰囲気中で保管する工程をさらに含むことを特徴とする請求項 1 記載の半導体集積回路装置の製造方法。 4. The semiconductor integrated circuit according to claim 1, further comprising a step of storing the semiconductor substrate in a non-oxidizing gas atmosphere from the end of the step (d) to the start of the step (e). A method for manufacturing a circuit device.
5. 前記非酸化性ガス雰囲気は、 実質的に水分を含まないことを特徴とする請求 項 4記載の半導体集積回路装置の製造方法。  5. The method of manufacturing a semiconductor integrated circuit device according to claim 4, wherein the non-oxidizing gas atmosphere does not substantially contain moisture.
6. 前記第 1絶縁膜は、 酸化シリコンを主成分として含む絶縁膜からなり、 前記 第 2絶縁膜は、 窒化シリコン、 炭窒化シリコン、 炭化シリコンまたは酸窒化シリ コンを主成分として含む絶縁膜からなることを特徴とする請求項 1記載の半導体 集積回路装置の製造方法。 6. The first insulating film is made of an insulating film containing silicon oxide as a main component, and the second insulating film is made of an insulating film containing silicon nitride, silicon carbonitride, silicon carbide, or silicon oxynitride as a main component. 2. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein:
7. 以下の工程 (a) 〜 (f ) を含む半導体集積回路装置の製造方法: (a) 半導体基板上に第 1絶縁膜を形成した後、 前記第 1絶縁膜に配線埋込み用 の開口部を形成する工程、 7. A method of manufacturing a semiconductor integrated circuit device including the following steps (a) to (f): (a) after forming a first insulating film on a semiconductor substrate, forming an opening for wiring embedding in the first insulating film;
( b) 前記開口部内を含む前記第 1絶縁膜上に銅を主成分として含む導電膜を形 成する工程、  (b) forming a conductive film containing copper as a main component on the first insulating film including the inside of the opening;
(c) 前記導電膜を化学的および機械的に研磨して前記開口部内に残すことによ リ、 前記開口部内に前記導電膜からなる配線を形成する工程、  (c) forming a wiring made of the conductive film in the opening by chemically and mechanically polishing and leaving the conductive film in the opening;
(d) 前記 (c) 工程の後、 前記半導体基板の表面を洗浄する工程、  (d) washing the surface of the semiconductor substrate after the step (c);
(e) 前記 (d) 工程の後、 前記半導体基板の表面を再洗浄する工程、  (e) after the step (d), a step of re-cleaning the surface of the semiconductor substrate;
( f ) 前記 (e) 工程の後、 前記半導体基板上に第 2絶縁膜を形成することによ つて、 前記配線の上面を前記第 2絶縁膜で被覆する工程。  (f) a step of, after the step (e), forming a second insulating film on the semiconductor substrate to cover an upper surface of the wiring with the second insulating film;
8. 前記 (e) 工程は、 前記 (d) 工程の終了後、 前記 (e) 工程の開始までに 5日以上が経過する場合に実施することを特徴とする請求項 7記載の半導体集積 回路装置の製造方法。  8. The semiconductor integrated circuit according to claim 7, wherein the step (e) is performed when five days or more elapse after the completion of the step (d) and before the start of the step (e). Device manufacturing method.
9. 前記 (d) 工程の洗浄は、 有機酸を含有する薬液を使用した酸洗浄処理を含 むことを特徴とする請求項 7記載の半導体集積回路装置の製造方法。  9. The method for manufacturing a semiconductor integrated circuit device according to claim 7, wherein the cleaning in the step (d) includes an acid cleaning treatment using a chemical solution containing an organic acid.
1 0. 前記 (e) 工程の再洗浄は、 フッ酸を含有する薬液を使用した酸洗浄処理 を含むことを特徴とする請求項 7記載の半導体集積回路装置の製造方法。  10. The method for manufacturing a semiconductor integrated circuit device according to claim 7, wherein the re-cleaning in the step (e) includes an acid cleaning treatment using a chemical solution containing hydrofluoric acid.
1 1. 以下の工程 (a) 〜 (f ) を含む半導体集積回路装置の製造方法:  1 1. A method of manufacturing a semiconductor integrated circuit device including the following steps (a) to (f):
(a) 半導体基板上に第 1絶縁膜を堆積した後、 前記第 1絶縁膜に配線埋込み用 の開口部を形成する工程、  (a) after depositing a first insulating film on a semiconductor substrate, forming an opening for wiring embedding in the first insulating film;
(b) 前記開口部内を含む前記第 1絶縁膜上に銅を主成分として含む導電膜を堆 するェ f王、  (b) depositing a conductive film containing copper as a main component on the first insulating film including the inside of the opening;
( c ) 前記導電膜を化学的および機械的に研磨して前記開口部内に残すことによ り、 前記開口部内に前記導電膜からなる配線を形成する工程、  (c) forming a wiring made of the conductive film in the opening by chemically and mechanically polishing and leaving the conductive film in the opening;
(d) 前記 (c) 工程の後、 前記半導体基板の表面を洗浄する工程、  (d) washing the surface of the semiconductor substrate after the step (c);
(e) 前記 (d) 工程の後、 前記半導体基板の表面を水素ァニール処理する工程  (e) after the step (d), a step of hydrogen annealing the surface of the semiconductor substrate
( f ) 前記 (e) 工程の後、 前記半導体基板上に第 2絶縁膜を堆積することによ つて、 前記配線の上面を前記第 2絶縁膜で被覆する工程。 (f) a step of, after the step (e), depositing a second insulating film on the semiconductor substrate to cover an upper surface of the wiring with the second insulating film.
1 2. 前記 (e) 工程は、 前記 (d) 工程の終了後、 前記 (e) 工程の開始まで に 5日以上が経過する場合に実施することを特徴とする請求項 1 0記載の半導体 集積回路装置の製造方法。 10. The semiconductor according to claim 10, wherein the step (e) is performed when five days or more elapse after the completion of the step (d) and before the start of the step (e). A method for manufacturing an integrated circuit device.
1 3. 以下の工程 (a) 〜 (g) を含む半導体集積回路装置の製造方法:  1 3. A method for manufacturing a semiconductor integrated circuit device including the following steps (a) to (g):
(a) 半導体基板上に第 1絶縁膜を堆積した後、 前記第 1絶縁膜に配線埋込み用 の開口部を形成する工程、  (a) after depositing a first insulating film on a semiconductor substrate, forming an opening for wiring embedding in the first insulating film;
( b ) 前記開口部内を含む前記第 1絶縁膜上に銅を主成分として含む導電膜を堆 貝 3 丄禾王、  (b) a conductive film containing copper as a main component on the first insulating film including the inside of the opening;
(θ) 前記導電膜を化学的および機械的に研磨して前記開口部内に残すことによ リ、 前記開口部内に前記導電膜からなる配線を形成する工程、  (θ) forming a wiring made of the conductive film in the opening by chemically and mechanically polishing and leaving the conductive film in the opening;
(d) 前記 (c) 工程の後、 前記半導体基板の表面を洗浄する工程、  (d) washing the surface of the semiconductor substrate after the step (c);
(e) 前記 (d) 工程の後、 前記半導体基板の表面を化学的および機械的に研磨 する工程、  (e) a step of chemically and mechanically polishing the surface of the semiconductor substrate after the step (d);
( f ) 前記 (e) 工程の後、 前記半導体基板の表面を洗浄する工程、  (f) washing the surface of the semiconductor substrate after the step (e);
(g) 前記 (f ) 工程の後、 前記半導体基板上に第 2絶縁膜を堆積することによ つて、 前記配線の上面を前記第 2絶縁膜で被覆する工程。  (g) After the step (f), a step of covering the upper surface of the wiring with the second insulating film by depositing a second insulating film on the semiconductor substrate.
1 4. 前記 (e) 工程および前記 (f ) 工程は、 前記 (d) 工程の終了後、 前記 ( e ) 工程の開始までに 5曰以上が経過する場合に実施することを特徴とする請 求項 1 3記載の半導体集積回路装置の製造方法。  1 4. The step (e) and the step (f) are carried out when five or more have passed after the completion of the step (d) and before the start of the step (e). 13. The method for manufacturing a semiconductor integrated circuit device according to claim 13.
1 5. 前記 (e) 工程によって、 前記第 1絶縁膜の表面に析出した銅を除去する ことを特徴とする請求項 7、 1 1または 1 3記載の半導体集積回路装置の製造方 法。  15. The method of manufacturing a semiconductor integrated circuit device according to claim 7, 11 or 13, wherein copper deposited on a surface of the first insulating film is removed by the step (e).
1 6. 前記第 1絶縁膜は、 酸化シリコンを主成分として含む絶縁膜からなり、 前 記第 2絶縁膜は、 窒化シリコン、 炭窒化シリコン、 炭化シリコンまたは酸窒化シ リコンを主成分として含む絶縁膜からなることを特徴とする請求項 7、 1 1また は 1 3記載の半導体集積回路装置の製造方法。  1 6. The first insulating film is made of an insulating film containing silicon oxide as a main component, and the second insulating film is an insulating film containing silicon nitride, silicon carbonitride, silicon carbide or silicon oxynitride as a main component. 14. The method for manufacturing a semiconductor integrated circuit device according to claim 7, 11 or 13, wherein the method comprises a film.
1 7. 前記 (d) 工程の終了から前記 (e) 工程の開始までの間、 前記半導体基 板を非酸化性ガス雰囲気中で保管する工程をさらに含むことを特徴とする請求項 7、 1 1または 1 3記載の半導体集積回路装置の製造方法。 17. The method according to claim 7, further comprising a step of storing the semiconductor substrate in a non-oxidizing gas atmosphere from the end of the step (d) to the start of the step (e). 13. The method for manufacturing a semiconductor integrated circuit device according to 1 or 13.
1 8. 前記非酸化性ガス雰囲気は、 実質的に水分を含まないことを特徴とする請 求項 1 7記載の半導体集積回路装置の製造方法。 18. The method for manufacturing a semiconductor integrated circuit device according to claim 17, wherein the non-oxidizing gas atmosphere does not substantially contain moisture.
1 9. (a) 複数枚の半導体基板上に第 1絶縁膜を堆積した後、 前記第 1絶縁膜 に配線埋込み用の開口部を形成する工程、  1 9. (a) forming an opening for wiring embedding in the first insulating film after depositing a first insulating film on a plurality of semiconductor substrates;
(b) 前記開口部内を含む前記第 1絶縁膜上に銅を主成分として含む導電膜を堆 ¾ 9 1王、  (b) depositing a conductive film containing copper as a main component on the first insulating film including the inside of the opening;
( c ) 前記導電膜を化学的および機械的に研磨して前記開口部内に残すことによ リ、 前記開口部内に前記導電膜からなる配線を形成する工程、  (c) forming a wiring made of the conductive film in the opening by chemically and mechanically polishing and leaving the conductive film in the opening;
(d) 前記 (c) 工程の後、 前記半導体基板の表面を洗浄する工程、  (d) washing the surface of the semiconductor substrate after the step (c);
(e) 前記 (d) 工程の後、 前記半導体基板上に第 2絶縁膜を堆積することによ つて、 前記配線の上面を前記第 2絶縁膜で被覆する工程を含み、  (e) after the step (d), covering the upper surface of the wiring with the second insulating film by depositing a second insulating film on the semiconductor substrate,
前記複数枚の半導体基板のうち、 前記 (d) 工程の終了後、 所定時間以内に前 記 (e) 工程を実施する半導体基板と、 前記 (d) 工程の終了後、 前記 (e) ェ 程の開始までに前記所定時間が経過した半導体基板とをそれぞれ管理する工程を 含むことを特徴とする半導体集積回路装置の製造方法。  Of the plurality of semiconductor substrates, a semiconductor substrate that performs the above-mentioned step (e) within a predetermined time after the completion of the step (d); A step of managing each of the semiconductor substrates for which the predetermined time has elapsed before the start of the semiconductor integrated circuit device.
20. 前記 (a) 工程から前記 (e) 工程までを実施する製造ラインが複数ある 場合には、 それぞれのラインで前記管理を実施することを特徴とする請求項 1 9 記載の半導体集積回路装置の製造方法。  20. The semiconductor integrated circuit device according to claim 19, wherein, when there are a plurality of manufacturing lines for performing the steps (a) to (e), the management is performed in each of the lines. Manufacturing method.
21. 前記所定時間は、 4日であることを特徴とする請求項 1 9記載の半導体集 積回路装置の製造方法。  21. The method for manufacturing a semiconductor integrated circuit device according to claim 19, wherein the predetermined time is four days.
22. 前記 (d) 工程は、 有機酸を含有する薬液を使用した酸洗浄処理を含むこ とを特徴とする請求項 1 9記載の半導体集積回路装置の製造方法。  22. The method of manufacturing a semiconductor integrated circuit device according to claim 19, wherein the step (d) includes an acid cleaning treatment using a chemical solution containing an organic acid.
23. 前記酸洗浄処理を行った後、 前記 (e) 工程に先立って、 前記半導体基板 の表面を水素ァニール処理する工程をさらに含むことを特徴とする請求項 22記 載の半導体集積回路装置の製造方法。  23. The semiconductor integrated circuit device according to claim 22, further comprising a step of performing a hydrogen annealing treatment on the surface of the semiconductor substrate after the acid cleaning treatment and prior to the step (e). Production method.
24. 前記第 1絶縁膜は、 酸化シリコンを主成分として含む絶縁膜からなり、 前 記第 2絶縁膜は、 窒化シリコン、 炭窒化シリコン、 炭化シリコンまたは酸窒化シ リコンを主成分として含む絶縁膜からなることを特徴とする請求項 1 9記載の半 導体集積回路装置の製造方法。 24. The first insulating film is made of an insulating film containing silicon oxide as a main component, and the second insulating film is made of an insulating film containing silicon nitride, silicon carbonitride, silicon carbide, or silicon oxynitride as a main component. 10. The method for manufacturing a semiconductor integrated circuit device according to claim 19, comprising:
25. (a) 半導体基板上に第 1絶縁膜を形成した後、 前記第 1絶縁膜に配線埋 込み用の開口部を形成する工程、 25. (a) after forming a first insulating film on a semiconductor substrate, forming an opening for wiring embedding in the first insulating film;
( b) 前記開口部内を含む前記第 1絶縁膜上に銅を主成分として含む導電膜を形 成する工程、  (b) forming a conductive film containing copper as a main component on the first insulating film including the inside of the opening;
( c ) 前記導電膜を化学的および機械的に研磨して前記開口部内に残すことによ リ、 前記開口部内に前記導電膜からなる配線を形成する工程、  (c) forming a wiring made of the conductive film in the opening by chemically and mechanically polishing and leaving the conductive film in the opening;
(d) 前記 (c) 工程の後、 前記半導体基板の表面を洗浄する工程、  (d) washing the surface of the semiconductor substrate after the step (c);
(e) 前記 (d) 工程の後、 前記半導体基板上に第 2絶縁膜を形成することによ つて、 前記配線の上面を前記第 2絶縁膜で被覆する工程を含み、  (e) after the step (d), forming a second insulating film on the semiconductor substrate to cover an upper surface of the wiring with the second insulating film,
前記 (d) 工程の終了から前記 (e) 工程の開始までの間、 前記半導体基板を 非酸化性ガス雰囲気中で保管することを特徴とする半導体集積回路装置の製造方 法。  A method for manufacturing a semiconductor integrated circuit device, comprising: storing the semiconductor substrate in a non-oxidizing gas atmosphere from the end of the step (d) to the start of the step (e).
26. 前記 (d) 工程の終了から前記 (e) 工程の開始までの間に、 前記半導体 基板が酸化性雰囲気に曝される時間を 4日以内とすることを特徴とする請求項 2 5記載の半導体集積回路装置の製造方法。  26. The semiconductor device according to claim 25, wherein a period of time during which the semiconductor substrate is exposed to an oxidizing atmosphere within four days from the end of the step (d) to the start of the step (e). Of manufacturing a semiconductor integrated circuit device.
27. 前記 (d) 工程の洗浄は、 有機酸とフッ酸を含有する薬液を使用した酸洗 浄処理を含むことを特徴とする請求項 1記載の半導体集積回路装置の製造方法。  27. The method of manufacturing a semiconductor integrated circuit device according to claim 1, wherein the cleaning in the step (d) includes a pickling treatment using a chemical solution containing an organic acid and hydrofluoric acid.
28. 前記薬液は、 さらに水酸化アンモニゥムを含有することを特徴とする請求 項 27記載の半導体集積回路装置の製造方法。  28. The method for manufacturing a semiconductor integrated circuit device according to claim 27, wherein the chemical solution further contains ammonium hydroxide.
PCT/JP2003/001233 2002-03-15 2003-02-06 Production method for semiconductor integrated circuit device WO2003079429A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003577326A JPWO2003079429A1 (en) 2002-03-15 2003-02-06 Manufacturing method of semiconductor integrated circuit device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-73160 2002-03-15
JP2002073160 2002-03-15

Publications (1)

Publication Number Publication Date
WO2003079429A1 true WO2003079429A1 (en) 2003-09-25

Family

ID=28035226

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/001233 WO2003079429A1 (en) 2002-03-15 2003-02-06 Production method for semiconductor integrated circuit device

Country Status (3)

Country Link
JP (1) JPWO2003079429A1 (en)
TW (1) TW200402843A (en)
WO (1) WO2003079429A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005317808A (en) * 2004-04-28 2005-11-10 Nitta Haas Inc Polishing cloth for polishing thin film and method for polishing thin film using the same
JP2012186480A (en) * 2003-10-27 2012-09-27 Wako Pure Chem Ind Ltd Method for treating surface of semiconductor substrate
JP2019504480A (en) * 2015-12-08 2019-02-14 ノースロップ グラマン システムズ コーポレイションNorthrop Grumman Systems Corporation Non-oxide dielectrics for superconducting devices
US10608159B2 (en) 2016-11-15 2020-03-31 Northrop Grumman Systems Corporation Method of making a superconductor device
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1034522A (en) * 1996-07-17 1998-02-10 Nikon Corp Polishing device for cmp and cmp device system
JP2001053076A (en) * 1999-08-10 2001-02-23 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
WO2001024257A1 (en) * 1999-09-30 2001-04-05 Lam Research Corporation Methods and apparatus for treating seed layer in copper interconnctions
JP2001148385A (en) * 1999-11-19 2001-05-29 Nec Corp Semiconductor wafer and manufacturing method of semiconductor device
US20010011515A1 (en) * 2000-01-25 2001-08-09 Nec Corporation Anticorrosive agent
US20010043989A1 (en) * 2000-05-18 2001-11-22 Masami Akimoto Film forming apparatus and film forming method
JP2001338927A (en) * 2000-05-29 2001-12-07 Sony Corp Method of manufacturing semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1034522A (en) * 1996-07-17 1998-02-10 Nikon Corp Polishing device for cmp and cmp device system
JP2001053076A (en) * 1999-08-10 2001-02-23 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
WO2001024257A1 (en) * 1999-09-30 2001-04-05 Lam Research Corporation Methods and apparatus for treating seed layer in copper interconnctions
JP2001148385A (en) * 1999-11-19 2001-05-29 Nec Corp Semiconductor wafer and manufacturing method of semiconductor device
US20010011515A1 (en) * 2000-01-25 2001-08-09 Nec Corporation Anticorrosive agent
US20010043989A1 (en) * 2000-05-18 2001-11-22 Masami Akimoto Film forming apparatus and film forming method
JP2001338927A (en) * 2000-05-29 2001-12-07 Sony Corp Method of manufacturing semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012186480A (en) * 2003-10-27 2012-09-27 Wako Pure Chem Ind Ltd Method for treating surface of semiconductor substrate
JP2005317808A (en) * 2004-04-28 2005-11-10 Nitta Haas Inc Polishing cloth for polishing thin film and method for polishing thin film using the same
JP2019504480A (en) * 2015-12-08 2019-02-14 ノースロップ グラマン システムズ コーポレイションNorthrop Grumman Systems Corporation Non-oxide dielectrics for superconducting devices
US10608159B2 (en) 2016-11-15 2020-03-31 Northrop Grumman Systems Corporation Method of making a superconductor device
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Also Published As

Publication number Publication date
JPWO2003079429A1 (en) 2005-07-21
TW200402843A (en) 2004-02-16

Similar Documents

Publication Publication Date Title
US6890846B2 (en) Method for manufacturing semiconductor integrated circuit device
US7084063B2 (en) Fabrication method of semiconductor integrated circuit device
JP4554011B2 (en) Manufacturing method of semiconductor integrated circuit device
KR100698987B1 (en) Fabrication method for semiconductor integrated circuit device
US6730594B2 (en) Method for manufacturing semiconductor device
US20050261151A1 (en) Corrosion-inhibiting cleaning compositions for metal layers and patterns on semiconductor substrates
US6890391B2 (en) Method of manufacturing semiconductor device and apparatus for cleaning substrate
JP2003332426A (en) Method for manufacturing semiconductor device and semiconductor device
US20030032292A1 (en) Fabrication method of semiconductor integrated circuit device
US7943562B2 (en) Semiconductor substrate cleaning methods, and methods of manufacture using same
JP2006179948A (en) Semiconductor device and method of manufacturing device
US20060065286A1 (en) Method to address carbon incorporation in an interpoly oxide
WO2003079429A1 (en) Production method for semiconductor integrated circuit device
JP2003347299A (en) Method for manufacturing semiconductor integrated circuit device
JP2008141204A (en) Manufacturing method of semiconductor integrated circuit device
KR100746895B1 (en) Manufacturing method of semiconductor integrated circuit device
JP2002329780A (en) Fabrication method of semiconductor device and semiconductor device
JP4535505B2 (en) Manufacturing method of semiconductor device
JP2003124311A (en) Method for manufacturing semiconductor device and semiconductor device
JP2007005840A (en) Method of manufacturing semiconductor integrated circuit device
KR20090061354A (en) Cleaning solution for removing impurity and method of cleaning substrate and method of manufacturing semiconductor device using the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003577326

Country of ref document: JP

122 Ep: pct application non-entry in european phase