JP2003347299A - Method for manufacturing semiconductor integrated circuit device - Google Patents

Method for manufacturing semiconductor integrated circuit device

Info

Publication number
JP2003347299A
JP2003347299A JP2002151268A JP2002151268A JP2003347299A JP 2003347299 A JP2003347299 A JP 2003347299A JP 2002151268 A JP2002151268 A JP 2002151268A JP 2002151268 A JP2002151268 A JP 2002151268A JP 2003347299 A JP2003347299 A JP 2003347299A
Authority
JP
Japan
Prior art keywords
wiring
insulating film
gas
integrated circuit
semiconductor integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002151268A
Other languages
Japanese (ja)
Inventor
Junji Noguchi
純司 野口
Takafumi Oshima
隆文 大島
Noriko Miura
典子 三浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2002151268A priority Critical patent/JP2003347299A/en
Publication of JP2003347299A publication Critical patent/JP2003347299A/en
Pending legal-status Critical Current

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To suppress or prevent stress migration defects of a semiconductor integrated circuit device having embedded wirings. <P>SOLUTION: Wiring grooves 16a are formed in insulating films 15a, 11b, 12b and 15b, and in the wiring grooves 16a, embedded second layer wirings L2 each having a conductive barrier film 17a and a main conductive film 18a containing copper as a main component by the CMP method. Subsequently, after cleaning and ammonia plasma treatment are performed, the CMP surface of a wafer 1W is exposed to an inorganic silane compound gas, such as mono- silane or the like, so as to subject a microvolume of silicon to a solid solution in the outer layer of the main conductive film 18a in the embedded second layer wirings L2. Then, an insulating film 15b of a wiring cap, which mainly contains a material having a smaller dielectric constant than silicon nitride, is deposited on the principal plane of the wafer 1W by CVD method using an organic silane compound gas as film-forming gas. <P>COPYRIGHT: (C)2004,JPO

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路装
置の製造技術に関し、特に、埋込配線の主配線材料とし
て銅を主成分とする導体膜を用いる半導体集積回路装置
の製造方法に適用して有効な技術に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a technique for manufacturing a semiconductor integrated circuit device, and more particularly to a method for manufacturing a semiconductor integrated circuit device using a conductor film containing copper as a main wiring material as a buried wiring. And effective technology.

【0002】[0002]

【従来の技術】本発明者が検討した埋込配線技術は、例
えば次の通りである。まず、絶縁膜に配線溝や孔等のよ
うな配線開口部を形成した後、その配線開口部内を含む
絶縁膜上に導電性バリア膜および銅を主成分とする導体
膜を下方から順に堆積する。続いて、化学機械研磨法等
によって余分な銅を主成分とする導体膜および導電性バ
リア膜を研磨することにより、配線開口部内に埋込配線
を形成する。その後、洗浄処理を施した後、その絶縁膜
および埋込配線の上面上に、例えば窒化シリコン膜等か
らなる配線キャップ絶縁膜を形成する。
2. Description of the Related Art The buried wiring technology studied by the present inventors is, for example, as follows. First, after forming a wiring opening such as a wiring groove or a hole in an insulating film, a conductive barrier film and a conductive film containing copper as a main component are sequentially deposited from below on the insulating film including the inside of the wiring opening. . Subsequently, a buried wiring is formed in the wiring opening by polishing the excess conductive film and conductive barrier film containing copper as a main component by a chemical mechanical polishing method or the like. Thereafter, after performing a cleaning process, a wiring cap insulating film made of, for example, a silicon nitride film or the like is formed on the upper surface of the insulating film and the buried wiring.

【0003】なお、例えば特開2001−160558
号公報には、銅上に窒化シリコン膜等のような絶縁膜を
成膜する際に、銅と絶縁膜との密着性を向上させるため
の前処理を、絶縁膜の成膜処理室で、成膜温度よりも低
温で行う技術が開示されている。また、例えば特開20
01−77192号公報には、銅の拡散防止層やエッチ
ングストッパー層として酸窒化シリコン膜を用いる技術
が開示されている。さらに、例えば特開平9−3210
45号公報には、銅配線の銅が露出した部分のみに銅シ
リサイド層を選択的に形成する技術が開示されている。
また、例えばUSP5447887には、銅配線を形成
した後、プラズマ処理を経ずに銅配線の表面に銅シリサ
イド層を形成する技術が開示されている。また、例えば
USP6174810、USP6165894または特
開平6−283520号公報には、銅配線構造を有する
半導体集積回路装置のアンモニアプラズマ処理について
記載がある。また、例えば特開2001−60584号
には、炭素含有材料の露出表面を、ヘリウム、アルゴン
または他の不活性ガスプラズマや一酸化窒素プラズマの
ような酸素含有プラズマで処理する技術が開示されてい
る。
[0003] For example, Japanese Patent Application Laid-Open No. 2001-160558.
In the publication, when forming an insulating film such as a silicon nitride film on copper, a pretreatment for improving the adhesion between copper and the insulating film is performed in an insulating film forming process chamber. There is disclosed a technique performed at a temperature lower than the film forming temperature. In addition, for example,
JP-A-01-77192 discloses a technique in which a silicon oxynitride film is used as a copper diffusion preventing layer and an etching stopper layer. Further, for example, Japanese Patent Application Laid-Open No. 9-3210
No. 45 discloses a technique of selectively forming a copper silicide layer only in a portion of a copper wiring where copper is exposed.
Further, for example, US Pat. No. 5,447,887 discloses a technique of forming a copper silicide layer on the surface of a copper wiring without performing plasma processing after forming the copper wiring. Further, for example, US Pat. No. 6,174,810, US Pat. No. 6,165,894, or JP-A-6-283520 describes ammonia plasma treatment of a semiconductor integrated circuit device having a copper wiring structure. Also, for example, Japanese Patent Application Laid-Open No. 2001-60584 discloses a technique in which an exposed surface of a carbon-containing material is treated with an oxygen-containing plasma such as helium, argon, or another inert gas plasma or nitric oxide plasma. .

【0004】[0004]

【発明が解決しようとする課題】ところが、上記埋込配
線技術においては、以下の課題があることを本発明者は
見出した。
However, the inventor has found that the above-mentioned buried wiring technology has the following problems.

【0005】すなわち、配線層間を接続するスルーホー
ルの底部でストレスマイグレーション不良が発生する問
題がある。この問題は、下層配線の幅が上層配線の幅よ
りも広い場合に特に顕著である。
That is, there is a problem that stress migration failure occurs at the bottom of the through hole connecting the wiring layers. This problem is particularly conspicuous when the width of the lower wiring is wider than the width of the upper wiring.

【0006】本発明の目的は、配線開口部内に配線を有
する半導体集積回路装置のストレスマイグレーション不
良を抑制または防止することのできる技術を提供するこ
とにある。
An object of the present invention is to provide a technique capable of suppressing or preventing stress migration failure of a semiconductor integrated circuit device having a wiring in a wiring opening.

【0007】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
[0007] The above and other objects and novel features of the present invention will become apparent from the description of the present specification and the accompanying drawings.

【0008】[0008]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
SUMMARY OF THE INVENTION Among the inventions disclosed in the present application, the outline of a representative one will be briefly described.
It is as follows.

【0009】すなわち、本発明は、配線開口部内に形成
された銅を主成分とする配線の表層に銅の拡散を抑制ま
たは防止するような第1原子を固溶させた後、成膜ガス
として有機系シラン化合物ガスを用いる化学気相成長法
によって配線上に絶縁膜を堆積する工程を有するもので
ある。
That is, according to the present invention, a first atom for suppressing or preventing the diffusion of copper is dissolved in a surface layer of a wiring mainly composed of copper formed in a wiring opening and then formed as a film forming gas. The method includes a step of depositing an insulating film on the wiring by a chemical vapor deposition method using an organic silane compound gas.

【0010】[0010]

【発明の実施の形態】本実施の形態を詳細に説明する前
に、本実施の形態における用語の意味を説明すると次の
通りである。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Before describing the present embodiment in detail, the meanings of terms in the present embodiment will be described as follows.

【0011】1.TDDB(Time Dependence on Diele
ctric Breakdown)寿命とは、絶縁破壊の時間的依存性
を客観的に計る尺度であって、所定の温度(例えば14
0℃)の測定条件下で電極間に比較的高い電圧を加え、
電圧印加から絶縁破壊までの時間を印加電界に対してプ
ロットしたグラフを作成し、このグラフから実際の使用
電界強度(例えば0.2MV/cm)に外挿して求めた
時間(寿命)をいう。
1. TDDB (Time Dependence on Diele
The ctric breakdown life is a measure for objectively measuring the time dependency of dielectric breakdown, and is a predetermined temperature (for example, 14
0 ° C.), a relatively high voltage is applied between the electrodes under the measurement conditions
A graph in which the time from voltage application to dielectric breakdown is plotted against the applied electric field is created, and the time (life) obtained by extrapolating from this graph to the actual used electric field strength (for example, 0.2 MV / cm).

【0012】図1〜図3は、本実施の形態のTDDB寿
命測定に使用した試料の一例を示し、図1は平面図、図
2および図3は図1におけるB−B’線断面およびC−
C’線の断面を各々示している。この試料は実際にはウ
エハのTEG(Test Equipment Group)領域に形成でき
る。図示するように一対の櫛形配線Lを第2配線層M2
に形成し、最上層のパッドP1,P2に各々接続する。
この櫛形配線L間に電界が印加され電流が測定される。
パッドP1,P2は測定端子である。櫛形配線Lの配線
幅、配線間隔、配線厚さは何れも0.5μmである。ま
た、配線対向長は1.58×105μmとした。
1 to 3 show an example of a sample used for TDDB life measurement according to the present embodiment. FIG. 1 is a plan view, and FIGS. 2 and 3 are cross-sectional views taken along the line BB 'in FIG. −
The cross sections along line C ′ are shown. This sample can actually be formed in the TEG (Test Equipment Group) region of the wafer. As shown in the figure, a pair of comb-shaped wirings L are connected to a second wiring layer M2.
And connected to the uppermost pads P1 and P2, respectively.
An electric field is applied between the comb-shaped wirings L, and a current is measured.
Pads P1 and P2 are measurement terminals. The wiring width, wiring interval, and wiring thickness of the comb-shaped wiring L are all 0.5 μm. The wiring facing length was 1.58 × 10 5 μm.

【0013】図4は、測定の概要を示した説明図であ
る。試料は測定ステージSに保持され、パッドP1,P
2間に電流電圧測定器(I/V測定器)を接続する。測
定ステージSはヒータHで加熱され試料温度が140℃
に調整される。TDDB寿命測定には定電圧ストレス法
と低電流ストレス法とがあるが、本実施の形態では絶縁
膜に印加される平均電界が一定となる定電圧ストレス法
を用いている。電圧印加の後、時間の経過とともに電流
密度は減少し、その後、急激な電流増加(絶縁破壊)が
観測される。ここでは、リーク電流密度が1μA/cm
2に達した時間をTDDB寿命(5MV/cmにおける
TDDB寿命)とした。なお、本実施の形態において、
TDDB寿命とは、特に言及しない限り0.2MV/c
mにおける破壊時間(寿命)をいうが、広義には所定の
電界強度に言及した上で破壊までの時間としてTDDB
寿命の語を用いる場合もある。また、特に言及しない限
り、TDDB寿命は、試料温度140℃の場合をいう。
また、TDDB寿命は前記の櫛形配線Lで測定した場合
をいうが、実際の配線間の破壊寿命を反映することは言
うまでもない。
FIG. 4 is an explanatory diagram showing an outline of the measurement. The sample is held on the measurement stage S, and the pads P1, P
A current / voltage measuring device (I / V measuring device) is connected between the two. The measurement stage S is heated by the heater H and the sample temperature is 140 ° C.
It is adjusted to. The TDDB life measurement includes a constant voltage stress method and a low current stress method. In this embodiment, the constant voltage stress method in which the average electric field applied to the insulating film is constant is used. After the voltage is applied, the current density decreases over time, and then a sharp increase in current (dielectric breakdown) is observed. Here, the leak current density is 1 μA / cm
The time to reach 2 was defined as the TDDB life (TDDB life at 5 MV / cm). In the present embodiment,
The TDDB life is 0.2 MV / c unless otherwise specified.
m means the breakdown time (lifetime), and in a broad sense, the TDDB
The term life may be used. Unless otherwise specified, the TDDB life refers to a case where the sample temperature is 140 ° C.
Further, the TDDB life refers to the case where the comb-shaped wiring L is measured, but it goes without saying that the TDDB life reflects the actual breakdown life between the wirings.

【0014】2.プラズマ処理とは、プラズマ状態にあ
る環境に、基板表面、あるいは、基板上に絶縁膜、金属
膜等のような部材が形成されている時にはその部材表面
を暴露(又は近接して配置)し、プラズマの化学的、機
械的(ボンバードメント)作用を表面に与えて処理する
ことをいう。一般にプラズマは特定のガス(処理ガス)
に置換した反応室内に必要に応じて処理ガスを補充しつ
つ、高周波電界等の作用によりガスを電離させて生成す
るが、現実には完全に処理ガスで置換することはできな
い。よって、本実施の形態では、例えばアンモニアプラ
ズマと称しても、完全なアンモニアプラズマを意図する
ものではなく、そのプラズマ内に含まれる不純物ガス
(窒素、酸素、二酸化炭素、水蒸気等)の存在を排除す
るものではない。同様に、言うまでもないことである
が、プラズマ中に他の希釈ガスや添加ガスを含むことを
排除するものではない。
2. Plasma processing is a process in which the surface of a substrate or a member such as an insulating film or a metal film is formed on a substrate in an environment that is in a plasma state. It refers to the process of applying the chemical and mechanical (bombardment) action of plasma to the surface. Generally, plasma is a specific gas (processing gas)
The gas is generated by ionizing the gas by the action of a high-frequency electric field or the like while replenishing the processing chamber as necessary with the processing gas as needed. However, in reality, it cannot be completely replaced with the processing gas. Therefore, in the present embodiment, even if it is referred to as ammonia plasma, for example, it is not intended to be complete ammonia plasma, and the presence of impurity gas (nitrogen, oxygen, carbon dioxide, water vapor, etc.) contained in the plasma is excluded. It does not do. Similarly, it goes without saying that the inclusion of other diluent gas or additional gas in the plasma is not excluded.

【0015】3.還元性雰囲気のプラズマとは、還元作
用、すなわち、酸素を引き抜く作用を有するラジカル、
イオン、原子、分子等の反応種が支配的に存在するプラ
ズマ環境をいい、ラジカル、イオンには、原子あるいは
分子状のラジカルあるいはイオンが含まれる。また、環
境内には単一の反応種のみならず、複数種の反応種が含
まれていても良い。例えば水素ラジカルとNH3ラジカ
ルとが同時に存在する環境でも良い。
3. Plasma in a reducing atmosphere is a reducing action, that is, a radical having an action of extracting oxygen,
It refers to a plasma environment in which reactive species such as ions, atoms, and molecules are predominantly present. Radicals and ions include atoms or molecular radicals or ions. Further, the environment may include not only a single reactive species but also a plurality of reactive species. For example, an environment in which hydrogen radicals and NH 3 radicals are simultaneously present may be used.

【0016】4.本実施の形態において、例えば銅から
なると表現した場合、主成分として銅が用いられている
ことを意図する。すなわち、一般に高純度な銅であって
も、不純物が含まれることは当然であり、添加物や不純
物も銅からなる部材に含まれることを排除するものでは
ない。これは銅に限らず、その他の金属(窒化チタン
等)でも同様である。
4. In the present embodiment, for example, when expressed as being made of copper, it is intended that copper is used as a main component. That is, it is natural that even high-purity copper generally contains impurities, and it does not exclude that additives and impurities are also included in the member made of copper. This applies not only to copper but also to other metals (such as titanium nitride).

【0017】5.化学機械研磨(CMP:Chemical Mec
hanical Polishing)とは、一般に被研磨面を相対的に
軟らかい布様のシート材料等からなる研磨パッドに接触
させた状態で、スラリを供給しながら面方向に相対移動
させて研磨を行うことをいい、本実施の形態において
は、その他、被研磨面を硬質の砥石面に対して相対移動
させることによって研磨を行うCML(Chemical Mechan
ical Lapping)、その他の固定砥粒を使用するもの、及
び砥粒を使用しない砥粒フリーCMP等も含むものとす
る。
5. Chemical mechanical polishing (CMP: Chemical Mec)
In general, hanical polishing is a process in which a surface to be polished is brought into contact with a polishing pad made of a relatively soft cloth-like sheet material or the like, and is relatively moved in a surface direction while supplying a slurry to perform polishing. In the present embodiment, in addition, a CML (Chemical Mechanical) that performs polishing by relatively moving the surface to be polished with respect to the surface of a hard grindstone is used.
ical Lapping), those using other fixed abrasives, and abrasive-free CMP without the use of abrasives.

【0018】6.砥粒フリー化学機械研磨は、一般に砥
粒の重量濃度が0.5重量%未満(より好ましくは0.
1重量%、更に好ましくは0.01重量%未満)のスラ
リを用いた化学機械研磨をいい、有砥粒化学機械研磨と
は、砥粒の重量濃度が0.5重量%よりも高濃度のスラ
リを用いた化学機械研磨をいう。しかし、これらは相対
的なものであり、第1ステップの研磨が砥粒フリー化学
機械研磨で、それに続く第2ステップの研磨が有砥粒化
学機械研磨である場合、第1ステップの研磨濃度が第2
ステップの研磨濃度よりも1桁以上、望ましくは2桁以
上小さい場合などには、この第1ステップの研磨を砥粒
フリー化学機械研磨という場合もある。本明細書中にお
いて、砥粒フリー化学機械研磨と言うときは、対象とす
る金属膜の単位平坦化プロセス全体を砥粒フリー化学機
械研磨で行う場合の他、主要プロセスを砥粒フリー化学
機械研磨で行い、副次的なプロセスを有砥粒化学機械研
磨で行う場合も含むものとする。
6. Abrasive-free chemical mechanical polishing generally has a weight concentration of abrasive grains of less than 0.5% by weight (more preferably, less than 0.5% by weight).
(1% by weight, more preferably less than 0.01% by weight) refers to chemical mechanical polishing using a slurry, and abrasive chemical mechanical polishing means that the weight concentration of abrasive grains is higher than 0.5% by weight. This refers to chemical mechanical polishing using a slurry. However, these are relative, and if the polishing in the first step is abrasive-free chemical mechanical polishing and the subsequent polishing in the second step is abrasive chemical mechanical polishing, the polishing concentration in the first step is Second
When the polishing concentration is lower by one digit or more, preferably by two digits or more than the polishing concentration in the step, the polishing in the first step may be called abrasive-free chemical mechanical polishing. In this specification, when the term "abrasive-free chemical mechanical polishing" is used, in addition to the case where the entire unit planarization process of the target metal film is performed by abrasive-free chemical mechanical polishing, the main process is abrasive-free chemical mechanical polishing. And a case where the secondary process is performed by abrasive chemical mechanical polishing.

【0019】7.研磨液(スラリ)とは、一般に化学エ
ッチング薬剤に研磨砥粒を混合した懸濁液をいい、本願
においては発明の性質上、研磨砥粒が混合されていない
ものを含むものとする。
[7] The polishing liquid (slurry) generally refers to a suspension in which abrasive grains are mixed with a chemical etching agent, and in the present application, due to the properties of the invention, those containing no abrasive grains are included.

【0020】8.砥粒(スラリ粒子)とは、一般にスラ
リに含まれるアルミナ、シリカ等のような粉末をいう。
8. The abrasive grains (slurry particles) generally refer to powders such as alumina and silica contained in the slurry.

【0021】9.防食剤とは、金属の表面に耐食性、疎
水性あるいはその両方の性質を有する保護膜を形成する
ことによって、上記CMPによる研磨の進行を阻止また
は抑制する薬剤をいい、一般にベンゾトリアゾール(B
TA)などが使用される(詳しくは特開平8−6459
4号公報参照)。
9. An anticorrosion agent is an agent that prevents or suppresses the progress of polishing by CMP by forming a protective film having corrosion resistance and / or hydrophobicity on the surface of the metal, and is generally a benzotriazole (B
TA) or the like (for details, see JP-A-8-6459).
No. 4).

【0022】10.スクラッチフリーとは、上記CMP
法によって研磨されたウエハの研磨面の全面内または所
定の単位面積内に、所定寸法以上の欠陥が検出されない
状態を言う。この所定寸法は、半導体装置の世代や種類
等によって変わるので一概には言えないが、本実施の形
態では、インラインの比較欠陥検査において、例えば直
径200mmのウエハの研磨面内に、例えば0.3μm
以上の欠陥が検出されない状態されている。
10. Scratch-free is the above CMP
A state in which no defect of a predetermined size or more is detected in the entire surface of the polished surface of the wafer polished by the method or in a predetermined unit area. Since the predetermined size varies depending on the generation and type of the semiconductor device, it cannot be unconditionally determined. However, in the present embodiment, in the in-line comparative defect inspection, for example, 0.3 μm
The above-mentioned defect is not detected.

【0023】11.導電性バリア膜(又は導電性銅拡散
バリア膜)とは、一般に銅が層間絶縁膜内や下層へ拡散
するのを防止するために、埋込配線の側面または底面に
比較的薄く形成される拡散バリア性を有する導電膜であ
り、一般に、窒化チタン(TiN)、タンタル(T
a)、窒化タンタル(TaN)等のような高融点金属ま
たはその窒化物等が使用される。
11. In general, a conductive barrier film (or a conductive copper diffusion barrier film) is a diffusion film formed relatively thinly on the side surface or bottom surface of an embedded wiring in order to prevent copper from diffusing into or below an interlayer insulating film. A conductive film having a barrier property. Generally, titanium nitride (TiN), tantalum (T
a), a refractory metal such as tantalum nitride (TaN) or a nitride thereof is used.

【0024】12.埋込配線または埋込メタル配線と
は、一般にシングルダマシン(singledamascene)やデュ
アルダマシン(dual damascene)等のように、絶縁膜に形
成された溝や孔などのような配線開口部の内部に導電膜
を埋め込んだ後、絶縁膜上の不要な導電膜を除去する配
線形成技術によってパターニングされた配線をいう。ま
た、一般に、シングルダマシンとは、プラグメタルと、
配線用メタルとの2段階に分けて埋め込む、埋込配線プ
ロセスを言う。同様にデュアルダマシンとは、一般にプ
ラグメタルと、配線用メタルとを一度に埋め込む、埋込
配線プロセスを言う。一般に、銅埋込配線を多層構成で
使用されることが多い。
12. Embedded wiring or embedded metal wiring is generally a conductive film inside a wiring opening such as a groove or a hole formed in an insulating film, such as a single damascene or a dual damascene. Embedded in the insulating film, and is a wiring patterned by a wiring forming technique for removing an unnecessary conductive film on the insulating film. In general, a single damascene is a plug metal,
This refers to an embedded wiring process of embedding in two stages with wiring metal. Similarly, dual damascene generally refers to an embedded wiring process in which plug metal and wiring metal are embedded at once. In general, copper embedded wiring is often used in a multilayer structure.

【0025】13.本実施の形態において半導体集積回
路装置というときは、特に単結晶シリコン基板上に作ら
れるものだけでなく、特にそうでない旨が明示された場
合を除き、SOI(Silicon On Insulator)基板やTFT
(Thin Film Transistor)液晶製造用基板などといった他
の基板上に作られるものを含むものとする。
13. In this embodiment, a semiconductor integrated circuit device is not limited to a device formed on a single-crystal silicon substrate, but unless otherwise specified, a SOI (Silicon On Insulator) substrate or a TFT is used.
(Thin Film Transistor) Includes those made on other substrates such as substrates for manufacturing liquid crystals.

【0026】14.ウエハとは、半導体集積回路の製造
に用いるシリコンその他の半導体単結晶基板(一般にほ
ぼ円板形、半導体ウエハ)、サファイア基板、ガラス基
板、その他の絶縁、反絶縁または半導体基板等並びにそ
れらの複合的基板を言う。
14. A wafer is a silicon or other semiconductor single crystal substrate (generally a generally disk-shaped or semiconductor wafer) used for manufacturing a semiconductor integrated circuit, a sapphire substrate, a glass substrate, other insulating, anti-insulating or semiconductor substrates, and a composite thereof. Say the substrate.

【0027】15.半導体集積回路チップまたは半導体
チップ(以下、チップという)とは、ウエハ工程(ウエ
ハプロセスまたは前工程)が完了したウエハを単位回路
群に分割したものを言う。
15. A semiconductor integrated circuit chip or a semiconductor chip (hereinafter, referred to as a chip) refers to a wafer obtained by completing a wafer process (wafer process or previous process) divided into unit circuit groups.

【0028】16.シリコンナイトライド、窒化ケイ素
または窒化シリコン膜というときは、Si34のみでは
なく、シリコンの窒化物で類似組成の絶縁膜を含むもの
とする。
16. The term “silicon nitride, silicon nitride, or silicon nitride film” includes not only Si 3 N 4 but also an insulating film of silicon nitride having a similar composition.

【0029】窒化シリコン系絶縁性バリア膜(又は絶縁
性銅拡散バリア膜)としては、SiN(SiNHを含
む)、SiON、SiCN等がある。これらは、酸化シ
リコン系絶縁膜(通常の酸化シリコン膜、プラズマCV
Dによる酸化シリコン膜、PSG、BPSG、無機SO
G系の塗布系酸化シリコン膜、有機SOG系の塗布系酸
化シリコン膜、その他シロキサン系有機シリカガラス系
の塗布系又はCVD系酸化シリコン膜)のエッチングに
対する絶縁性エッチングストップ膜(又は絶縁性エッチ
ングストッパー膜)、すなわち、窒化シリコン系絶縁性
エッチングストッパー膜としても使用される。
Examples of the silicon nitride insulating barrier film (or insulating copper diffusion barrier film) include SiN (including SiNH), SiON, SiCN, and the like. These are silicon oxide based insulating films (normal silicon oxide film, plasma CV
D silicon oxide film, PSG, BPSG, inorganic SO
Insulating etching stop film (or insulating etching stopper) for etching of a G-based coating-based silicon oxide film, an organic SOG-based coating-based silicon oxide film, and a siloxane-based organic silica glass-based coating system or a CVD-based silicon oxide film) Film), that is, a silicon nitride-based insulating etching stopper film.

【0030】また、SiNすなわちSi34よりも、低
誘電性の絶縁性バリア膜(絶縁性エッチングストップ
膜)すなわち、SiC、SiCN、SiON等を低誘電
性の絶縁性バリア膜(低誘電性の絶縁性エッチングスト
ップ膜)と言う。
Further, an insulating barrier film (insulating etching stop film) having a lower dielectric constant than SiN, ie, Si 3 N 4 , that is, SiC, SiCN, SiON or the like is formed of a low dielectric insulating barrier film (low dielectric insulating film). Insulating etching stop film).

【0031】17.低誘電率性絶縁膜(Low−K絶縁
膜)とは、パッシベーション膜に含まれる酸化シリコン
膜(たとえばTEOS(Tetraethoxysilane)酸化膜)
の誘電率よりも低い誘電率を有する絶縁膜を例示でき
る。一般的には、TEOS酸化膜の比誘電率ε=4.1
〜4.2程度以下(狭義には比誘電率ε=3.0以下、
更に狭義には2.7以下)を低誘電率性絶縁膜と言う。
17. A low dielectric constant insulating film (Low-K insulating film) is a silicon oxide film (for example, TEOS (Tetraethoxysilane) oxide film) included in a passivation film.
An insulating film having a dielectric constant lower than that of the above. Generally, the relative dielectric constant ε of the TEOS oxide film is 4.1.
About 4.2 or less (in a narrow sense, relative permittivity ε = 3.0 or less,
(2.7 or less in a narrow sense) is called a low dielectric constant insulating film.

【0032】以下の実施の形態においては便宜上その必
要があるときは、複数のセクションまたは実施の形態に
分割して説明するが、特に明示した場合を除き、それら
はお互いに無関係なものではなく、一方は他方の一部ま
たは全部の変形例、詳細、補足説明等の関係にある。
In the following embodiments, for the sake of convenience, the description will be made by dividing into a plurality of sections or embodiments, but they are not irrelevant to each other, unless otherwise specified. One has a relationship of some or all of the other, the details, the supplementary explanation, and the like.

【0033】また、以下の実施の形態において、要素の
数等(個数、数値、量、範囲等を含む)に言及する場
合、特に明示した場合および原理的に明らかに特定の数
に限定される場合等を除き、その特定の数に限定される
ものではなく、特定の数以上でも以下でも良い。
In the following embodiments, when referring to the number of elements (including the number, numerical value, amount, range, etc.), the number is particularly limited, and is limited to a specific number in principle. Except for cases, the number is not limited to the specific number, and may be more than or less than the specific number.

【0034】さらに、以下の実施の形態において、その
構成要素(要素ステップ等も含む)は、特に明示した場
合および原理的に明らかに必須であると考えられる場合
等を除き、必ずしも必須のものではないことは言うまで
もない。
Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily essential unless otherwise specified and in cases considered to be essential in principle. Needless to say, there is nothing.

【0035】同様に、以下の実施の形態において、構成
要素等の形状、位置関係等に言及するときは、特に明示
した場合および原理的に明らかにそうでないと考えられ
る場合等を除き、実質的にその形状等に近似または類似
するもの等を含むものとする。このことは、上記数値お
よび範囲についても同様である。
Similarly, in the following embodiments, when referring to the shapes, positional relationships, and the like of the constituent elements, etc., unless otherwise specified, and unless otherwise apparently in principle, it is substantially the same. And those similar or similar to the shape or the like. This is the same for the above numerical values and ranges.

【0036】また、本実施の形態を説明するための全図
において同一機能を有するものは同一の符号を付し、そ
の繰り返しの説明は省略する。
In all the drawings for describing the present embodiment, components having the same functions are denoted by the same reference numerals, and their repeated description will be omitted.

【0037】また、本実施の形態で用いる図面において
は、平面図であっても図面を見易くするためにハッチン
グを付す場合もある。
In the drawings used in the present embodiment, hatching may be used even in a plan view so as to make the drawings easy to see.

【0038】また、本実施の形態においては、電界効果
トランジスタを代表するMIS・FET(Metal Insula
tor Semiconductor Field Effect Transistor)をMI
Sと略し、pチャネル型のMIS・FETをpMISと
略し、nチャネル型のMIS・FETをnMISと略
す。
Further, in the present embodiment, a MIS • FET (Metal Insula
tor Semiconductor Field Effect Transistor)
S is abbreviated, p-channel MIS • FET is abbreviated as pMIS, and n-channel MIS • FET is abbreviated as nMIS.

【0039】以下、本発明の実施の形態を図面に基づい
て詳細に説明する。
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.

【0040】(実施の形態1)まず、本発明者が検討し
た課題について説明する。現在、銅を主成分とする埋込
配線構造においてストレスマイグレーション(以下、S
Mという)による不良が発生している。本発明者の検討
によれば、SMの問題は、図33や図34のような配線
構造で顕著である。図33は、下層の埋込配線50の幅
が上層の埋込配線51の幅よりも広い場合である。上下
層の埋込配線50,51はスルーホール52を通じて電
気的に接続されている。図34は、上下層の埋込配線5
0,53の幅がほぼ等しい場合である。共通しているの
は、スルーホール52が接続される下層の埋込配線50
の幅が広い(例えば4μm程度またはそれ以上)ことで
あり、いずれの場合も図35に示すようにスルーホール
52底部にSMによるボイド54が発生している。幅広
の埋込配線50でボイド54が発生し易いのは、図36
に示すように、幅広の埋込配線50では、ボイドや不純
物等が集中する三重点55が形成され易い等の理由が挙
げられる。また、スルーホール52底部でボイド54が
発生し易いのは、スルーホール52がストレスが集中し
易い埋込配線50の幅方向中央に配置されること、スル
ーホール52の形成時に配線の上部がエッチングされ窪
んでいること、異種金属(導電性バリア膜)が接触する
部分であること等が挙げられる。このようなSMによる
ボイドの発生はスルーホール52部での抵抗率の上昇を
招く。スルーホール52の直径は益々小さくなる傾向に
あることから小さなボイドの発生でも抵抗率の大きな上
昇を招き、半導体集積回路装置の歩留まり、信頼性およ
び性能の低下を招く。
(Embodiment 1) First, the problems studied by the present inventors will be described. At present, stress migration (hereinafter referred to as S
M). According to the study of the present inventors, the problem of SM is remarkable in the wiring structure as shown in FIGS. FIG. 33 shows a case where the width of the embedded wiring 50 in the lower layer is wider than the width of the embedded wiring 51 in the upper layer. The upper and lower embedded wirings 50 and 51 are electrically connected through through holes 52. FIG. 34 shows the embedded wiring 5 of the upper and lower layers.
This is the case where the widths of 0 and 53 are almost equal. The common feature is that the embedded wiring 50 in the lower layer to which the through hole 52 is connected is connected.
Is large (for example, about 4 μm or more), and in each case, a void 54 due to SM is generated at the bottom of the through hole 52 as shown in FIG. FIG. 36 shows that the void 54 is likely to be generated in the wide buried wiring 50.
As shown in (1), in the case of the wide buried wiring 50, the reason is that the triple point 55 in which voids, impurities and the like are concentrated tends to be formed. Further, the void 54 is easily formed at the bottom of the through hole 52 because the through hole 52 is arranged at the center in the width direction of the embedded wiring 50 where stress is easily concentrated, and the upper part of the wiring is etched when the through hole 52 is formed. And that it is a portion where different metals (conductive barrier films) come into contact. The generation of voids due to such SM causes an increase in resistivity at the through-hole 52. Since the diameter of the through-hole 52 tends to become smaller and smaller, the generation of a small void causes a large increase in the resistivity, leading to a reduction in the yield, reliability and performance of the semiconductor integrated circuit device.

【0041】そこで、本発明者は、SMによるボイドの
発生原因を調査した結果、ボイドの発生は、埋込配線表
層の酸化銅、銅および酸素の拡散現象に起因することが
明らかにされた。特にダマシンプロセスによる埋込配線
形成では、SMによるボイド発生原因に、そのプロセス
上の下記のような理由がある。すなわち、埋込配線を化
学機械研磨(Chemical Mechanical Polishing;CM
P)法で形成した後のCMP後洗浄で用いる有機酸は、
銅膜自体をあまりエッチングしないため、銅膜の表面は
酸化銅(CuO)が多い状態となっている。また、CM
P後の水素アニールは、高温、長時間(例えば300〜
400℃、2〜120分)とするとSMによる劣化が生
じるため、低温(例えば200℃以下)で行う必要があ
り、上記の酸化銅を充分に還元できない。しかも、この
酸化銅は、TDDB寿命やヒロックの観点から最適とさ
れるアンモニア(NH3)プラズマ処理を行ってもなか
なか還元されない。したがって、現状では酸化銅膜が還
元されないまま配線キャップ用の絶縁膜が堆積され、酸
化銅は結果として銅配線中に取り込まれている。この酸
化銅膜は、一般的に電気的にも熱的にも不安定であり拡
散し易いことが知られており、TDDB特性およびSM
寿命に悪影響を与える。しかも、上記したように水素ア
ニール条件やアンモニアプラズマ条件には制約があり、
酸化銅を還元する上で充分な処理ができない。そこで、
本実施の形態1においては、配線キャップ絶縁膜の堆積
前のセットフロー(成膜に使う原材料ガスが安定化する
までのガス出し処理)を工夫することにより、SMの安
定化を試みた。
Therefore, the present inventor has investigated the cause of the generation of voids due to SM, and has found that the generation of voids is caused by the diffusion phenomenon of copper oxide, copper and oxygen in the buried wiring surface layer. In particular, in the formation of the embedded wiring by the damascene process, the cause of void generation by the SM has the following reasons in the process. That is, the embedded wiring is subjected to chemical mechanical polishing (CM).
The organic acid used in the post-CMP cleaning after the formation by the P) method is as follows:
Since the copper film itself is not etched much, the surface of the copper film is in a state of much copper oxide (CuO). Also, CM
The hydrogen annealing after P is performed at a high temperature for a long time (for example, 300 to
At 400 ° C. for 2 to 120 minutes), deterioration due to SM occurs, so it is necessary to perform at a low temperature (for example, 200 ° C. or lower), and the above copper oxide cannot be sufficiently reduced. In addition, this copper oxide is not easily reduced even if it is subjected to an ammonia (NH 3 ) plasma treatment which is optimized from the viewpoint of TDDB life and hillocks. Therefore, at present, an insulating film for a wiring cap is deposited without reducing the copper oxide film, and as a result, the copper oxide is taken into the copper wiring. It is known that this copper oxide film is generally unstable electrically and thermally and is easily diffused.
Affects life. Moreover, as described above, there are restrictions on the hydrogen annealing conditions and the ammonia plasma conditions,
Sufficient treatment cannot be performed to reduce copper oxide. Therefore,
In the first embodiment, the stabilization of the SM was attempted by devising the set flow (degassing process until the raw material gas used for film formation is stabilized) before the deposition of the wiring cap insulating film.

【0042】次に、本実施の形態1の半導体集積回路装
置の製造方法の具体的な一例を図5の製造フローに沿っ
て、図6〜図17を用いて説明する。図5は、本実施の
形態1の半導体集積回路装置の製造フロー図を示してい
る。図5中の破線SAは同一処理室内での処理を示して
いる。
Next, a specific example of the method of manufacturing the semiconductor integrated circuit device according to the first embodiment will be described with reference to FIGS. 6 to 17 along the manufacturing flow of FIG. FIG. 5 shows a manufacturing flowchart of the semiconductor integrated circuit device according to the first embodiment. A broken line SA in FIG. 5 indicates a process in the same processing chamber.

【0043】まず、図6は、本実施の形態1の半導体集
積回路装置の製造工程中における要部平面図、図7は図
6のX1−X1線の断面図である。ウエハ1Wを構成す
る半導体基板(以下、基板という)1Sは、例えば1〜
10Ωcm程度の比抵抗を有するp型の単結晶シリコンか
らなる。基板1Sの主面(デバイス形成面)には、溝形
の分離部(SGI(Shallow Groove Isolation)または
STI(Shallow Trench Isolation))2が形成されて
いる。この溝形の分離部2は、基板1Sの主面に形成さ
れた溝内に、例えば酸化シリコン膜が埋め込まれること
で形成されている。また、基板1Sの主面側には、p型
ウエルPWLおよびn型ウエルNWLが形成されてい
る。p型ウエルPWLには、例えばホウ素が導入され、
n型ウエルNWLには、例えばリンが導入されている。
このような分離部2に囲まれたp型ウエルPWLおよび
n型ウエルNWLの活性領域には、nMISQnおよび
pMISQpが形成されている。
First, FIG. 6 is a plan view of a main part of a semiconductor integrated circuit device according to the first embodiment during a manufacturing process, and FIG. 7 is a sectional view taken along line X1-X1 of FIG. The semiconductor substrate (hereinafter, referred to as a substrate) 1S constituting the wafer 1W is, for example, 1 to
It is made of p-type single crystal silicon having a specific resistance of about 10 Ωcm. On the main surface (device formation surface) of the substrate 1S, a groove-shaped separation portion (Shallow Groove Isolation (SGI) or Shallow Trench Isolation (STI)) 2 is formed. The groove-shaped separation portion 2 is formed by, for example, burying a silicon oxide film in a groove formed on the main surface of the substrate 1S. On the main surface side of the substrate 1S, a p-type well PWL and an n-type well NWL are formed. For example, boron is introduced into the p-type well PWL,
For example, phosphorus is introduced into the n-type well NWL.
NMISQn and pMISQp are formed in the active regions of the p-type well PWL and the n-type well NWL surrounded by the isolation part 2.

【0044】nMISQnおよびpMISQpのゲート
絶縁膜3は、例えば厚さ6nm程度の酸化シリコン膜か
らなる。ここでいうゲート絶縁膜3の膜厚とは、二酸化
シリコン換算膜厚(以下、換算膜厚という)であり、実
際の膜厚と一致しない場合もある。ゲート絶縁膜3は、
酸化シリコン膜に代えて酸窒化シリコン膜で構成しても
良い。すなわち、ゲート絶縁膜3と基板1Sとの界面に
窒素を偏析させる構造としても良い。酸窒化シリコン膜
は、酸化シリコン膜に比べて膜中における界面準位の発
生を抑制したり、電子トラップを低減したりする効果が
高いので、ゲート絶縁膜3のホットキャリア耐性を向上
でき、絶縁耐性を向上させることができる。また、酸窒
化シリコン膜は、酸化シリコン膜に比べて不純物が貫通
し難いので、酸窒化シリコン膜を用いることにより、ゲ
ート電極材料中の不純物が基板1S側に拡散することに
起因するしきい値電圧の変動を抑制することができる。
酸窒化シリコン膜を形成するには、例えばウエハ1Wを
NO、NO2またはNH3といった含窒素ガス雰囲気中で
熱処理すれば良い。また、p型ウエルPWLおよびn型
ウエルNWLのそれぞれの表面に酸化シリコンからなる
ゲート絶縁膜3を形成した後、ウエハ1Wを上記した含
窒素ガス雰囲気中で熱処理し、ゲート絶縁膜3と基板1
Sとの界面に窒素を偏析させることによっても、上記と
同様の効果を得ることができる。
The gate insulating films 3 of the nMISQn and the pMISQp are made of, for example, a silicon oxide film having a thickness of about 6 nm. The thickness of the gate insulating film 3 here is a thickness equivalent to silicon dioxide (hereinafter referred to as a reduced thickness), and may not coincide with an actual thickness. The gate insulating film 3
Instead of the silicon oxide film, a silicon oxynitride film may be used. That is, a structure in which nitrogen is segregated at the interface between the gate insulating film 3 and the substrate 1S may be adopted. Since the silicon oxynitride film has a higher effect of suppressing the generation of interface states and reducing electron traps in the film than the silicon oxide film, the hot carrier resistance of the gate insulating film 3 can be improved, Resistance can be improved. In addition, since the silicon oxynitride film does not easily penetrate impurities as compared with the silicon oxide film, the use of the silicon oxynitride film allows the threshold in the gate electrode material due to the diffusion of impurities into the substrate 1S side. Voltage fluctuation can be suppressed.
In order to form a silicon oxynitride film, for example, the wafer 1W may be heat-treated in a nitrogen-containing gas atmosphere such as NO, NO 2 or NH 3 . After the gate insulating film 3 made of silicon oxide is formed on each surface of the p-type well PWL and the n-type well NWL, the wafer 1W is heat-treated in the above-mentioned nitrogen-containing gas atmosphere, and the gate insulating film 3 and the substrate 1
The same effect as described above can be obtained by segregating nitrogen at the interface with S.

【0045】また、ゲート絶縁膜3を、例えば窒化シリ
コン膜、あるいは酸化シリコン膜と窒化シリコン膜との
複合絶縁膜で形成しても良い。酸化シリコン膜からなる
ゲート絶縁膜3を二酸化シリコン換算膜厚で5nm未
満、特に3nm未満まで薄くすると、直接トンネル電流
の発生やストレス起因のホットキャリア等による絶縁破
壊耐圧の低下が顕在化する。窒化シリコン膜は、酸化シ
リコン膜よりも誘電率が高いためにその換算膜厚は実際
の膜厚よりも薄くなる。すなわち、窒化シリコン膜を有
する場合には、物理的に厚くても、相対的に薄い二酸化
シリコン膜と同等の容量を得ることができる。従って、
ゲート絶縁膜3を単一の窒化シリコン膜あるいはそれと
酸化シリコン膜との複合膜で構成することにより、その
実効膜厚を、酸化シリコン膜で構成されたゲート絶縁膜
よりも厚くすることができるので、トンネル漏れ電流の
発生やホットキャリアによる絶縁破壊耐圧の低下を改善
することができる。
The gate insulating film 3 may be formed of, for example, a silicon nitride film or a composite insulating film of a silicon oxide film and a silicon nitride film. If the thickness of the gate insulating film 3 made of a silicon oxide film is reduced to less than 5 nm, particularly less than 3 nm in terms of silicon dioxide, a decrease in dielectric breakdown voltage due to generation of direct tunnel current or hot carriers due to stress becomes apparent. Since the silicon nitride film has a higher dielectric constant than the silicon oxide film, its reduced thickness is smaller than the actual thickness. That is, when a silicon nitride film is provided, a capacity equivalent to a relatively thin silicon dioxide film can be obtained even if it is physically thick. Therefore,
Since the gate insulating film 3 is composed of a single silicon nitride film or a composite film of the silicon nitride film and the silicon oxide film, the effective film thickness can be made larger than that of the gate insulating film composed of the silicon oxide film. In addition, it is possible to improve the occurrence of a tunnel leakage current and a decrease in dielectric breakdown voltage due to hot carriers.

【0046】nMISQnおよびpMISQpのゲート
電極4は、例えば低抵抗多結晶シリコン膜上に、例えば
チタンシリサイド(TiSix)層またはコバルトシリ
サイド(CoSix)層を積層することで形成されてい
る。ただし、ゲート電極構造は、これに限定されるもの
ではなく、例えば低抵抗多結晶シリコン膜、WN(窒化
タングステン)膜およびW(タングステン)膜の積層膜
で構成される、いわゆるポリメタルゲート構造としても
良い。ゲート電極4の側面には、例えば酸化シリコンか
らなるサイドウォール5が形成されている。
The gate electrodes 4 of the nMISQn and the pMISQp are formed by stacking, for example, a titanium silicide (TiSi x ) layer or a cobalt silicide (CoSi x ) layer on a low-resistance polycrystalline silicon film, for example. However, the gate electrode structure is not limited to this, and is, for example, a so-called polymetal gate structure composed of a laminated film of a low-resistance polycrystalline silicon film, a WN (tungsten nitride) film, and a W (tungsten) film. Is also good. A side wall 5 made of, for example, silicon oxide is formed on a side surface of the gate electrode 4.

【0047】nMISQnのソースおよびドレイン用の
半導体領域6は、チャネルに隣接するn-型半導体領域
と、n-型半導体領域に接続され、かつ、n-型半導体領
域分だけチャネルから離間する位置に設けられたn+
半導体領域とを有している。n-型半導体領域およびn+
型半導体領域には、例えばリンまたはヒ素が導入されて
いる。一方、pMISQpのソースおよびドレイン用の
半導体領域7は、チャネルに隣接するp-型半導体領域
と、p-型半導体領域に接続され、かつ、p-型半導体領
域分だけチャネルから離間する位置に設けられたp+
半導体領域とを有している。p-型半導体領域およびp+
型半導体領域には、例えばホウ素が導入されている。こ
の半導体領域6,7の上面一部には、例えばチタンシリ
サイド層またはコバルトシリサイド層等のようなシリサ
イド層が形成されている。
The semiconductor regions 6 for the source and drain of nMISQn is, n adjacent channels - -type semiconductor region, n - is connected to the semiconductor region, and, n - a position separated from the semiconductor region amount corresponding channel And an n + -type semiconductor region provided. n type semiconductor region and n +
For example, phosphorus or arsenic is introduced into the type semiconductor region. On the other hand, the semiconductor regions 7 for the source and drain of pMISQp is, p is adjacent to the channel - -type semiconductor region, p - is connected to the semiconductor region, and, p - provided in a position away from the semiconductor region amount corresponding channel P + type semiconductor region. p - type semiconductor region and p +
For example, boron is introduced into the type semiconductor region. A silicide layer such as a titanium silicide layer or a cobalt silicide layer is formed on a part of the upper surfaces of the semiconductor regions 6 and 7, for example.

【0048】このようなウエハ1Wの基板1S主面上に
は絶縁膜8が堆積されている。この絶縁膜8は、隣接す
るゲート電極4,4の狭いスペースを埋め込むことので
きるリフロー性の高い膜、例えばBPSG(Boron-doped
Phospho Silicate Glass)膜からなる。また、スピン塗
布法によって形成されるSOG(Spin On Glass) 膜で構
成しても良い。絶縁膜8には、コンタクトホール9が形
成されている。コンタクトホール9の底部からは半導体
領域6,7の上面一部が露出されている。このコンタク
トホール9内には、プラグ10が形成されている。プラ
グ10は、例えばコンタクトホール9の内部を含む絶縁
膜8上にCVD法等で窒化チタン(TiN)膜およびタ
ングステン(W)膜を堆積した後、絶縁膜8上の不要な
窒化チタン膜およびタングステン膜をCMP法またはエ
ッチバック法によって除去し、コンタクトホール9内の
みにこれらの膜を残すことで形成されている。
An insulating film 8 is deposited on the main surface of the substrate 1S of such a wafer 1W. The insulating film 8 is a film having a high reflow property capable of filling a narrow space between the adjacent gate electrodes 4 and 4, for example, a BPSG (Boron-doped).
Phospho Silicate Glass) film. Further, it may be constituted by an SOG (Spin On Glass) film formed by a spin coating method. A contact hole 9 is formed in the insulating film 8. Part of the upper surface of the semiconductor regions 6 and 7 is exposed from the bottom of the contact hole 9. A plug 10 is formed in the contact hole 9. The plug 10 is formed, for example, by depositing a titanium nitride (TiN) film and a tungsten (W) film on the insulating film 8 including the inside of the contact hole 9 by a CVD method or the like, and then removing the unnecessary titanium nitride film and tungsten on the insulating film 8. The film is formed by removing the films by the CMP method or the etch-back method and leaving these films only in the contact holes 9.

【0049】絶縁膜8上には、例えばタングステンから
なる第1層配線L1が形成されている。第1層配線L1
は、プラグ10を通じてnMISQnおよびpMISQ
pのソースおよびドレイン用の半導体領域6,7やゲー
ト電極4と電気的に接続されている。第1層配線L1の
材料は、タングステンに限定されず種々変更可能であ
り、例えばアルミニウム(Al)またはアルミニウム合
金等のような単体金属膜あるいはこれらの単体金属膜の
上下層の少なくとも一方にチタン(Ti)や窒化チタン
(TiN)等のような金属膜を形成した積層金属膜とし
ても良い。
On the insulating film 8, a first layer wiring L1 made of, for example, tungsten is formed. First layer wiring L1
Are nMISQn and pMISQ through the plug 10
It is electrically connected to the source and drain semiconductor regions 6 and 7 and the gate electrode 4. The material of the first layer wiring L1 is not limited to tungsten, and can be variously changed. For example, at least one of a single metal film such as aluminum (Al) or an aluminum alloy or an upper and lower layer of these single metal films is made of titanium ( It may be a laminated metal film on which a metal film such as Ti) or titanium nitride (TiN) is formed.

【0050】また、絶縁膜8上には、第1層配線L1を
覆うように、絶縁膜11aが堆積されている。絶縁膜1
1aは、例えば有機ポリマーまたは有機シリカガラス等
のような低誘電率材料(いわゆるLow−K材料)から
なる。この有機ポリマー(完全有機系低誘電性層間絶縁
膜)としては、例えばSiLK(米The Dow ChemicalCo
製、比誘電率=2.7、耐熱温度=490℃以上、絶縁
破壊耐圧=4.0〜5.0MV/Vm)またはポリアリ
ルエーテル(PAE)系材料のFLARE(米Honeywel
l Electronic Materials製、比誘電率=2.8、耐熱温
度=400℃以上)等がある。このPAE系材料は、基
本性能が高く、機械的強度、熱的安定性および低コスト
性に優れるという特徴を有している。上記有機シリカガ
ラス(SiOC系材料)としては、例えばHSG−R7
(日立化成工業製、比誘電率=2.8、耐熱温度=65
0℃)、Black Diamond(米Applied Mater
ials,Inc製、比誘電率=3.0〜2.4、耐熱温度=
450℃)またはp−MTES(日立開発製、比誘電率
=3.2)等がある。この他のSiOC系材料として
は、例えばCORAL(米Novellus Systems,Inc製、比
誘電率=2.7〜2.4、耐熱温度=500℃)、Au
rora2.7(日本エー・エス・エム社製、比誘電率
=2.7、耐熱温度=450℃)等がある。
Further, an insulating film 11a is deposited on the insulating film 8 so as to cover the first layer wiring L1. Insulating film 1
1a is made of a low dielectric constant material (a so-called Low-K material) such as an organic polymer or an organic silica glass. Examples of the organic polymer (completely organic low dielectric interlayer insulating film) include SiLK (The Dow Chemical Co., USA)
Dielectric constant = 2.7, heat-resistant temperature = 490 ° C. or higher, dielectric breakdown voltage = 4.0-5.0 MV / Vm) or polyallyl ether (PAE) based material FLARE (Honeywel, USA)
l Electronic materials, relative permittivity = 2.8, heat-resistant temperature = 400 ° C or higher). This PAE-based material is characterized by high basic performance and excellent mechanical strength, thermal stability and low cost. As the organic silica glass (SiOC-based material), for example, HSG-R7
(Hitachi Chemical Industries, relative permittivity = 2.8, heat resistant temperature = 65
0 ° C), Black Diamond (Applied Mater, USA)
ials, Inc., relative permittivity = 3.0-2.4, heat resistant temperature =
450 ° C.) or p-MTES (manufactured by Hitachi, relative permittivity = 3.2). As other SiOC-based materials, for example, CORAL (manufactured by Novellus Systems, Inc. of the United States, relative permittivity = 2.7 to 2.4, heat-resistant temperature = 500 ° C.), Au
Rora 2.7 (manufactured by ASM Japan Co., Ltd., relative dielectric constant = 2.7, heat-resistant temperature = 450 ° C.) and the like.

【0051】また、絶縁膜11aの低誘電率材料として
は、例えばFSG等のような完全有機系のSiOF系材
料、HSQ(hydrogen silsesquioxane)系材料、MS
Q(methyl silsesquioxane)系材料、ポーラスHSQ
系材料、ポーラスMSQ材料またはポーラス有機系材料
を用いることもできる。
The low dielectric constant material of the insulating film 11a is, for example, a completely organic SiOF material such as FSG, HSQ (hydrogen silsesquioxane) material, MS
Q (methyl silsesquioxane) material, porous HSQ
A system material, a porous MSQ material, or a porous organic material can also be used.

【0052】上記HSQ系材料としては、例えばOCD
T−12(東京応化工業製、比誘電率=3.4〜2.
9、耐熱温度=450℃)、FOx(米Dow Corning Co
rp.製、比誘電率=2.9)またはOCL T−32
(東京応化工業製、比誘電率=2.5、耐熱温度=45
0℃)等がある。
As the HSQ-based material, for example, OCD
T-12 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 3.4-2.
9. Heat resistant temperature = 450 ° C), FOx (US Dow Corning Co.)
rp. Made, relative permittivity = 2.9) or OCL T-32
(Tokyo Ohka Kogyo Co., Ltd., dielectric constant = 2.5, heat-resistant temperature = 45
0 ° C.).

【0053】上記MSQ系材料としては、例えばOCD
T−9(東京応化工業製、比誘電率=2.7、耐熱温
度=600℃)、LKD−T200(JSR製、比誘電
率=2.7〜2.5、耐熱温度=450℃)、HOSP
(米Honeywell Electronic Materials製、比誘電率=
2.5、耐熱温度=550℃)、HSG−RZ25(日
立化成工業製、比誘電率=2.5、耐熱温度=650
℃)、OCL T−31(東京応化工業製、比誘電率=
2.3、耐熱温度=500℃)またはLKD−T400
(JSR製、比誘電率=2.2〜2、耐熱温度=450
℃)等がある。
As the MSQ-based material, for example, OCD
T-9 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative permittivity = 2.7, heat-resistant temperature = 600 ° C.), LKD-T200 (manufactured by JSR, relative permittivity = 2.7-2.5, heat-resistant temperature = 450 ° C.), HOSP
(Honeywell Electronic Materials, USA, dielectric constant =
2.5, heat-resistant temperature = 550 ° C), HSG-RZ25 (manufactured by Hitachi Chemical, relative permittivity = 2.5, heat-resistant temperature = 650)
° C), OCL T-31 (manufactured by Tokyo Ohka Kogyo, relative permittivity =
2.3, heat-resistant temperature = 500 ° C) or LKD-T400
(Manufactured by JSR, relative permittivity = 2.2-2, heat resistant temperature = 450
° C).

【0054】上記ポーラスHSQ系材料としては、例え
ばXLK(米Dow Corning Corp.製、比誘電率=2.5
〜2)、OCL T−72(東京応化工業製、比誘電率
=2.2〜1.9、耐熱温度=450℃)、Nanog
lass(米Honeywell Electronic Materials製、比誘
電率=2.2〜1.8、耐熱温度=500℃以上)また
はMesoELK(米Air Productsand Chemicals,In
c、比誘電率=2以下)等がある。
As the porous HSQ-based material, for example, XLK (manufactured by Dow Corning Corp., US; relative dielectric constant = 2.5)
2), OCL T-72 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.2-1.9, heat-resistant temperature = 450 ° C.), Nanog
glass (Honeywell Electronic Materials, USA, relative dielectric constant = 2.2-1.8, heat-resistant temperature = 500 ° C. or more) or MesoELK (Air Products and Chemicals, USA)
c, relative permittivity = 2 or less).

【0055】上記ポーラスMSQ系材料としては、例え
ばHSG−6211X(日立化成工業製、比誘電率=
2.4、耐熱温度=650℃)、ALCAP−S(旭化
成工業製、比誘電率=2.3〜1.8、耐熱温度=45
0℃)、OCL T−77(東京応化工業製、比誘電率
=2.2〜1.9、耐熱温度=600℃)、HSG−6
210X(日立化成工業製、比誘電率=2.1、耐熱温
度=650℃)またはsilica aerogel
(神戸製鋼所製、比誘電率1.4〜1.1)等がある。
As the porous MSQ material, for example, HSG-6221X (manufactured by Hitachi Chemical Co., Ltd., relative permittivity =
2.4, heat-resistant temperature = 650 ° C), ALCAP-S (manufactured by Asahi Kasei Corporation, relative permittivity = 2.3 to 1.8, heat-resistant temperature = 45)
OCL T-77 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.2-1.9, heat resistant temperature = 600 ° C.), HSG-6
210X (manufactured by Hitachi Chemical Co., Ltd., relative permittivity = 2.1, heat-resistant temperature = 650 ° C.) or silica aerogel
(Manufactured by Kobe Steel, relative permittivity of 1.4 to 1.1).

【0056】上記ポーラス有機系材料としては、例えば
PolyELK(米Air Productsand Chemicals,Inc、
比誘電率=2以下、耐熱温度=490℃)等がある。
Examples of the porous organic materials include PolyELK (Air Products and Chemicals, Inc., USA)
(Dielectric constant = 2 or less, heat resistant temperature = 490 ° C.).

【0057】さらに、絶縁膜11aの他の材料として酸
窒化シリコン(SiON)等のような有機シリコンガラ
ス膜を用いても良い。
Further, an organic silicon glass film such as silicon oxynitride (SiON) may be used as another material of the insulating film 11a.

【0058】上記SiOC系材料、SiOF系材料は、
例えばCVD法(Chemical Vapor Deposition)によっ
て形成されている。例えば上記Black Diamo
ndは、トリメチルシランと酸素との混合ガスを用いた
CVD法等によって形成される。また、上記p−MTE
Sは、例えばメチルトリエトキシシランとN2Oとの混
合ガスを用いたCVD法等によって形成される。それ以
外の上記低誘電率の絶縁材料は、例えば塗布法で形成さ
れている。
The above-mentioned SiOC-based material and SiOF-based material are as follows:
For example, it is formed by a CVD method (Chemical Vapor Deposition). For example, the above Black Diamond
nd is formed by a CVD method or the like using a mixed gas of trimethylsilane and oxygen. In addition, the above p-MTE
S is formed by, for example, a CVD method using a mixed gas of methyltriethoxysilane and N 2 O. The other low dielectric constant insulating materials are formed by, for example, a coating method.

【0059】このようなLow−K材料からなる絶縁膜
11a上には、Low−Kキャップ用の絶縁膜12aが
堆積されている。この絶縁膜12aは、例えば二酸化シ
リコン(SiO2)に代表される酸化シリコン(Si
x)膜からなり、例えば化学機械研磨処理(CMP;C
hemical Mechanical Polishing)時における絶縁膜11
aの機械的強度の確保、表面保護および耐湿性の確保等
のような機能を有している。絶縁膜12aの厚さは、絶
縁膜11aよりも相対的に薄く、例えば25nm〜10
0nm程度、好ましくは、例えば50nm程度である。
ただし、絶縁膜12aは、酸化シリコン膜に限定される
ものではなく種々変更可能であり、例えば窒化シリコン
(Sixy)膜、炭化シリコン(SiC)膜または炭窒
化シリコン(SiCN)膜を用いても良い。これら窒化
シリコン膜、炭化シリコン膜または炭窒化シリコン膜
は、例えばプラズマCVD法によって形成することがで
きる。プラズマCVD法で形成された炭化シリコン膜と
しては、例えばBLOk(AMAT社製、比誘電率=
4.3)がある。その形成に際しては、例えばトリメチ
ルシランとヘリウム(またはN2、NH3)との混合ガス
を用いる。このような絶縁膜11a,12aには、第1
層配線L1の一部が露出するスルーホール13が穿孔さ
れている。このスルーホール13内には、例えばタング
ステン等からなるプラグ14が埋め込まれている。
On the insulating film 11a made of such a Low-K material, a Low-K cap insulating film 12a is deposited. This insulating film 12a is made of silicon oxide (Si) typified by, for example, silicon dioxide (SiO 2 ).
Ox ) film, for example, a chemical mechanical polishing process (CMP; C
Insulating film 11 during chemical mechanical polishing
It has functions such as ensuring mechanical strength, surface protection and moisture resistance of a. The thickness of the insulating film 12a is relatively thinner than the insulating film 11a, for example, 25 nm to 10 nm.
It is about 0 nm, preferably about 50 nm, for example.
However, the insulating film 12a is can be variously modified without being limited to the silicon oxide film, for example, silicon nitride (Si x N y) film, a silicon carbide (SiC) film or a silicon carbonitride (SiCN) films using May be. These silicon nitride film, silicon carbide film or silicon carbonitride film can be formed by, for example, a plasma CVD method. As the silicon carbide film formed by the plasma CVD method, for example, BLOk (manufactured by AMAT, relative permittivity =
4.3). In the formation, for example, a mixed gas of trimethylsilane and helium (or N 2 , NH 3 ) is used. The insulating films 11a and 12a have the first
A through hole 13 exposing a part of the layer wiring L1 is formed. A plug 14 made of, for example, tungsten or the like is embedded in the through hole 13.

【0060】まず、本実施の形態1においては、上記絶
縁膜12aおよびプラグ14上に絶縁膜(第1絶縁膜)
15aをプラズマCVD法等によって堆積する。絶縁膜
15aは、例えば炭窒化シリコン(SiCN)等のよう
なLow−K材料からなり、そのその厚さは、例えば2
5nm〜50nm程度、好ましくは、例えば50nm程
度である。この場合の絶縁膜15aは、例えばトリメチ
ルシラン(3MS;Si(CH3)3H)とアンモニア
(NH3)とキャリアガス(ヘリウム(He)または窒
素(N2))との混合ガスを用いてプラズマCVD法に
より形成した。また、絶縁膜15aのその他の材料とし
て、例えば炭化シリコン(SiC)または酸窒化シリコ
ン(SiON)を用いても良い。絶縁膜15aを炭化シ
リコンとする場合は、例えばトリメチルシランとキャリ
アガス(ヘリウム)との混合ガスを用いたプラズマCV
D法により形成する。また、絶縁膜15aを酸窒化シリ
コンとする場合は、例えばトリメトキシシラン(TM
S;SiH(OCH33)と酸化窒素(N2O)との混
合ガスを用いたプラズマCVD法により形成する。酸窒
化シリコンとして、例えばPE−TMS(Canon
製、誘電率=3.9)等がある。一般的に絶縁膜15a
は、窒化シリコン膜等で形成されているが、本実施の形
態1では、炭窒化シリコン、炭化シリコンまたは酸窒化
シリコン等を用いることにより、誘電率を大幅に下げる
ことができるので、配線容量を下げることができ、半導
体集積回路装置の動作速度を向上させることができる。
First, in the first embodiment, an insulating film (first insulating film) is formed on the insulating film 12a and the plug 14.
15a is deposited by a plasma CVD method or the like. The insulating film 15a is made of a Low-K material such as silicon carbonitride (SiCN), and has a thickness of, for example, 2.
It is about 5 nm to 50 nm, preferably about 50 nm, for example. The insulating film 15a of the case, for example, trimethylsilane; using a mixed gas of (3MS Si (CH 3) 3H ) and ammonia (NH 3) as a carrier gas (helium (He) or nitrogen (N 2)) Plasma It was formed by a CVD method. Further, as another material of the insulating film 15a, for example, silicon carbide (SiC) or silicon oxynitride (SiON) may be used. When the insulating film 15a is made of silicon carbide, for example, a plasma CV using a mixed gas of trimethylsilane and a carrier gas (helium) is used.
Formed by Method D. When the insulating film 15a is made of silicon oxynitride, for example, trimethoxysilane (TM)
S; formed by a plasma CVD method using a mixed gas of SiH (OCH 3 ) 3 ) and nitrogen oxide (N 2 O). As silicon oxynitride, for example, PE-TMS (Canon
And dielectric constant = 3.9). Generally, the insulating film 15a
Is formed of a silicon nitride film or the like. In the first embodiment, the dielectric constant can be significantly reduced by using silicon carbonitride, silicon carbide, silicon oxynitride, or the like. The operating speed of the semiconductor integrated circuit device can be improved.

【0061】続いて、絶縁膜15a上に、絶縁膜11
b,12bを下層から順に堆積する。絶縁膜11bは、
上記絶縁膜11aと同じ低誘電率性絶縁膜からなる。ま
た、その上層の絶縁膜12bは、上記絶縁膜12aと同
じ絶縁膜からなり、同じLow−Kキャップ用の絶縁膜
として機能する。その後、フォトレジスト膜をマスクに
したドライエッチング法により、絶縁膜11b,12b
を選択的に除去し、配線溝(配線開口部)16aを形成
する(図5の工程100)。配線溝16aを形成するに
は、フォトレジスト膜から露出する絶縁膜11b,12
bを除去する際に、絶縁膜11b,12bと、絶縁膜1
5aとのエッチング選択比を大きくとることで、絶縁膜
15aをエッチングストッパとして機能させる。すなわ
ち、この絶縁膜15aの表面でエッチングを一旦停止さ
せた後、絶縁膜15aを選択的にエッチング除去する。
これにより、配線溝16aの形成深さ精度を向上させる
ことができ、配線溝16aの掘り過ぎを防止できる。こ
のような配線溝16aは、その平面形状が、図6に示す
ように、例えば帯状に形成されている。配線溝16aの
底面からは上記プラグ14の上面が露出されている。ま
た、図6では、その最も右側の配線溝16aが、他の配
線溝16aよりも幅広とされている場合が例示されてい
る。
Subsequently, the insulating film 11 is formed on the insulating film 15a.
b and 12b are sequentially deposited from the lower layer. The insulating film 11b
It is made of the same low dielectric constant insulating film as the insulating film 11a. The upper insulating film 12b is made of the same insulating film as the insulating film 12a, and functions as an insulating film for the same Low-K cap. Thereafter, the insulating films 11b and 12b are formed by dry etching using a photoresist film as a mask.
Is selectively removed to form a wiring groove (wiring opening) 16a (step 100 in FIG. 5). In order to form the wiring groove 16a, the insulating films 11b and 12 exposed from the photoresist film are formed.
When removing b, the insulating films 11b and 12b and the insulating film 1
By increasing the etching selectivity with respect to 5a, the insulating film 15a functions as an etching stopper. That is, after the etching is temporarily stopped on the surface of the insulating film 15a, the insulating film 15a is selectively etched away.
Thus, the accuracy of the formation depth of the wiring groove 16a can be improved, and excessive digging of the wiring groove 16a can be prevented. Such a wiring groove 16a has, for example, a band shape as shown in FIG. The upper surface of the plug 14 is exposed from the bottom surface of the wiring groove 16a. FIG. 6 illustrates a case where the rightmost wiring groove 16a is wider than the other wiring grooves 16a.

【0062】次に、図8は、図6に続く半導体集積回路
装置の製造工程中における図6のX1−X1線に相当す
る部分の断面図を示している。また、図9は、図8に続
く半導体集積回路装置の製造工程中における図6のX1
−X1線に相当する部分の断面図である。
FIG. 8 is a sectional view of a portion corresponding to the line X1-X1 in FIG. 6 during the manufacturing process of the semiconductor integrated circuit device following FIG. FIG. 9 is a cross-sectional view of the semiconductor integrated circuit device taken along the line X1 in FIG.
It is sectional drawing of the part corresponding to -X1 line.

【0063】まず、図8に示すように、ウエハ1Wの主
面上の全面に、例えば窒化チタン(TiN)等からなる
厚さ50nm程度の薄い導電性バリア膜(第1導体膜)
17aをスパッタリング法等で堆積する(図5の工程1
01)。この導電性バリア膜17aは、例えば後述の主
導体膜形成用の銅の拡散を防止する機能、その主導体膜
と絶縁膜11b,12a,12b,15aとの密着性を
向上させる機能および主導体膜のリフロー時に銅の濡れ
性を向上させる機能等を有している。このような導電性
バリア膜17aとしては、上記窒化チタンに代えて、銅
と殆ど反応しない窒化タングステン(WN)または窒化
タンタル(TaN)等のような高融点金属窒化物を用い
ることが好ましい。また、その窒化チタンに代えて、高
融点金属窒化物にシリコン(Si)を添加した材料や、
銅と反応し難いタンタル(Ta)、チタン(Ti)、タ
ングステン(W)、チタンタングステン(TiW)合金
等のような高融点金属を用いることもできる。また、本
実施の形態1によれば、導電性バリア膜17aの膜厚
を、例えば10nm、それよりも小さい6〜7nmまた
は5nm以下としても良好なTDDB特性を得ることが
できる。
First, as shown in FIG. 8, a thin conductive barrier film (first conductive film) made of, for example, titanium nitride (TiN) having a thickness of about 50 nm is formed on the entire main surface of the wafer 1W.
17a is deposited by a sputtering method or the like (step 1 in FIG. 5).
01). The conductive barrier film 17a has, for example, a function of preventing diffusion of copper for forming a main conductor film described later, a function of improving adhesion between the main conductor film and the insulating films 11b, 12a, 12b, 15a, and a function of the main conductor film. It has a function of improving the wettability of copper when the film is reflowed. As such a conductive barrier film 17a, it is preferable to use a high melting point metal nitride such as tungsten nitride (WN) or tantalum nitride (TaN), which hardly reacts with copper, instead of titanium nitride. In addition, instead of the titanium nitride, a material obtained by adding silicon (Si) to a refractory metal nitride,
A high melting point metal such as tantalum (Ta), titanium (Ti), tungsten (W), titanium tungsten (TiW) alloy, or the like, which does not easily react with copper can be used. According to the first embodiment, good TDDB characteristics can be obtained even when the thickness of the conductive barrier film 17a is, for example, 10 nm, which is smaller than 6 to 7 nm, or 5 nm or less.

【0064】続いて、導電性バリア膜17a上に、例え
ば厚さ800〜1600nm程度の相対的に厚い銅から
なる主導体膜(第2導体膜)18aを堆積する(図5の
工程102)。本実施の形態1では、主導体膜18a
を、例えばメッキ法で形成した。メッキ法を用いること
により、良好な膜質の主導体膜18aを埋め込み性良
く、かつ、低コストで形成することができる。この場
合、まず、導電性バリア膜17a上に、銅からなる薄い
導体膜をスパッタリング法で堆積した後、その上に、銅
からなる相対的に厚い導体膜を、例えば電解メッキ法ま
たは無電解メッキ法によって成長させることで主導体膜
18aを堆積した。このメッキ処理では、例えば硫酸銅
を基本とするメッキ液を使用した。ただし、上記主導体
膜18aをスパッタリング法で形成することもできる。
この導電性バリア膜17aおよび主導体膜18aを形成
するためのスパッタリング法としては、通常のスパッタ
リング法でも良いが、埋込み性および膜質の向上を図る
上では、例えばロングスロースパッタリング法やコリメ
ートスパッタリング法等のような指向性の高いスパッタ
リング法を用いることが好ましい。また、主導体膜18
aをCVD法で形成することもできる。その後、例えば
475℃程度の非酸化性雰囲気(例えば水素雰囲気)中
において基板1Sに対して熱処理を施すことにより主導
体膜18aをリフローさせ、銅を配線溝16aの内部に
隙間なく埋め込む。
Subsequently, a main conductor film (second conductor film) 18a made of copper and having a relatively large thickness of, for example, about 800 to 1600 nm is deposited on the conductive barrier film 17a (step 102 in FIG. 5). In the first embodiment, the main conductor film 18a
Was formed by, for example, a plating method. By using the plating method, the main conductor film 18a having good film quality can be formed with good embedding property and at low cost. In this case, first, a thin conductor film made of copper is deposited on the conductive barrier film 17a by a sputtering method, and then a relatively thick conductor film made of copper is formed thereon by, for example, an electrolytic plating method or an electroless plating method. The main conductor film 18a was deposited by growing by a method. In this plating process, for example, a plating solution based on copper sulfate was used. However, the main conductor film 18a can also be formed by a sputtering method.
As a sputtering method for forming the conductive barrier film 17a and the main conductor film 18a, a normal sputtering method may be used. It is preferable to use a sputtering method having a high directivity as described above. Also, the main conductor film 18
a can also be formed by a CVD method. Thereafter, the main conductor film 18a is reflowed by subjecting the substrate 1S to a heat treatment in a non-oxidizing atmosphere (for example, a hydrogen atmosphere) at, for example, about 475 ° C., and copper is buried without gaps in the wiring grooves 16a.

【0065】次に、主導体膜18aおよび導電性バリア
膜17aをCMP法によって研磨する(図5の工程10
3)。本実施の形態1においては、CMP法として、例
えば上記砥粒フリーCMP(第1ステップ)および有砥
粒CMP(第2ステップ)の2ステップCMP法を用い
る。すなわち、例えば次の通りである。
Next, the main conductor film 18a and the conductive barrier film 17a are polished by the CMP method (Step 10 in FIG. 5).
3). In the first embodiment, as the CMP method, for example, a two-step CMP method of the above-mentioned abrasive-free CMP (first step) and abrasive-grained CMP (second step) is used. That is, for example, as follows.

【0066】まず、第1ステップは、銅からなる主導体
膜18aを選択的に研磨することを目的とている。研磨
液(スラリ)中には、保護膜形成用の防蝕剤、銅の酸化
剤および銅の酸化膜をエッチングする成分が含まれてい
るが、砥粒は含まれていない。研磨液中の砥粒の含有量
は、例えば0.5重量%以下または0.1重量%以下の
ものが好ましく、特に0.05重量%以下あるいは0.
01重量%以下のものはさらに好ましい。ただし、砥粒
を研磨剤全体の3〜4%程度含ませても良い。研磨液と
しては、銅の腐食域に属するようにそのpHが調整され
たものが使用され、さらに導電性バリア膜17aに対す
る主導体膜18aの研磨選択比が、例えば少なくとも5
以上となるようにその組成が調整されたものが使用され
る。このような研磨液として、酸化剤と有機酸とを含ん
だスラリを例示することができる。酸化剤としては、過
酸化水素(H22)、水酸化アンモニウム、硝酸アンモ
ニウム、塩化アンモニウムなどを例示することができ、
有機酸としては、クエン酸、マロン酸、フマル酸、リン
ゴ酸、アジピン酸、安息香酸、フタル酸、酒石酸、乳
酸、コハク酸、シュウ酸などを例示することができる。
これらのうち、過酸化水素は金属成分を含まず、かつ強
酸ではないため、研磨液に用いて好適な酸化剤である。
また、クエン酸は食品添加物としても一般に使用されて
おり、毒性が低く、廃液としての害も低く、臭いもな
く、水への溶解度も高いため、研磨液に用いて好適な有
機酸である。本実施の形態では、例えば純水に5体積%
の過酸化水素と0.03重量%のクエン酸とを加え、砥
粒の含有量を0.01重量%未満にした研磨液を使用す
る。防蝕剤としては、例えばBTAが用いられている。
First, the first step aims at selectively polishing the main conductor film 18a made of copper. The polishing liquid (slurry) contains an anticorrosive for forming a protective film, an oxidizing agent for copper, and a component for etching a copper oxide film, but does not contain abrasive grains. The content of the abrasive grains in the polishing liquid is, for example, preferably 0.5% by weight or less or 0.1% by weight or less, particularly preferably 0.05% by weight or less or 0.1% by weight or less.
Those having a content of 01% by weight or less are more preferred. However, abrasive grains may be contained in an amount of about 3 to 4% of the entire abrasive. As the polishing liquid, a polishing liquid whose pH is adjusted so as to belong to a corrosive region of copper is used, and the polishing selection ratio of the main conductor film 18a to the conductive barrier film 17a is, for example, at least 5
A composition whose composition is adjusted as described above is used. As such a polishing liquid, a slurry containing an oxidizing agent and an organic acid can be exemplified. Examples of the oxidizing agent include hydrogen peroxide (H 2 O 2 ), ammonium hydroxide, ammonium nitrate, and ammonium chloride.
Examples of the organic acid include citric acid, malonic acid, fumaric acid, malic acid, adipic acid, benzoic acid, phthalic acid, tartaric acid, lactic acid, succinic acid, and oxalic acid.
Of these, hydrogen peroxide does not contain metal components and is not a strong acid, so it is a suitable oxidizing agent for use in polishing liquids.
In addition, citric acid is also commonly used as a food additive, has low toxicity, has low harm as a waste liquid, has no odor, and has high solubility in water, so it is a suitable organic acid for use in a polishing liquid. . In this embodiment, for example, 5% by volume in pure water
Of hydrogen peroxide and 0.03% by weight of citric acid to reduce the content of abrasive grains to less than 0.01% by weight. As the anticorrosion agent, for example, BTA is used.

【0067】この第1ステップの砥粒フリーCMPで
は、主導体膜18aの保護作用とエッチング作用との両
方を生じさせながら主導体膜18aを主に化学的要素で
研磨する。すなわち、上記研磨液で化学機械研磨を行う
と、まず銅表面が酸化剤によって酸化され、表面に薄い
酸化層が形成される。次に酸化物を水溶性化する物質が
供給されると上記酸化層が水溶液となって溶出し、上記
酸化層の厚さか減る。酸化層が薄くなった部分は再度酸
化性物質に晒されて酸化層の厚さが増し、この反応を繰
り返して化学機械研磨が進行する。保護膜の除去は主に
研磨パッドとの接触で行われる。
In the abrasive grain-free CMP of the first step, the main conductor film 18a is mainly polished with a chemical element while producing both the protection effect and the etching effect of the main conductor film 18a. That is, when the chemical mechanical polishing is performed with the polishing liquid, the copper surface is first oxidized by the oxidizing agent, and a thin oxide layer is formed on the surface. Next, when a substance for making the oxide water-soluble is supplied, the oxide layer is eluted as an aqueous solution, and the thickness of the oxide layer is reduced. The portion where the oxide layer has become thinner is again exposed to an oxidizing substance to increase the thickness of the oxide layer. This reaction is repeated, and chemical mechanical polishing proceeds. The removal of the protective film is mainly performed by contact with the polishing pad.

【0068】研磨の条件は、一例として荷重=250g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=150cc/minとし、研磨パッド
は、例えば米国ロデール(Rodel) 社の硬質パッド(IC
1400)を使用した。この研磨パッドは、平坦性を上
げる観点から硬質パッドを採用したが、軟質パッドを使
用しても良い。研磨の終点は、主導体膜18aが除去さ
れて下地の導電性バリア膜17aが露出した時点とし、
終点の検出は、研磨対象が主導体膜18aから導電性バ
リア膜17aになったときに変化する定盤またはウエハ
キャリアの回転トルク信号強度を検出することによって
行う。また、研磨パッドの一部に穴を開け、ウエハ1W
の表面からの光反射スペクトル変化に基づいて終点を検
出したり、スラリの光学的スペクトル変化に基づいて終
点を検出したりしても良い。
The polishing conditions are, for example, a load = 250 g.
/ cm 2 , wafer carrier rotation speed = 30 rpm, surface plate rotation speed =
The polishing pad was, for example, a hard pad (Rodel, USA) (IC: 25 rpm, slurry flow rate = 150 cc / min).
1400) was used. This polishing pad employs a hard pad from the viewpoint of improving flatness, but may use a soft pad. The end point of the polishing is a point in time when the main conductive film 18a is removed and the underlying conductive barrier film 17a is exposed,
The end point is detected by detecting the rotational torque signal intensity of the surface plate or the wafer carrier that changes when the polishing target changes from the main conductor film 18a to the conductive barrier film 17a. Also, a hole is made in a part of the polishing pad, and the wafer 1W
The end point may be detected based on the change in the light reflection spectrum from the surface, or the end point may be detected based on the change in the optical spectrum of the slurry.

【0069】このような研磨処理において、銅からなる
主導体膜18aの研磨速度は、例えば500nm/mi
n程度、導電性バリア膜18aの研磨速度は、例えば3
nm/min程度である。研磨時間は、主導体膜18a
の膜厚によって異なるので一概には言えないが、例えば
上記の膜厚で2〜4分程度である。
In such a polishing process, the polishing rate of the main conductor film 18a made of copper is, for example, 500 nm / mi.
n, the polishing rate of the conductive barrier film 18a is, for example, 3
It is about nm / min. The polishing time depends on the main conductor film 18a.
Although it cannot be said unconditionally because it differs depending on the film thickness of, for example, the above film thickness is about 2 to 4 minutes.

【0070】続く第2ステップは、導電性バリア膜17
aを選択的に研磨することを目的としている。この第2
ステップでは、導電性バリア膜17aを研磨パッドの接
触により主として機械的要素で研磨する。ここでは、研
磨液として上記防蝕剤、上記酸化剤および酸化膜をエッ
チングする成分の他に、砥粒が含まれている。本実施の
形態1では、研磨液として、例えば純水に5体積%の過
酸化水素、0.03重量%のクエン酸および0.5〜
0.8重量%の砥粒を混合したものを使用するが、これ
に限定されるものではない。この砥粒の添加量は、主と
して下地の絶縁膜12bが削られないような量に設定さ
れており、その量は、例えば1重量%以下にされてい
る。砥粒としては、例えばコロイダルシリカ(Si
2)が使用されている。この砥粒としてコロイダルシ
リカを用いることにより、CMP処理による絶縁膜12
bの研磨面の損傷を大幅に低減でき、スクラッチフリー
を実現できる。また、この第2ステップでは、酸化剤の
量を第1ステップ時の酸化剤の量よりも減らしている。
すなわち、研磨液中の防蝕剤の量を相対的に増やしてい
る。そして、導電性バリア膜17aに対する主導体膜1
8aの研磨選択比が前記砥粒フリー化学機械研磨のそれ
よりも低い条件、例えば選択比3以下の条件で研磨を行
う。このような条件で研磨することにより、第2ステッ
プにおいては、銅からなる主導体膜18aの酸化を抑え
つつ、保護を強化することができるので、主導体膜18
aが過剰に研磨されないようにすることができ、ディッ
シングやエロージョン等を抑制または防止することが可
能となっている。これにより、配線抵抗の増大やバラツ
キを抑制または防止できるので、半導体集積回路装置の
性能を向上させることができる。
The subsequent second step is to form the conductive barrier film 17.
It is intended to selectively polish a. This second
In the step, the conductive barrier film 17a is polished mainly by mechanical elements by contact with a polishing pad. Here, abrasive grains are contained in addition to the corrosion inhibitor, the oxidizing agent, and the component for etching the oxide film as a polishing liquid. In the first embodiment, for example, 5% by volume of hydrogen peroxide, 0.03% by weight of citric acid, and 0.5 to
A mixture of 0.8% by weight of abrasive grains is used, but is not limited thereto. The added amount of the abrasive grains is set to an amount that does not mainly remove the underlying insulating film 12b, and the amount is, for example, 1% by weight or less. As the abrasive, for example, colloidal silica (Si
O 2 ) is used. By using colloidal silica as the abrasive, the insulating film 12 formed by the CMP process can be used.
The damage to the polished surface b can be greatly reduced, and scratch-free can be realized. In the second step, the amount of the oxidizing agent is smaller than that in the first step.
That is, the amount of the corrosion inhibitor in the polishing liquid is relatively increased. Then, the main conductor film 1 with respect to the conductive barrier film 17a
Polishing is performed under the condition that the polishing selection ratio of 8a is lower than that of the above-mentioned abrasive-free chemical mechanical polishing, for example, the selection ratio is 3 or less. By polishing under such conditions, in the second step, protection can be strengthened while suppressing oxidation of the main conductor film 18a made of copper.
a can be prevented from being excessively polished, and dishing and erosion can be suppressed or prevented. As a result, an increase or variation in wiring resistance can be suppressed or prevented, so that the performance of the semiconductor integrated circuit device can be improved.

【0071】第2ステップの研磨の条件は、一例として
荷重=120g/cm2、ウエハキャリア回転数=30rpm
、定盤回転数=25rpm 、スラリ流量=150cc/min
とし、研磨パッドは、例えばロデール社のIC1400
を使用した。研磨量は導電性バリア膜17aの膜厚相当
分とし、研磨の終点は、導電性バリア膜17aの膜厚お
よび研磨速度から算出した時間によって制御する。
The polishing conditions in the second step are, for example, a load = 120 g / cm 2 , a wafer carrier rotation speed = 30 rpm.
, Platen rotation speed = 25rpm, slurry flow rate = 150cc / min
The polishing pad is, for example, IC 1400 manufactured by Rodale.
It was used. The polishing amount is equivalent to the film thickness of the conductive barrier film 17a, and the polishing end point is controlled by the time calculated from the film thickness of the conductive barrier film 17a and the polishing rate.

【0072】このような研磨処理において、導電性バリ
ア膜17aの研磨速度は、例えば80nm/min程
度、銅からなる主導体膜18aの研磨速度は、例えば7
nm/min程度、下地の絶縁膜12bの研磨速度は、
例えば3nm/min程度である。研磨時間は、導電性
バリア膜17aの膜厚によって異なるので一概には言え
ないが、例えば上記の膜厚で1分程度である。また、上
記砥粒は、コロイダルシリカに代えて、アルミナ(Al
23)を用いることもできる。なお、上記のような砥粒
フリーの研磨液を使用した化学機械研磨については、本
願発明者などによる特願平9−299937号、特願平
10−317233号、USP6117775、USP
6326299に詳しく記載されている。
In such a polishing treatment, the polishing rate of the conductive barrier film 17a is, for example, about 80 nm / min, and the polishing rate of the main conductor film 18a made of copper is, for example, 7 nm.
The polishing rate of the underlying insulating film 12b is about nm / min.
For example, it is about 3 nm / min. The polishing time varies depending on the thickness of the conductive barrier film 17a and cannot be unconditionally determined, but is, for example, about 1 minute at the above-described thickness. In addition, the above-mentioned abrasive grains are made of alumina (Al) instead of colloidal silica.
2 O 3 ) can also be used. The chemical mechanical polishing using the above abrasive-free polishing liquid is disclosed in Japanese Patent Application Nos. 9-299937, 10-317233, US Pat. No. 6,117,775, US Pat.
No. 6,326,299.

【0073】以上のようなCMP処理により、図9に示
すように、配線溝16a内に埋込第2層配線L2を形成
する。埋込第2層配線L2は、相対的に薄い導電性バリ
ア膜17aと、相対的に厚い主導体膜18aとを有して
おり、プラグ14を通じて第1層配線L1と電気的に接
続されている。本実施の形態1によれば、埋込第2層配
線L2を形成するための研磨処理において、上記のよう
なCMP法を採用することにより、CMP処理による絶
縁膜12bの研磨面の損傷を大幅に低減でき、上記スク
ラッチフリーな研磨が可能となる。上述の例では、Lo
w−K材料の絶縁膜11b上に、絶縁キャップ用の絶縁
膜12bを設けたが、本実施の形態1のCMP法によれ
ばスクラッチフリー研磨が可能なので、上記絶縁キャッ
プ用の絶縁膜12bを設けない構造とすることもでき
る。すなわち、CMP面に絶縁膜11bが露出される構
造としても良い。また、図9では、その最も右側の埋込
第2層配線L2が、他の埋込第2層配線L2よりも幅広
(例えば幅が4μmまたはそれ以上)とされている場合
が例示されている。なお、埋込第2層配線L2の側面に
は、基板1Sの主面から離間する方向に向かって配線幅
が次第に広くなるようなテーパが形成されている。この
埋込第2層配線L2の側面と絶縁膜11aの上面との成
す角は、例えば80°〜90°の範囲内、具体的には、
例えば88.7°程度である。埋込第2層配線L2の上
部側の幅(配線溝16aの上部側幅)および互いに隣接
する埋込第2層配線L2の上部側の間隔(互いに隣接埋
する埋込第2層配線L2の上部角間の距離)は、例えば
0.25μm以下、あるいは0.2μm以下である。ま
た、互いに隣接する埋込第2層配線L2の最小隣接ピッ
チは、例えば0.5μm以下である。配線溝16aのア
スペクト比は、例えば1である。
By the above-described CMP process, as shown in FIG. 9, a buried second layer wiring L2 is formed in the wiring groove 16a. The buried second layer wiring L2 has a relatively thin conductive barrier film 17a and a relatively thick main conductor film 18a, and is electrically connected to the first layer wiring L1 through the plug 14. I have. According to the first embodiment, in the polishing process for forming the buried second layer wiring L2, damage to the polished surface of the insulating film 12b due to the CMP process is greatly reduced by employing the above-described CMP method. And the above scratch-free polishing becomes possible. In the above example, Lo
The insulating film 12b for the insulating cap is provided on the insulating film 11b of the wK material. However, according to the CMP method of the first embodiment, since the scratch-free polishing can be performed, the insulating film 12b for the insulating cap is formed. It is also possible to adopt a structure not provided. That is, a structure in which the insulating film 11b is exposed on the CMP surface may be employed. FIG. 9 illustrates a case where the rightmost embedded second-layer wiring L2 is wider (eg, 4 μm or more in width) than the other embedded second-layer wiring L2. . Note that a taper is formed on the side surface of the buried second layer wiring L2 so that the wiring width gradually increases in a direction away from the main surface of the substrate 1S. The angle formed between the side surface of the buried second layer wiring L2 and the upper surface of the insulating film 11a is, for example, in the range of 80 ° to 90 °, specifically,
For example, it is about 88.7 °. The width on the upper side of the buried second layer wiring L2 (the upper side width of the wiring groove 16a) and the interval on the upper side of the buried second layer wiring L2 adjacent to each other (for the buried second layer wiring L2 buried adjacent to each other) The distance between the upper corners) is, for example, 0.25 μm or less, or 0.2 μm or less. The minimum adjacent pitch between the buried second layer wirings L2 adjacent to each other is, for example, 0.5 μm or less. The aspect ratio of the wiring groove 16a is, for example, 1.

【0074】上記の研磨が終了したウエハ1Wは、その
表面に防蝕処理が施される。この防蝕処理部は、研磨処
理部の構成と類似した構成になっており、ここでは、ま
ず研磨盤(プラテン)の表面に取り付けた研磨パッドに
ウエハ1Wの主面が押し付けられて研磨スラリが機械的
に除去された後、例えばベンゾトリアゾール(BTA)
などの防蝕剤を含んだ薬液がウエハ1Wの主面に供給さ
れることによって、ウエハ1Wの主面に形成された銅配
線の表面部分に疎水性保護膜が形成される。
The wafer 1W that has been polished as described above is subjected to anticorrosion treatment on its surface. The anti-corrosion processing section has a configuration similar to the configuration of the polishing processing section. Here, first, the main surface of the wafer 1W is pressed against a polishing pad attached to the surface of a polishing board (platen), and a polishing slurry is mechanically formed. After removal, eg benzotriazole (BTA)
By supplying a chemical containing an anticorrosive agent to the main surface of the wafer 1W, a hydrophobic protective film is formed on the surface of the copper wiring formed on the main surface of the wafer 1W.

【0075】防蝕処理が終了したウエハ1Wは、その表
面の乾燥を防ぐために、浸漬処理部に一時的に保管され
る。浸漬処理部は、防蝕処理が終了したウエハ1Wを後
洗浄するまでの間、その表面が乾燥しないように維持す
るためのもので、例えば純水をオーバーフローさせた浸
漬槽(ストッカ)の中に所定枚数のウエハ1Wを浸漬さ
せて保管する構造になっている。このとき、埋込第2層
配線L2の電気化学的腐蝕反応が実質的に進行しない程
度の低温に冷却した純水を浸漬槽に供給することによ
り、埋込第2層配線L2の腐蝕をより一層確実に防止す
ることができる。ウエハ1Wの乾燥防止は、例えば純水
シャワーの供給など、少なくともウエハ1Wの表面を湿
潤状態に保持することのできる方法であれば、上記した
浸漬槽中での保管以外の方法で行っても良い。
The wafer 1W which has been subjected to the anticorrosion treatment is temporarily stored in an immersion treatment section in order to prevent the surface from drying. The immersion processing section is for maintaining the surface of the wafer 1W after the anticorrosion processing is not dried until the wafer 1W is post-cleaned, and is, for example, placed in a immersion tank (stocker) in which pure water overflows. The number of wafers 1W is soaked and stored. At this time, by supplying pure water cooled to such a low temperature that the electrochemical corrosion reaction of the buried second layer wiring L2 does not substantially proceed, the corrosion of the buried second layer wiring L2 can be further improved. This can be prevented more reliably. The prevention of drying of the wafer 1W may be performed by a method other than the above-described storage in the immersion tank as long as at least the surface of the wafer 1W can be maintained in a wet state, for example, by supplying a pure water shower. .

【0076】その後、ウエハ1Wの表面の湿潤状態が保
たれた状態で直ちにCMP後洗浄処理に移行する(図5
の工程104)。まず、ウエハ1Wに対してアルカリ洗
浄処理を施す。この処理は、CMP処理時のスラリ等の
異物を除去する目的を有しており、CMP処理によりウ
エハ1Wに付着した酸性スラリを中和し、ウエハ1S
と、異物と、洗浄用のブラシとのzeta電位を方向を
揃えて、それらの間の吸着力をなくすために、例えばp
H(ペーハー:水素イオン指数)8程度またはそれ以上
の弱アルカリ薬液を供給しながら、ウエハ1Wの表面を
スクラブ洗浄(またはブラシ洗浄)する。アルカリ薬液
として、例えばアミノエタノール(DAE(Diluted Am
ino Ethanol)、組成:2−Aminoethanol、H2NCH2
CH2OH、濃度:0.001〜0.1%程度、好まし
くは0.01%)を用いた。この薬液は、銅のエッチン
グ作用が少なく、NH4OHと同等の洗浄力を有する。
この洗浄処理では、ロール型洗浄方式を採用した。ただ
し、これに限定されるものではなく種々変更可能であ
り、例えばアルカリ洗浄に際してディスク型洗浄方式を
採用することもできる。また、酸洗浄に際してディスク
型洗浄方式やペン型洗浄方式を採用することもできる。
Thereafter, immediately after the surface of the wafer 1W is kept wet, the process proceeds to the post-CMP cleaning process (FIG. 5).
Step 104). First, an alkali cleaning process is performed on the wafer 1W. This processing has the purpose of removing foreign substances such as slurry during the CMP processing, neutralizes the acidic slurry attached to the wafer 1W by the CMP processing, and removes the wafer 1S.
In order to equalize the zeta potentials of the foreign matter, the foreign matter, and the brush for cleaning, and to eliminate the attraction force between them, for example, p
The surface of the wafer 1W is scrub-cleaned (or brush-cleaned) while supplying a weak alkaline chemical solution of about H (pH: hydrogen ion index) of about 8 or more. As the alkaline chemical, for example, aminoethanol (DAE (Diluted Am
ino Ethanol), composition: 2-Aminoethanol, H 2 NCH 2
CH 2 OH, concentration: about 0.001 to 0.1%, preferably 0.01%) was used. This chemical has a small copper etching effect and has the same detergency as NH 4 OH.
In this cleaning process, a roll-type cleaning method was employed. However, the present invention is not limited to this, and various changes can be made. For example, a disk-type cleaning method can be adopted for alkali cleaning. In addition, a disk-type cleaning method or a pen-type cleaning method can be used for acid cleaning.

【0077】次に、図10は、図9に続く半導体集積回
路装置の製造工程中における図6のX1−X1線に相当
する部分の断面図である。ここでは、まず、ウエハ1W
(特に埋込第2層配線L2が露出するCMP研磨面)に
対して還元処理を施す。すなわち、ウエハ1W(特にC
MP研磨面)に対して、例えば水素ガス雰囲気中で、例
えば200〜475℃、好ましくは300℃、例えば
0.5〜5分、好ましくは2分程度の熱処理を施した
(水素(H2)アニール処理:図5の工程105)。こ
れにより、CMP時に発生した埋込第2層配線L2表面
の酸化銅膜を銅に還元することができ、その後の酸洗浄
による埋込第2層配線L2のエッチングを抑制または防
止することができる。このため、配線抵抗の上昇、配線
抵抗のばらつきおよび段差の発生を同時に抑制または防
止でき、さらに、エッチコロージョンの発生も抑制また
は防止できる。また、還元処理を行わない場合、CMP
処理時にウエハ1Wの表面に付着したBTA等のような
有機物が洗浄処理に際してマスクとなり絶縁膜12bの
表層を良好に削りとることができない場合があるが、本
実施の形態1のように還元処理を行うことにより、CM
P時に付着したBTA等の有機物を除去することができ
るので、絶縁膜12bの表層を、充分に、かつ、均一に
除去することができる。これらにより、半導体集積回路
装置のTDDB寿命を大幅に向上させることが可能とな
る。なお、場合によっては、上記のような水素アニール
を施さなくても良い場合もある。
Next, FIG. 10 is a cross-sectional view of a portion corresponding to the line X1-X1 in FIG. 6 during the manufacturing process of the semiconductor integrated circuit device following FIG. Here, first, the wafer 1W
A reduction process is performed on the CMP polished surface where the buried second layer wiring L2 is exposed. That is, the wafer 1W (particularly C
The MP polishing surface) was subjected to a heat treatment in a hydrogen gas atmosphere, for example, at 200 to 475 ° C., preferably 300 ° C., for example, for 0.5 to 5 minutes, and preferably for about 2 minutes (hydrogen (H 2 )). Annealing treatment: Step 105 in FIG. 5). Thereby, the copper oxide film on the surface of the buried second layer wiring L2 generated during the CMP can be reduced to copper, and the etching of the buried second layer wiring L2 due to the subsequent acid cleaning can be suppressed or prevented. . For this reason, it is possible to simultaneously suppress or prevent the increase in the wiring resistance, the variation in the wiring resistance, and the occurrence of the step, and also to suppress or prevent the occurrence of the etch corrosion. If no reduction treatment is performed, CMP
In some cases, organic substances such as BTA attached to the surface of the wafer 1W at the time of processing serve as a mask during the cleaning processing, and the surface layer of the insulating film 12b cannot be satisfactorily removed. By doing, CM
Since organic substances such as BTA attached at the time of P can be removed, the surface layer of the insulating film 12b can be sufficiently and uniformly removed. As a result, the TDDB life of the semiconductor integrated circuit device can be significantly improved. In some cases, it may not be necessary to perform the hydrogen annealing as described above.

【0078】続いて、ウエハ1Wに対して酸洗浄処理を
施す(図5の工程106)。この処理は、TDDB特性
の向上、残留金属除去、絶縁膜12b表面のダングリン
グボンドの低減および絶縁膜12b表面の凹凸除去等の
目的を有しており、フッ酸水溶液をウエハ1Wの表面に
供給してエッチングによる異物粒子(パーティクル)の
除去を行う。フッ酸洗浄を挿入しただけでもTDDB特
性を改善できる。これは、酸処理により表面のダメージ
層が除去されて界面の密着性が向上したためと考えられ
る。フッ酸(HF)洗浄は、例えばブラシスクラブ洗浄
を用い、HF濃度を0.5%、洗浄時間を20秒の条件
が選択できる。
Subsequently, an acid cleaning process is performed on the wafer 1W (Step 106 in FIG. 5). This treatment has the purpose of improving TDDB characteristics, removing residual metal, reducing dangling bonds on the surface of the insulating film 12b, removing irregularities on the surface of the insulating film 12b, and supplying an aqueous hydrofluoric acid solution to the surface of the wafer 1W. Then, foreign particles (particles) are removed by etching. The TDDB characteristic can be improved only by inserting hydrofluoric acid cleaning. This is probably because the acid treatment removed the damaged layer on the surface and improved the adhesion at the interface. For the hydrofluoric acid (HF) cleaning, for example, brush scrub cleaning is used, and the conditions of an HF concentration of 0.5% and a cleaning time of 20 seconds can be selected.

【0079】本発明者らの実験によれば、アルカリ洗
浄、水素アニールおよび酸洗浄のシーケンスのTDDB
特性は、アルカリ洗浄と酸洗浄との連続シーケンスのT
DDB特性と比較し、約2桁向上することが明らかとさ
れた。層間絶縁膜に低誘電率の絶縁材料を用いた埋込銅
配線構造の信頼性を考慮すると、2桁のTDDB寿命の
向上は、非常に有効なプロセスである。アルカリ洗浄と
酸洗浄との間に、水素アニールを挿入することにより、
TDDB寿命が向上する理由として、CMP時に付着す
るBTA等の有機物が除去されるため等が考えられる。
有機物が付着したまま酸洗浄を行うと、TDDB寿命を
左右する隣接絶縁膜表面のクリーニング(リフトオフ)
が充分にできないと推定される。一方、本実施の形態1
では水素アニール処理を行ってから洗浄処理を行うた
め、絶縁膜の表層を、充分に、かつ、均一にリフトオフ
することができ、TDDB寿命を向上させることが可能
となる。
According to the experiments of the present inventors, the TDDB of the sequence of alkali cleaning, hydrogen annealing and acid cleaning
The characteristic is the T of continuous sequence of alkali cleaning and acid cleaning.
It was clarified that the DDB characteristic was improved by about two orders of magnitude. Considering the reliability of a buried copper wiring structure using an insulating material having a low dielectric constant for the interlayer insulating film, the improvement of the TDDB life by two digits is a very effective process. By inserting hydrogen annealing between alkali cleaning and acid cleaning,
The reason why the TDDB life is improved may be that organic substances such as BTA attached during CMP are removed.
If acid cleaning is performed with organic substances adhered, cleaning (lift-off) of the surface of the adjacent insulating film which affects the TDDB life
Is estimated to be insufficient. On the other hand, Embodiment 1
Since the cleaning treatment is performed after the hydrogen annealing treatment, the surface layer of the insulating film can be lifted off sufficiently and uniformly, and the TDDB life can be improved.

【0080】上記の例では、アルカリ洗浄処理を行った
後、還元処理を行い、さらに酸洗浄を行う場合について
説明したが、これに限定されるものではなく種々変更可
能である。例えばCMP処理後、上記還元処理を行い、
その後、アルカリ洗浄処理、酸洗浄処理の順で後洗浄処
理を行っても良い。また、アルカリ洗浄を行わず、酸洗
浄のみを行っても良い。すなわち、CMP処理、還元処
理および酸洗浄処理のシーケンスでも良い。酸洗浄のみ
を行っただけでもTDDB特性が改善する。これは、ダ
メージ層の除去により界面の特性を向上できたためと思
われる。また、逆にアルカリ洗浄のみで、上記酸洗浄処
理を行わなくても良い場合もある。また、上記CMP後
洗浄処理に先行または並行して、ウエハ1Wの表面を純
水スクラブ洗浄、純水超音波洗浄、純水流水洗浄または
純水スピン洗浄したり、ウエハ1Wの裏面を純水スクラ
ブ洗浄したりしても良い。
In the above example, the case where the alkali cleaning treatment is performed, the reduction treatment is performed, and then the acid cleaning is performed has been described. For example, after the CMP process, the reduction process is performed,
Thereafter, post-cleaning treatment may be performed in the order of alkali cleaning treatment and acid cleaning treatment. Alternatively, only acid cleaning may be performed without performing alkali cleaning. That is, a sequence of a CMP process, a reduction process, and an acid cleaning process may be used. The TDDB characteristic is improved only by performing only acid cleaning. This is presumably because removal of the damaged layer improved the characteristics of the interface. On the contrary, there is a case where the above acid cleaning treatment does not need to be performed only by alkali cleaning. Prior to or in parallel with the post-CMP cleaning process, the surface of the wafer 1W may be subjected to pure water scrub cleaning, pure water ultrasonic cleaning, pure water running water cleaning, or pure water spin cleaning, or the back surface of the wafer 1W may be pure water scrubbed. You may wash it.

【0081】また、本実施の形態1においては、CMP
処理部およびその後工程の搬送室、防蝕処理室、浸漬処
理室(ストッカ)、還元処理室および洗浄処理室等のよ
うな各室を含む全体を遮光構造とする。これは、CMP
処理後のウエハ1Wに光が照射されると電気化学的な作
用によりウエハ1Wのメタル(ここでは埋込第2層配線
L2)が腐蝕するので、それを抑制または防止するため
である。特にこの現象は、CMP処理後にウエハ1Wを
CMP装置から取り外し、洗浄処理が行われるまでの間
で生じ易い。CMP処理部およびその後工程の各室を遮
光構造とすることにより、CMP処理からその後のウエ
ハ1Wの表面に照明光などが照射されないようにするこ
とができるので、光起電力効果による短絡電流の発生を
防ぐようにでき、メタルの腐蝕を抑制または防止でき
る。このような遮光構造を実現するには、具体的にはC
MP装置およびその後の処理室の周囲を遮光シートなど
で被覆することによって、各室の内部の照度を少なくと
も500ルクス(lx.)以下、好ましくは300ルク
ス以下、あるいは100ルクス以下、さらに好ましくは
50ルクス以下にする。なお、CMP処理室およびその
後の工程の各室を遮光する技術については、例えば本発
明者等によるUSSN.09/356,707に記載が
ある。
In the first embodiment, the CMP
The entire chamber including the processing section and the subsequent chambers such as the transfer chamber, the anticorrosion processing chamber, the immersion processing chamber (stocker), the reduction processing chamber, the cleaning processing chamber, and the like has a light shielding structure. This is CMP
When light is irradiated on the processed wafer 1W, the metal of the wafer 1W (here, the buried second layer wiring L2) is corroded by an electrochemical action, so that the corrosion is suppressed or prevented. In particular, this phenomenon is likely to occur until the wafer 1W is removed from the CMP apparatus after the CMP processing and the cleaning processing is performed. By providing a light-shielding structure in the CMP processing section and each chamber in the subsequent process, it is possible to prevent illumination light or the like from irradiating the surface of the wafer 1W after the CMP processing. Can be prevented, and metal corrosion can be suppressed or prevented. To realize such a light shielding structure, specifically, C
The illuminance inside each chamber is at least 500 lux (lx.) Or less, preferably 300 lux or less, or 100 lux or less, more preferably 50 lux or less, by covering the periphery of the MP apparatus and the subsequent processing chamber with a light shielding sheet or the like. Lux or less. The technique of shielding the CMP processing chamber and each chamber in the subsequent steps from light is described in, for example, USSN. 09 / 356,707.

【0082】上記のような洗浄処理後、ウエハ1Wに対
してスピンドライヤ等のような乾燥処理を施し、次の工
程に移行する。図11は、図10に続く半導体集積回路
装置の製造工程中における図6のX1−X1線に相当す
る部分の断面図である。ここでは、上記のようなCMP
後洗浄処理(スピンドライヤ等による最終的な乾燥処理
を含む)後に、ウエハ1Wをプラズマ処理室内のステー
ジ上に主面を上に向けた状態で載せた状態でウエハ1W
に対して、例えば次のような還元性プラズマ処理を施
す。すなわち、ウエハ1Wの主面(特に埋込第2層配線
L2が露出するCMP面)に対して、アンモニア(NH
3)プラズマ処理を施す(図5の工程107)。このア
ンモニアプラズマ処理条件は、例えばウエハ1Wの直径
を8インチ(=約200mm)とした場合、処理圧力を
0.5〜1.0Torr(=66.6612〜133.
332Pa)程度、プラズマ処理装置の上部電極の印加
電力を500〜1000W程度、プラズマ処理装置の下
部電極の印加電力を0〜1000W程度(0が好まし
い)、基板(ウエハ)温度を300℃〜400℃程度、
アンモニアガス流量を500〜1500cm3/min
程度、処理時間を5〜60秒程度とした。電極間距離は
300〜600mils(7.62mm〜15.24m
m)とした。
After the above-described cleaning process, a drying process such as a spin drier is performed on the wafer 1W, and the process proceeds to the next step. FIG. 11 is a cross-sectional view of a part corresponding to the line X1-X1 of FIG. 6 during a manufacturing step of the semiconductor integrated circuit device subsequent to FIG. Here, the above CMP
After the post-cleaning process (including the final drying process using a spin dryer or the like), the wafer 1W is placed on a stage in a plasma processing chamber with its main surface facing upward.
Is subjected to, for example, the following reducing plasma treatment. That is, ammonia (NH) is applied to the main surface of the wafer 1W (particularly, the CMP surface where the embedded
3 ) Perform plasma processing (step 107 in FIG. 5). The ammonia plasma processing conditions include, for example, when the diameter of the wafer 1W is 8 inches (= about 200 mm), the processing pressure is 0.5 to 1.0 Torr (= 666.6612 to 133.
332 Pa), the applied power of the upper electrode of the plasma processing apparatus is about 500 to 1000 W, the applied power of the lower electrode of the plasma processing apparatus is about 0 to 1000 W (preferably 0), and the substrate (wafer) temperature is 300 ° C. to 400 ° C. degree,
Ammonia gas flow rate 500 ~ 1500cm 3 / min
And the processing time was about 5 to 60 seconds. The distance between the electrodes is 300 to 600 mils (7.62 mm to 15.24 m).
m).

【0083】このようなアンモニアプラズマ処理では、
CMPで酸化された銅配線表面の酸化銅(CuO、Cu
2)を銅(Cu)に還元する。また、セットフロー時
の銅のシリサイド化を防ぐ窒化銅(CuN)層が埋込第
2層配線L2の表面(ごく薄い領域)に形成される。配
線間の絶縁膜12bの上面(ごく薄い領域)では、Si
N化またはSiH化が進み、絶縁膜12b表面のダング
リングボンドを補償し、また、後述のキャップ用の絶縁
膜と埋込第2層配線L2および絶縁膜12bとの密着性
を向上させることができ、界面のリーク電流を低減する
ことができる。このような効果により、TDDB寿命を
向上させることができる。
In such an ammonia plasma treatment,
Copper oxide (CuO, Cu) on the surface of copper wiring oxidized by CMP
O 2 ) is reduced to copper (Cu). Further, a copper nitride (CuN) layer for preventing the formation of silicide of copper during the set flow is formed on the surface (extremely thin region) of the buried second layer wiring L2. On the upper surface (extremely thin region) of the insulating film 12b between the wirings, Si
N or SiH is advanced to compensate for dangling bonds on the surface of the insulating film 12b, and to improve the adhesion between the cap insulating film described later and the buried second layer wiring L2 and the insulating film 12b. As a result, the leakage current at the interface can be reduced. The TDDB life can be improved by such an effect.

【0084】上記した還元性プラズマ処理条件は、これ
ら例示した条件に限られないのはもちろんである。本発
明者らの検討では、圧力が高いほどプラズマダメージを
低減でき、基板温度が高いほどTDDB寿命の基板内ば
らつきの低減と長寿命化がはかれることが明らかとされ
た。また、基板(ウエハ)温度が高く、RF電力が大き
く、処理時間が長いほど銅の表面にヒロックが発生し易
い、という知見が得られている。これらの知見と装置構
成等による条件のばらつきを考慮すると、例えば処理圧
力は0.5〜6Torr(=0.66661×102
7.99932×102Pa)、RF電力は300〜6
00W、基板(ウエハ)温度は350〜450℃、水素
ガス流量は50〜1000cm3/min、アンモニア
ガス流量は20〜500cm3/min、処理時間は5
〜180秒、電極間距離は150〜1000mils
(3.81〜25.4mm)の範囲で設定することがで
きる。配線開口部内に対するアンモニアプラズマ処理に
ついては、本願発明者などによる特開平11−1691
2号、特願平11−226876号、特願2000−3
00853号および特開2001−291720号に記
載がある。また、銅の拡散現象やTDDB寿命の劣化の
メカニズム、それを抑制または防止するためのアンモニ
ア(NH3)プラズマ処理さらにはLow−K絶縁膜に
おける銅配線のTDDB寿命の劣化等については、例え
ばIEEE 00CH37059.38th Annual International Reliabi
lity Physics Symposium, San Jose,Californias,2000
のp339−p343に「TDDB Improvement in Cu Metalliza
tion under Bias Stress」と題する論文として、また、
IEEE TRANSACTIONS ON ELECTRON DEVICES. VOL. 48,NO.
7,JULY 2001のp1340−p1345に「Effect of NH3-Plasm
a Treatment and CMP Modification on TDDB Improveme
nt in Cu Metallization」と題する論文として、また、
IEEE 01CH37167.39th Annual International Reliabili
ty Physics Symposium, Orlando Florida,2001のp355
−p359に「Impact of Low-K Dielectrics and Barrier
Metals on TDDB Lifetime of Cu Interconnects」と題
する論文として、本願発明者による記載がある。
The above-described reducing plasma processing conditions are not limited to these exemplified conditions. Investigations by the present inventors have revealed that the higher the pressure, the more the plasma damage can be reduced, and the higher the substrate temperature, the more the TDDB life can be reduced in the substrate and the longer the life can be. It has also been found that hillocks are more likely to be generated on the copper surface as the substrate (wafer) temperature is higher, the RF power is higher, and the processing time is longer. Considering these findings and the variation in conditions due to the device configuration and the like, for example, the processing pressure is 0.5 to 6 Torr (= 0.66661 × 10 2 ).
7.99932 × 10 2 Pa), RF power is 300-6
00W, substrate (wafer) temperature 350-450 ° C., hydrogen gas flow rate 50-1000 cm 3 / min, ammonia gas flow rate 20-500 cm 3 / min, processing time 5
~ 180 seconds, distance between electrodes 150 ~ 1000mils
(3.81 to 25.4 mm). The ammonia plasma treatment for the inside of the wiring opening is described in Japanese Patent Application Laid-Open No. 11-1691 by the present inventors.
2, Japanese Patent Application No. 11-226876, Japanese Patent Application 2000-3
00853 and JP-A-2001-291720. Further, the mechanism of the copper diffusion phenomenon and the deterioration of the TDDB life, the ammonia (NH 3 ) plasma treatment for suppressing or preventing the phenomenon, and the deterioration of the TDDB life of the copper wiring in the Low-K insulating film are described in, for example, IEEE. 00CH37059.38 th Annual International Reliabi
lity Physics Symposium, San Jose, Californias, 2000
Pp. 339-343 on the TDDB Improvement in Cu Metalliza
tion under Bias Stress ”
IEEE TRANSACTIONS ON ELECTRON DEVICES. VOL. 48, NO.
7, `` Effect of NH 3 -Plasm '' on p1340-p1345 of JULY 2001
a Treatment and CMP Modification on TDDB Improveme
nt in Cu Metallization ”
IEEE 01CH37167.39 th Annual International Reliabili
ty Physics Symposium, Orlando Florida, 2001, p355
−See p.359, `` Impact of Low-K Dielectrics and Barrier
A paper entitled "Metals on TDDB Lifetime of Cu Interconnects" is described by the present inventor.

【0085】次に、図12は、図11に続く半導体集積
回路装置の製造工程中における図6のX1−X1線に相
当する部分の断面図である。図13は、図12に続く半
導体集積回路装置の製造工程中における図6のX1−X
1線に相当する部分の断面図である。ここでは、まず、
図12に示すように、上記アンモニアプラズマ処理後、
アンモニアプラズマ処理を行ったプラズマ処理室内で大
気開放せず真空状態を維持したまま連続して、ウエハ1
Wの主面、特に埋込第2層配線L2および絶縁膜12b
のCMP面を、例えばモノシランガス(SiH4)等の
ような無機系シラン化合物ガス雰囲気に晒す(図5の工
程108)。これにより、埋込第2層配線L2の主導体
膜18aの表層にシリコン(第1原子)を固溶させる。
具体的には、例えば次のようにする。まず、処理室内の
圧力を、例えば3.0Torr(399.967Pa)
程度、基板(ウエハ)温度を、例えば300℃〜450
℃程度にした状態で、処理室内にキャリアガスとして、
例えばヘリウムガスを流入してこの処理のセットフロー
を開始する。続いて、そのキャリアガスの流入開始から
20秒後にキャリアガスを流したまま処理室内にモノシ
ランガスを流入して無機系シラン化合物ガス処理を開始
する。その状態を、例えば10秒程度続けてシリコンを
埋込第2層配線L2の主導体膜18aの表層に固溶させ
て処理を終了する。この時のシランガスの流量は、例え
ば400cm3/min程度、ヘリウムガスの流量は、
例えば900cm3/min程度である。シリコンの固
溶量は、埋込第2層配線L2の主導体膜18aの結晶系
を変化させない程度とされており、具体的には主導体膜
18aの全体の5%程度またはそれ以下とされることが
好ましい。このような処理により、孔(スルーホール)
底に当たる埋込配線上部にSMによるボイドが発生する
のを抑制または防止できる。これは、モノシランガスの
還元能力がトリメチルシラン等のような有機系シラン化
合物ガスの還元能力よりも高いため、モノシランにより
埋込配線表層の酸化銅が銅に還元されたことによる。す
なわち、埋込配線表層に固溶された微量のシリコンが、
埋込配線表層の酸素をゲッタリング(酸素と結合)する
ことにより、粒界拡散を抑制または防止するSiO−
(Cu)結合を形成する結果、埋込配線表層の銅(また
は酸化銅)の拡散を抑制または防止することができるの
で、上記SM不良を抑制または防止できる。本実施の形
態1においては、この無機系シラン化合物ガス処理を、
続く配線キャップ膜の堆積処理のセットフローの一部と
して位置付けている。このため、無機系シラン化合物ガ
ス処理を追加したからといって製造時間が増大すること
もないし、制御や製造装置が複雑になることもない。ま
た、アンモニアプラズマ処理から配線キャップ用の絶縁
膜の堆積工程における処理雰囲気の調整が容易であり、
処理の安定化を図ることができるので、処理の信頼性お
よび処理結果の再現性を向上させることもできる。もち
ろん、無機系シラン化合物処理を配線キャップ膜の堆積
処理のセットフローの一部として位置付けずに別個の独
立した工程として位置付けることもできる。
FIG. 12 is a cross-sectional view of a part corresponding to the line X1-X1 of FIG. 6 during the manufacturing process of the semiconductor integrated circuit device following FIG. FIG. 13 is a cross-sectional view of the semiconductor integrated circuit device taken along the line X1-X in FIG.
It is sectional drawing of the part corresponding to one line. Here, first,
As shown in FIG. 12, after the ammonia plasma treatment,
In the plasma processing chamber in which the ammonia plasma processing has been performed, the wafer 1 is continuously released while maintaining a vacuum state without opening to the atmosphere.
W main surface, especially buried second layer wiring L2 and insulating film 12b
Is exposed to an atmosphere of an inorganic silane compound gas such as a monosilane gas (SiH 4 ) (step 108 in FIG. 5). Thereby, silicon (first atom) is dissolved in the surface layer of the main conductor film 18a of the buried second layer wiring L2.
Specifically, for example, the following is performed. First, the pressure in the processing chamber is set to, for example, 3.0 Torr (399.967 Pa).
About 300 ° C. to 450 ° C.
℃, as a carrier gas in the processing chamber,
For example, a set flow of this processing is started by flowing helium gas. Subsequently, 20 seconds after the start of the flow of the carrier gas, a monosilane gas is flown into the processing chamber while the carrier gas is flowing, and the inorganic silane compound gas treatment is started. This state is continued for, for example, about 10 seconds, and silicon is dissolved in the surface layer of the main conductor film 18a of the buried second-layer wiring L2 to complete the process. At this time, the flow rate of the silane gas is, for example, about 400 cm 3 / min, and the flow rate of the helium gas is:
For example, it is about 900 cm 3 / min. The amount of solid solution of silicon is set so as not to change the crystal system of the main conductor film 18a of the buried second layer wiring L2, and specifically, is set to about 5% or less of the entire main conductor film 18a. Preferably. Through such processing, holes (through holes)
It is possible to suppress or prevent the occurrence of voids due to SM in the upper portion of the embedded wiring corresponding to the bottom. This is because copper oxide on the surface layer of the embedded wiring was reduced to copper by monosilane because the reducing ability of monosilane gas was higher than that of organic silane compound gas such as trimethylsilane. In other words, a small amount of silicon dissolved in the embedded wiring surface layer
SiO— that suppresses or prevents grain boundary diffusion by gettering (bonding with oxygen) oxygen in the surface layer of the buried wiring
As a result of the formation of the (Cu) bond, the diffusion of copper (or copper oxide) in the buried wiring surface layer can be suppressed or prevented, so that the SM failure can be suppressed or prevented. In the first embodiment, this inorganic silane compound gas treatment is performed as follows.
It is positioned as a part of a set flow of a subsequent wiring cap film deposition process. Therefore, the addition of the inorganic silane compound gas treatment does not increase the production time, nor does the control and the production apparatus become complicated. Further, it is easy to adjust the processing atmosphere in the process of depositing the insulating film for the wiring cap from the ammonia plasma processing,
Since the processing can be stabilized, the reliability of the processing and the reproducibility of the processing result can be improved. Of course, the inorganic silane compound treatment can be positioned as a separate and independent step without being positioned as a part of the set flow of the wiring cap film deposition process.

【0086】次いで、図13に示すように、上記無機系
シラン化合物ガス処理後、その処理を行ったプラズマ処
理室内で大気開放せず真空状態を維持したまま連続し
て、埋込第2層配線L2および絶縁膜12bの上面上
に、配線キャップ用の絶縁膜(第2絶縁膜)15bをプ
ラズマCVD法等によって堆積する(図5の工程10
9)。絶縁膜15bは、上記絶縁膜15aと同様の材料
および成膜方法により堆積する。特に本実施の形態1で
は、この絶縁膜15bの成膜時に成膜ガスとして有機系
シラン化合物ガスを用いたプラズマCVD法を採用す
る。すなわち、成膜ガス中にモノシラン等のような無機
系シラン化合物ガスを含まないプラズマCVD法により
絶縁膜15bを堆積する。このようにして成膜される絶
縁膜15bの材料としては、例えば炭窒化シリコン(S
iCN)、炭化シリコン(SiC)または酸窒化シリコ
ン(SiON)がある。いずれの材料も窒化シリコン膜
よりも誘電率が低いので、配線容量を低減でき、半導体
集積回路装置の動作速度を向上させることが可能とな
る。
Then, as shown in FIG. 13, after the above-mentioned inorganic silane compound gas treatment, the embedded second-layer wiring is continuously maintained in the plasma treatment chamber where the treatment was performed without opening to the atmosphere and maintaining a vacuum state. An insulating film (second insulating film) 15b for wiring cap is deposited on the upper surface of L2 and the insulating film 12b by a plasma CVD method or the like (Step 10 in FIG. 5).
9). The insulating film 15b is deposited using the same material and the same film forming method as the above-described insulating film 15a. In particular, in the first embodiment, a plasma CVD method using an organic silane compound gas as a film forming gas when forming the insulating film 15b is employed. That is, the insulating film 15b is deposited by a plasma CVD method that does not include an inorganic silane compound gas such as monosilane in the deposition gas. As a material of the insulating film 15b thus formed, for example, silicon carbonitride (S
iCN), silicon carbide (SiC) or silicon oxynitride (SiON). Since each of the materials has a lower dielectric constant than the silicon nitride film, the wiring capacitance can be reduced, and the operation speed of the semiconductor integrated circuit device can be improved.

【0087】絶縁膜15bの材料を炭窒化シリコン(S
iCN)とする場合は、例えば次のように堆積する。ま
ず、処理室内の圧力を、例えば3.0Torr(39
9.967Pa)程度、基板(ウエハ)温度を、例えば
300℃〜450℃程度にした状態で、処理室内にキャ
リアガスとして、例えばヘリウムガスを流入してこの堆
積処理のセットフローを開始する。この時のヘリウムガ
スの流入量は、例えば900cm3/min程度であ
る。続いて、そのキャリアガスの流入開始から20秒後
にキャリアガスを流したまま処理室内にトリメチルシラ
ンガスおよびアンモニアガス(NH3)を流入する。こ
の時のヘリウムガスの流入量は、例えば400cm3
min程度、トリメチルシランガスの流入量は、例えば
160cm3/min程度、アンモニアガスの流入量
は、例えば325cm3/min程度である。続いて、
例えば10秒程度経過したところでプラズマを形成する
ことにより成膜を開始し、その状態を、例えば30秒程
度続けることで、炭窒化シリコンを主成分とする絶縁膜
15bをウエハ1Wの主面上に堆積する。
The material for the insulating film 15b is silicon carbonitride (S
In the case of iCN), for example, deposition is performed as follows. First, the pressure in the processing chamber is set to, for example, 3.0 Torr (39
At a substrate (wafer) temperature of, for example, about 9.967 Pa) and a temperature of, for example, about 300 ° C. to 450 ° C., a helium gas, for example, as a carrier gas is introduced into the processing chamber to start the set flow of this deposition process. The flow rate of the helium gas at this time is, for example, about 900 cm 3 / min. Subsequently, 20 seconds after the start of the flow of the carrier gas, the trimethylsilane gas and the ammonia gas (NH 3 ) flow into the processing chamber with the flow of the carrier gas. The flow rate of the helium gas at this time is, for example, 400 cm 3 /
The flow rate of trimethylsilane gas is, for example, about 160 cm 3 / min, and the flow rate of ammonia gas is, for example, about 325 cm 3 / min. continue,
For example, film formation is started by forming plasma when about 10 seconds have elapsed, and the state is continued for about 30 seconds, for example, so that the insulating film 15b containing silicon carbonitride as a main component is formed on the main surface of the wafer 1W. accumulate.

【0088】絶縁膜15bの材料を炭化シリコン(Si
C)とする場合は、例えば次のように堆積する。まず、
処理室内の圧力を、例えば8.7Torr(1159.
90Pa)程度、基板(ウエハ)温度を、例えば300
℃〜450℃程度にした状態で、処理室内にキャリアガ
スとして、例えばヘリウムガスを流入してこの堆積処理
のセットフローを開始する。この時のヘリウムガスの流
入量は、例えば1200cm3/min程度である。続
いて、そのキャリアガスの流入開始から20秒後にキャ
リアガスを流したまま処理室内にトリメチルシランガス
を流入する。この時のヘリウムガスの流入量は、例えば
800cm3/min程度、トリメチルシランガスの流
入量は、例えば320cm3/min程度である。続い
て、例えば10秒程度経過したところでプラズマを形成
することにより成膜を開始し、その状態を、例えば30
秒程度続けることで、炭化シリコンを主成分とする絶縁
膜15bをウエハ1Wの主面上に堆積する。
The material of the insulating film 15b is silicon carbide (Si)
In the case of C), for example, deposition is performed as follows. First,
The pressure in the processing chamber is, for example, 8.7 Torr (1159.
90Pa), the substrate (wafer) temperature is, for example, 300
At a temperature of about 450 ° C. to 450 ° C., helium gas, for example, is introduced as a carrier gas into the processing chamber to start the set flow of the deposition process. The flow rate of the helium gas at this time is, for example, about 1200 cm 3 / min. Subsequently, 20 seconds after the start of the flow of the carrier gas, the trimethylsilane gas flows into the processing chamber with the flow of the carrier gas. The flow rate of the helium gas at this time is, for example, about 800 cm 3 / min, and the flow rate of the trimethylsilane gas is, for example, about 320 cm 3 / min. Subsequently, film formation is started by forming plasma when, for example, about 10 seconds have elapsed, and the state is changed to, for example, 30 seconds.
By continuing for about seconds, the insulating film 15b containing silicon carbide as a main component is deposited on the main surface of the wafer 1W.

【0089】絶縁膜15bの材料をPE−TMS(Ca
non製、誘電率=3.9)等のような酸窒化シリコン
(SiON)とする場合は、例えばトリメトキシシラン
ガスと酸化窒素(N2O)ガスとの混合ガスを用いたプ
ラズマCVD法等によって堆積する。この酸窒化シリコ
ンは、窒素(N)が5atm%以下、好ましくは1また
は2atm%程度のものである。これは、窒素を1また
は2atm%程度含むことで銅に対する充分なバリア性
を持たせることが可能だからであり、窒素を5atm%
以上増やしても特性の向上は望めず、かえって誘電率が
炭化シリコンよりも高くなり酸窒化シリコンを使用する
意味が薄れてしまうからである。絶縁膜15bの材料を
上記PE−TMS(Canon製)等とした場合には、
優れた耐湿性を得ることができるので、半導体集積回路
装置の信頼性および性能を向上させることが可能とな
る。
The material of the insulating film 15b is PE-TMS (Ca
In the case of silicon oxynitride (SiON) such as non-manufactured, dielectric constant = 3.9), for example, by a plasma CVD method using a mixed gas of a trimethoxysilane gas and a nitrogen oxide (N 2 O) gas or the like. accumulate. This silicon oxynitride contains nitrogen (N) of 5 atm% or less, preferably about 1 or 2 atm%. This is because the inclusion of about 1 or 2 atm% of nitrogen can provide a sufficient barrier property against copper, and the nitrogen content of 5 atm%
Even if the number is increased, no improvement in characteristics can be expected, and the dielectric constant becomes higher than that of silicon carbide, and the meaning of using silicon oxynitride diminishes. When the material of the insulating film 15b is PE-TMS (manufactured by Canon) or the like,
Since excellent moisture resistance can be obtained, reliability and performance of the semiconductor integrated circuit device can be improved.

【0090】次に、図14は、図13に続く半導体集積
回路装置の製造工程中における図6のX1−X1線に相
当する部分の断面図である。ここには、層間絶縁膜の堆
積工程および絶縁キャップ膜の堆積工程を経たウエハ1
Wの要部断面図が示されている(図5の工程110,1
11)。配線キャップ用の絶縁膜15b上には、絶縁膜
11cが堆積されている。絶縁膜11cの材料および形
成方法は、上記Low−K材料で構成される絶縁膜11
a,11bと同じである。この絶縁膜11c上には、絶
縁膜12cが堆積されている。絶縁膜12cの材料、形
成方法および機能は、上記絶縁膜12a,12bと同じ
である。この絶縁膜12c上には、絶縁膜15cが堆積
されている。絶縁膜15cの材料、形成方法および機能
は、上記絶縁膜15a,15bと同じである。この絶縁
膜15c上には、絶縁膜11dが堆積されている。絶縁
膜11dの材料および形成方法は、上記Low−K材料
で構成される絶縁膜11a〜11cと同じである。この
絶縁膜11d上には、絶縁膜12dが堆積されている。
絶縁膜12dの材料、形成方法および機能は、上記絶縁
膜12a〜12cと同じである。
FIG. 14 is a cross-sectional view of a part corresponding to the line X1-X1 of FIG. 6 during the manufacturing process of the semiconductor integrated circuit device, following FIG. Here, the wafer 1 having undergone the interlayer insulating film deposition step and the insulating cap film deposition step
5 is a sectional view of a main part of W (steps 110 and 1 in FIG. 5).
11). An insulating film 11c is deposited on the wiring cap insulating film 15b. The material and forming method of the insulating film 11c are as follows.
a, 11b. On this insulating film 11c, an insulating film 12c is deposited. The material, forming method and function of the insulating film 12c are the same as those of the insulating films 12a and 12b. On this insulating film 12c, an insulating film 15c is deposited. The material, forming method and function of the insulating film 15c are the same as those of the insulating films 15a and 15b. On this insulating film 15c, an insulating film 11d is deposited. The material and forming method of the insulating film 11d are the same as those of the insulating films 11a to 11c made of the Low-K material. On this insulating film 11d, an insulating film 12d is deposited.
The material, forming method and function of the insulating film 12d are the same as those of the insulating films 12a to 12c.

【0091】次に、図15は図14に続く半導体集積回
路装置の製造工程中における要部平面図、図16は図1
5のX2−X2線の断面図である。ここでは、絶縁膜1
5c,11d,12dに、平面帯状の配線溝(配線開口
部)16bを形成する。ここには、図15および図16
において左から2番目の配線溝16bの幅は、その直下
の配線溝16aよりも広い場合が例示されている。ま
た、図15および図16において最も右側の配線溝16
bの幅は、その直下の配線溝16aよりも狭い場合が例
示されている。また、所望の配線溝16bの底部に、埋
込第2層配線L2の上面の一部が露出するような平面円
形状のスルーホール(配線開口部)19を形成する。ス
ルーホール19の直径は、例えば0.18μm程度であ
る。
FIG. 15 is a plan view of a main part of the semiconductor integrated circuit device during the manufacturing process following FIG. 14, and FIG.
FIG. 5 is a sectional view taken along line X2-X2 of FIG. Here, the insulating film 1
A flat strip-shaped wiring groove (wiring opening) 16b is formed in each of 5c, 11d, and 12d. Here, FIGS. 15 and 16
In the example, the width of the second wiring groove 16b from the left is wider than the wiring groove 16a immediately below. The rightmost wiring groove 16 in FIGS.
The case where the width of b is narrower than the wiring groove 16a immediately below is illustrated. Further, a through hole (wiring opening) 19 having a plane circular shape such that a part of the upper surface of the buried second layer wiring L2 is exposed is formed at the bottom of the desired wiring groove 16b. The diameter of the through hole 19 is, for example, about 0.18 μm.

【0092】次に、図17は図15に続く半導体集積回
路装置の製造工程中における図15のX2−X2線に相
当する部分の要部断面図である。ここでは、配線溝16
bおよびスルーホール19内に導電性バリア膜17bお
よび主導体膜18bを埋め込むことで埋込第3層配線L
3を形成する。この埋込第3層配線L3は、デュアルダ
マシン法によって形成されている。すなわち、絶縁膜1
5c,11d,12dに配線溝16bを形成し、絶縁膜
15b,11c,12cにスルーホール19を形成した
後、上記導電性バリア膜(第1導体膜)17bおよび導
電性バリア膜17bよりも厚い主導体膜(第2導体膜)
18bを順に堆積する。すなわち、配線溝16bとスル
ーホール19とを同時に導電性バリア膜17bおよび主
導体膜18bで埋め込む。導電性バリア膜17bおよび
主導体膜18bの堆積方法や材料は、上記埋込第2層配
線の導電性バリア膜17aおよび主導体膜18aと同じ
である。その後、この導電性バリア膜17bおよび主導
体膜18bを、上記埋込第2層配線L2の形成と同様に
CMP法によって研磨することにより、埋込第3層配線
L3を形成する。埋込第3層配線L3は、スルーホール
19内に埋め込まれた導電性バリア膜17bおよび主導
体膜18bを通じて埋込第2層配線L2と電気的に接続
されている。その後、上記図5の工程104〜工程10
8を経た後、前記絶縁膜15bと同様の材料からなる配
線キャップ用の絶縁膜(第2絶縁膜)15dを、前記絶
縁膜15bと同様に絶縁膜12dおよび埋込第3層配線
L3上に堆積する。ここには、図17において左から2
番目の埋込第3層配線L3の幅が、スルーホール19を
通じて電気的に接続される直下の埋込第2層配線L2よ
りも広い場合が例示されている。また、図17において
最も右側の埋込第3層配線L3の幅は、スルーホール1
9を通じて電気的に接続される直下の埋込第2層配線L
2よりも狭い場合が例示されている。本実施の形態1に
おいては、このいずれの配線接続構造の場合においても
スルーホール19底部でのボイドの発生を抑制または防
止できた。すなわち、SM不良の発生を抑制または防止
することができた。
FIG. 17 is a fragmentary cross-sectional view of a part corresponding to the line X2-X2 of FIG. 15 during the manufacturing process of the semiconductor integrated circuit device continued from FIG. Here, the wiring groove 16
b and the through hole 19 are buried with the conductive barrier film 17b and the main conductor film 18b so that the buried third layer wiring L
Form 3 The buried third layer wiring L3 is formed by a dual damascene method. That is, the insulating film 1
After forming a wiring groove 16b in 5c, 11d, and 12d and forming a through hole 19 in the insulating films 15b, 11c, and 12c, the conductive barrier film (first conductive film) 17b and the conductive barrier film 17b are thicker. Main conductor film (second conductor film)
18b are sequentially deposited. That is, the wiring groove 16b and the through hole 19 are simultaneously filled with the conductive barrier film 17b and the main conductor film 18b. The deposition method and material of the conductive barrier film 17b and the main conductor film 18b are the same as those of the conductive barrier film 17a and the main conductor film 18a of the buried second layer wiring. Thereafter, the conductive barrier film 17b and the main conductor film 18b are polished by the CMP method in the same manner as the formation of the buried second layer wiring L2, thereby forming a buried third layer wiring L3. The buried third layer wiring L3 is electrically connected to the buried second layer wiring L2 through the conductive barrier film 17b and the main conductor film 18b buried in the through hole 19. Thereafter, steps 104 to 10 of FIG.
8, an insulating film (second insulating film) 15 d for wiring caps made of the same material as the insulating film 15 b is formed on the insulating film 12 d and the buried third-layer wiring L 3 similarly to the insulating film 15 b. accumulate. Here, 2 from the left in FIG.
The case where the width of the third buried third-layer wiring L3 is wider than that of the buried second-layer wiring L2 immediately below which is electrically connected through the through hole 19 is illustrated. In addition, the width of the rightmost embedded third-layer wiring L3 in FIG.
Buried second layer wiring L immediately below electrically connected through
The case where it is narrower than 2 is illustrated. In the first embodiment, the generation of voids at the bottom of the through hole 19 can be suppressed or prevented in any of the wiring connection structures. That is, the occurrence of SM failure could be suppressed or prevented.

【0093】次に、図18は、配線層間を接続する孔
(スルーホール19)部分での抵抗上昇率を示してい
る。基板(ウエハ)温度を、例えば175℃として、例
えば141時間放置した場合を示している。符号のR1
(黒い三角形)は本実施の形態1の上記無機系シラン化
合物ガス処理を施した場合を示し、符号のR0(白い四
角形)は本発明者が検討した技術であって上記無機系シ
ラン化合物ガス処理を施さなかった場合を示している。
この結果から本実施の形態1の場合は、抵抗率がほとん
ど劣化しない(上昇しない)ことが分かる。なお、図1
8で孔の抵抗率が、約4〜5%を越えると更なる熱スト
レスで孔底部の配線部分にボイドが発生し、孔と配線と
の間で接続不良が発生することが本発明者らの繰り返し
行われた種々の実験から経験的に判明している。
FIG. 18 shows the rate of increase in resistance in the hole (through hole 19) connecting the wiring layers. The case where the substrate (wafer) temperature is set to, for example, 175 ° C. and left for, for example, 141 hours is shown. Sign R1
(A black triangle) shows a case where the above-mentioned inorganic silane compound gas treatment of the first embodiment is performed, and a reference symbol R0 (white square) is a technique studied by the present inventor and represents the above-mentioned inorganic silane compound gas treatment. Is not applied.
From this result, it is understood that in the case of the first embodiment, the resistivity hardly deteriorates (does not increase). FIG.
8, if the resistivity of the hole exceeds about 4-5%, voids are generated in the wiring portion at the bottom of the hole due to further thermal stress, and poor connection occurs between the hole and the wiring. Has been empirically found from various experiments performed repeatedly.

【0094】また、図19は、上記無機系シラン化合物
ガス処理により銅配線の表層にシリコンを固溶した場合
における銅の拡散係数を測定したものである。図19の
黒い三角形の測定点は、シリコンが1at.%含有の銅
結晶中のシリコンの拡散状態を示し、白い四角形の測定
点は、純銅結晶中の銅の拡散状態を示し、白い三角形の
測定点は、シリコンが1at.%含有の銅結晶中の銅の
拡散状態を示している。一方、図20は、銅配線の表層
に酸素を混入した場合における銅の拡散係数を測定した
ものである。図20の黒い三角形の測定点は、酸素が1
at.%含有の銅結晶中の酸素の拡散状態を示し、白い
四角形の測定点は、純銅結晶中の銅の拡散状態を示し、
白い三角形の測定点は、酸素が1at.%含有の銅結晶
中の銅の拡散状態を示している。この2つの図から、銅
配線の表層にシリコンを固溶した場合、銅がほとんど拡
散していないのに対して、銅配線の表層に酸素を混入し
た場合、銅の拡散が生じていることが分かる。
FIG. 19 shows the results of measuring the diffusion coefficient of copper when silicon is dissolved in the surface layer of copper wiring by the above-mentioned inorganic silane compound gas treatment. The measurement point of the black triangle in FIG. % Indicates the diffusion state of silicon in the copper crystal containing copper, the white square measurement point indicates the diffusion state of copper in the pure copper crystal, and the white triangle measurement point indicates that silicon has 1 at. 2 shows the state of diffusion of copper in a copper crystal containing 0.1%. On the other hand, FIG. 20 shows the measured diffusion coefficient of copper when oxygen is mixed into the surface layer of the copper wiring. The measurement point of the black triangle in FIG.
at. % Indicates the diffusion state of oxygen in the copper crystal, and white square measurement points indicate the diffusion state of copper in the pure copper crystal.
The measurement point of the white triangle indicates that oxygen is 1 at. 2 shows the state of diffusion of copper in a copper crystal containing 0.1%. From these two figures, it can be seen that when silicon is dissolved in the surface layer of copper wiring, copper is hardly diffused, whereas when oxygen is mixed into the surface layer of copper wiring, copper is diffused. I understand.

【0095】このように、本実施の形態1では、配線キ
ャップ用の絶縁膜の材料として窒化シリコンよりも誘電
率の低い材料を用いた場合でも埋込配線でのSM不良を
抑制または防止できる。このため、配線キャップ用の絶
縁膜の誘電率を下げることができるので、半導体集積回
路装置の動作速度を向上させることができ、半導体集積
回路装置の性能を向上させることができる上、SM不良
の発生を抑制または防止できるので、異なる配線層間を
接続する孔部分での抵抗を低減でき、半導体集積回路装
置の性能、信頼性および歩留まりを向上させることがで
きる。
As described above, in the first embodiment, even when a material having a lower dielectric constant than silicon nitride is used as the material of the insulating film for the wiring cap, the SM failure in the embedded wiring can be suppressed or prevented. Therefore, the dielectric constant of the insulating film for the wiring cap can be reduced, so that the operation speed of the semiconductor integrated circuit device can be improved, the performance of the semiconductor integrated circuit device can be improved, and the SM failure can be improved. Since the occurrence can be suppressed or prevented, the resistance at the hole connecting the different wiring layers can be reduced, and the performance, reliability and yield of the semiconductor integrated circuit device can be improved.

【0096】(実施の形態2)前記還元性プラズマ処理
は、銅配線の表面の酸化銅を銅に還元するとともにその
表層の化学的安定性を向上させるようにしている。しか
し、還元性プラズマ処理により銅配線の表面をあまり安
定化させてしまうと、続く無機系シラン化合物処理にお
いて銅配線の表面にシリコンを固溶させることができな
くなってしまう場合が生じる。このため、銅配線の表面
にシリコンを固溶させることで銅配線の表面の銅(酸化
銅、酸素)の拡散を抑制または防止するという上記無機
系シラン化合物ガス処理の目的が達成できなくなってし
まう。例えば本発明者の検討によれば、炭窒化シリコン
を配線キャップ用の絶縁膜とする場合において、アンモ
ニアプラズマ処理条件として、例えばアンモニアガスと
窒素ガスの流量がそれぞれ400cm3/min、45
00cm3/min程度、パワーが300W、処理時間
が20秒とした場合は、上記モノシランの効果を得る上
であまり良い結果を得ることができなかった。また、同
じくアンモニアプラズマ処理条件として、アンモニアガ
ス単独で400cm3/min程度、パワーが600
W、処理時間が30秒とした場合も、上記モノシランの
効果を得る上で良い結果を得ることができなかった。
(Embodiment 2) The reducing plasma treatment reduces the copper oxide on the surface of the copper wiring to copper and improves the chemical stability of the surface layer. However, if the surface of the copper wiring is excessively stabilized by the reducing plasma treatment, silicon may not be able to form a solid solution on the surface of the copper wiring in the subsequent inorganic silane compound treatment. For this reason, the object of the inorganic silane compound gas treatment of suppressing or preventing the diffusion of copper (copper oxide, oxygen) on the surface of the copper wiring by dissolving silicon on the surface of the copper wiring cannot be achieved. . For example, according to the study of the present inventor, when silicon carbonitride is used as an insulating film for a wiring cap, the ammonia plasma processing conditions include, for example, the flow rates of ammonia gas and nitrogen gas of 400 cm 3 / min, 45 cm, respectively.
When the power was about 300 cm 3 / min, the power was 300 W, and the processing time was 20 seconds, a very good result could not be obtained in obtaining the effects of the monosilane. Similarly, the ammonia plasma processing conditions are as follows: ammonia gas alone is about 400 cm 3 / min;
W, even when the treatment time was 30 seconds, good results could not be obtained in obtaining the effects of the monosilane.

【0097】そこで、本実施の形態2においては、上記
無機系シラン化合物ガス処理前に行う還元性プラズマ処
理の条件を、上記無機系シラン化合物ガス処理において
シリコンが埋込配線の表層に固溶され易くなるように設
定する。これにより、無機系シラン化合物ガス処理の効
果をより効果的にすることができる。例えば上記の結果
からは次の条件が良いことが考えられる。第1に、還元
性プラズマ処理時の処理ガス中のキャリアガスが相対的
に多い方が良い。第2に、パワーは相対的に低い(例え
ば600Wを高パワーとするとそれよりも低い)方が良
い。第3に、処理時間は、上記の条件にもより変わるが
短い方が良い。本発明者の検討によれば、上記のアンモ
ニアプラズマ処理における窒素ガスの流入量は、処理室
内に流入した全ガス量の20%程度以下、または10%
程度以下が好ましい。また、パワーは、例えば400W
以下、または300W以下が好ましい。さらに、処理時
間は、例えば25秒以下、または20秒以下が好まし
い。具体的には、例えば次のようにする。
Therefore, in the second embodiment, the condition of the reducing plasma treatment performed before the above-mentioned inorganic silane compound gas treatment is such that silicon is solid-dissolved in the surface layer of the embedded wiring in the above-mentioned inorganic silane compound gas treatment. Set to make it easier. Thereby, the effect of the inorganic silane compound gas treatment can be made more effective. For example, the above conditions suggest that the following conditions are good. First, it is better that the carrier gas in the processing gas during the reducing plasma processing is relatively large. Second, the power should be relatively low (e.g., lower if 600 W is high power). Third, the processing time varies depending on the above conditions, but is preferably shorter. According to the study of the present inventor, the inflow amount of nitrogen gas in the above-described ammonia plasma processing is about 20% or less, or 10% or less, of the total gas amount flowing into the processing chamber.
Or less. The power is, for example, 400 W
Or less, or 300 W or less. Further, the processing time is preferably, for example, 25 seconds or less, or 20 seconds or less. Specifically, for example, the following is performed.

【0098】図21は、例えば50nm程度の厚さの炭
窒化シリコン膜を配線キャップ用の絶縁膜(前記実施の
形態1の絶縁膜15b,15d)としてウエハの主面上
に堆積する場合のフロー図を示している。この場合、ア
ンモニアプラズマ処理に際して、まず、処理室内の圧力
を、例えば4.2Torr(559.954Pa)程
度、基板(ウエハ)温度を、例えば300℃〜450℃
程度にした状態で、処理室内に、例えばアンモニアガス
(NH3)および窒素ガス(N2)を流入する。このアン
モニアガスの流入量は、例えば75cm3/min程度
である。また、窒素ガスの流入量は、アンモニアガスの
流入量よりも多く、例えば5000cm3/min程度
である。続いて、上記ガスを上記流入量で処理室内に流
したまま上記ガスの流入開始から20秒程度経過した後
にプラズマ形成用の上下電極間に電圧を印加することで
処理室内にプラズマを形成する。そして、アンモニアを
主体とするプラズマ処理をウエハの主面(CMP面)に
対して30秒程度施す。この時のパワーは、一般的なア
ンモニアプラズマ処理時のパワー(例えば600W程
度)と比べて低く、例えば150W程度である。なお、
この場合のアンモニアプラズマ処理の前後の処理条件は
前記実施の形態1と同じなので説明を省略する。
FIG. 21 is a flow chart in the case where a silicon carbonitride film having a thickness of, for example, about 50 nm is deposited on the main surface of a wafer as an insulating film for wiring caps (the insulating films 15b and 15d in the first embodiment). FIG. In this case, in the ammonia plasma process, first, the pressure in the processing chamber is set to, for example, about 4.2 Torr (559.954 Pa), and the substrate (wafer) temperature is set to, for example, 300 ° C. to 450 ° C.
In this state, for example, ammonia gas (NH 3 ) and nitrogen gas (N 2 ) flow into the processing chamber. The flow rate of the ammonia gas is, for example, about 75 cm 3 / min. The flow rate of the nitrogen gas is larger than the flow rate of the ammonia gas, for example, about 5000 cm 3 / min. Subsequently, a voltage is applied between the upper and lower electrodes for plasma formation after about 20 seconds have elapsed from the start of the flow of the gas while flowing the gas into the processing chamber at the above-mentioned flow rate, whereby plasma is formed in the processing chamber. Then, a plasma process mainly using ammonia is performed on the main surface (CMP surface) of the wafer for about 30 seconds. The power at this time is lower than the power during general ammonia plasma processing (for example, about 600 W), and is, for example, about 150 W. In addition,
In this case, the processing conditions before and after the ammonia plasma processing are the same as those in the first embodiment, and a description thereof will be omitted.

【0099】また、図22は、例えば50nm程度の厚
さの炭化シリコン膜を配線キャップ用の絶縁膜(前記実
施の形態1の絶縁膜15b,15d)としてウエハの主
面上に堆積する場合のフロー図を示している。この場
合、アンモニアプラズマ処理に際して、上記図21で説
明した炭窒化シリコンを配線キャップ用の絶縁膜とする
場合の窒素ガスがヘリウムガス(He)となるだけでそ
れ以外は上記図21で説明したのと同じである。この場
合、本発明者の検討によれば、上記のアンモニアプラズ
マ処理におけるヘリウムガスの流入量は、処理室内に流
入した全ガス量の20%程度以下、または10%程度以
下が好ましい。また、パワーおよび処理時間の好ましい
数値例は、上記配線キャップ用の絶縁膜を炭窒化シリコ
ンとする場合で説明したのと同じである。なお、この場
合のモノシランガスによる処理および配線キャップ用の
絶縁膜15b,15dの堆積処理の条件も、前記実施の
形態1で説明したのと同じなので説明を省略する。
FIG. 22 shows a case where a silicon carbide film having a thickness of, for example, about 50 nm is deposited on the main surface of the wafer as an insulating film for wiring caps (the insulating films 15b and 15d of the first embodiment). FIG. 4 shows a flow diagram. In this case, in the case of the ammonia plasma treatment, when the silicon carbonitride described with reference to FIG. 21 is used as the insulating film for the wiring cap, the nitrogen gas is merely helium gas (He). Is the same as In this case, according to the study of the present inventor, the inflow amount of helium gas in the above-described ammonia plasma processing is preferably about 20% or less or about 10% or less of the total gas amount flowing into the processing chamber. Preferred numerical examples of the power and the processing time are the same as those described in the case where the insulating film for the wiring cap is made of silicon carbonitride. In this case, the conditions of the treatment with the monosilane gas and the deposition of the insulating films 15b and 15d for the wiring cap are also the same as those described in the first embodiment, and the description is omitted.

【0100】(実施の形態3)本実施の形態3の半導体
集積回路装置の製造方法を図23〜図31により説明す
る。
(Embodiment 3) A method of manufacturing a semiconductor integrated circuit device according to Embodiment 3 will be described with reference to FIGS.

【0101】図23は、銅を主成分とする埋込配線の形
成に用いるCMP装置の全体構成の一例を示す説明図で
ある。このCMP装置31は、研磨処理部31aとその
後段に設けられた後洗浄部31bとを有している。研磨
処理部31aには、ウエハ1Wの研磨処理を行う2台の
定盤(第1定盤31c1、第2定盤31c2)、研磨処
理が終わったウエハ1Wを予備洗浄し、その表面に防食
処理を施すクリーン・ステーション31d、基板1をロ
ーダ31e、第1定盤31c1、第2定盤31c2、ク
リーン・ステーション31d、アンローダ31f間に移
動させる回転アーム31gなどが設置されている。
FIG. 23 is an explanatory diagram showing an example of the overall configuration of a CMP apparatus used for forming an embedded wiring containing copper as a main component. The CMP apparatus 31 has a polishing section 31a and a post-cleaning section 31b provided at a subsequent stage. The polishing processing section 31a has two surface plates (first surface plate 31c1 and second surface plate 31c2) for polishing the wafer 1W, preliminarily cleaning the polished wafer 1W, and performing anticorrosion treatment on the surface thereof. And a rotating arm 31g for moving the substrate 1 between the loader 31e, the first base plate 31c1, the second base plate 31c2, the clean station 31d, and the unloader 31f.

【0102】研磨処理部31aの後段には予備洗浄が終
わったウエハ1Wの表面をスクラブ洗浄する後洗浄部3
1bが設けられている。後洗浄部31bには、ローダ3
1h、第1洗浄部31i1、第2洗浄部31i2、スピ
ンドライヤ31j、アンローダ31kなどが設置されて
いる。また、研磨処理部31aおよび後洗浄部31b
は、洗浄中のウエハ1Wの表面に光が照射するのを防ぐ
ために、全体が遮光壁31mで囲まれ、内部が180ル
クス、好ましくは100ルクス以下、さらに好ましくは
50ルクス以下の暗室状態となっている。これは、表面
に研磨液が付着したウエハ1Wに湿潤状態で光が照射さ
れると、シリコンの光起電力によってpn接合に短絡電
流が流れ、pn接合のp側(+側)に接続されたCu配
線の表面からCuイオンが解離して配線腐食を引き起こ
すので、それを防止するためである。特に、このような
フォトコロージョンは、ウエハをCMPテーブルから外
した直後から後洗浄部31bへ移送するまでの間で発生
する可能性が高いので、後洗浄部31bのみならず、研
磨処理部31aをも遮光壁31mで取り囲み、暗室状態
を形成している。
In the subsequent stage of the polishing processing section 31a, a post-cleaning section 3 for scrub-cleaning the surface of the wafer 1W which has been subjected to the preliminary cleaning.
1b is provided. The post-cleaning unit 31b includes a loader 3
1h, a first cleaning unit 31i1, a second cleaning unit 31i2, a spin dryer 31j, an unloader 31k, and the like are provided. Further, the polishing processing section 31a and the post-cleaning section 31b
In order to prevent the surface of the wafer 1W being cleaned from being irradiated with light, the whole is surrounded by a light-shielding wall 31m, and the interior is in a dark room state of 180 lux, preferably 100 lux or less, more preferably 50 lux or less. ing. This is because when the wafer 1W having the polishing liquid adhered to the surface is irradiated with light in a wet state, a short-circuit current flows through the pn junction due to the photoelectromotive force of silicon, and the wafer 1W is connected to the p-side (+ side) of the pn junction. This is to prevent Cu ions from dissociating from the surface of the Cu wiring and causing corrosion of the wiring. In particular, since such photocorrosion is highly likely to occur between immediately after the wafer is removed from the CMP table and before the wafer is transferred to the post-cleaning unit 31b, not only the post-cleaning unit 31b but also the polishing processing unit 31a is required. Are also surrounded by a light shielding wall 31m to form a dark room state.

【0103】図24に示すように、第1定盤31c1
は、その下部に設けられた駆動機構31nによって水平
面内で回転駆動する。また、第1定盤31c1の上面に
は多数の気孔を有するポリウレタンなどの合成樹脂を均
一に貼り付けて形成した研磨パッド31pが取り付けら
れている。第1定盤31c1の上方には、駆動機構31
qによって上下動および水平面内で回転駆動するウエハ
キャリア31rが設置されている。ウエハ1Wは、この
ウエハキャリア31rの下端部に設けられたウエハチャ
ック31sおよびリテーナリング31tによって、その
主面(被研磨面=CMP面)を下向きにして保持され、
所定の荷重で研磨パッド31pに押し付けられる。研磨
パッド31pの表面とウエハ1Wの被研磨面との間には
スラリ供給管31uを通じてスラリ(研磨液)Srが供
給され、ウエハ1Wの被研磨面が化学的および機械的に
研磨される。また、第1定盤31c1の上方には、駆動
機構31vによって上下動および水平面内で回転駆動す
るドレッサ31wが設置されている。ドレッサ31wの
下端部にはダイヤモンド粒子を電着した基材が取り付け
られており、研磨パッド31pの表面は、研磨砥粒によ
る目詰まりを防ぐために、この基材によって定期的に切
削される。なお、第2定盤31c2は、2本のスラリ供
給管31u、31uが設けられている点を除き、第1定
盤31c1とほぼ同様の構成になっている。
As shown in FIG. 24, the first platen 31c1
Is rotationally driven in a horizontal plane by a driving mechanism 31n provided thereunder. A polishing pad 31p formed by uniformly applying a synthetic resin such as polyurethane having a large number of pores is attached to the upper surface of the first base plate 31c1. The drive mechanism 31 is provided above the first surface plate 31c1.
A wafer carrier 31r that is moved up and down by q and rotated in a horizontal plane is provided. The wafer 1W is held with its main surface (polished surface = CMP surface) downward by a wafer chuck 31s and a retainer ring 31t provided at the lower end of the wafer carrier 31r.
It is pressed against the polishing pad 31p with a predetermined load. A slurry (polishing liquid) Sr is supplied between the surface of the polishing pad 31p and the surface to be polished of the wafer 1W through a slurry supply pipe 31u, and the surface to be polished of the wafer 1W is chemically and mechanically polished. A dresser 31w is provided above the first surface plate 31c1 to be vertically moved by a driving mechanism 31v and to be rotationally driven in a horizontal plane. A base material on which diamond particles are electrodeposited is attached to the lower end of the dresser 31w, and the surface of the polishing pad 31p is periodically cut by the base material in order to prevent clogging by abrasive grains. The second surface plate 31c2 has substantially the same configuration as the first surface plate 31c1, except that two slurry supply pipes 31u and 31u are provided.

【0104】上記CMP装置31を使って銅を主成分と
する埋め込み配線を形成するには、ローダ31eに収容
されたウエハ1Wを回転アーム31gを使って研磨処理
部31aに搬入する。このウエハ1Wは、前記図6〜図
8で説明したのと同じ工程を経たものである。そして、
まず、図25に示すように、ウエハ1Wを第1定盤31
c1の上において、砥粒を含まないスラリを使用した化
学機械研磨(砥粒フリー化学機械研磨)(第1ステップ
のCMP)を行い、図26および図27に示すように、
配線溝16aの外部の銅からなる主導体膜18aを除去
する。
In order to form a buried wiring mainly composed of copper by using the CMP apparatus 31, the wafer 1W accommodated in the loader 31e is loaded into the polishing processing section 31a by using the rotating arm 31g. The wafer 1W has undergone the same steps as those described with reference to FIGS. And
First, as shown in FIG.
On c1, chemical mechanical polishing (abrasive-free chemical mechanical polishing) using a slurry containing no abrasive grains (CMP in the first step) is performed, as shown in FIGS. 26 and 27.
The main conductor film 18a made of copper outside the wiring groove 16a is removed.

【0105】ここで砥粒フリー化学機械研磨とは、アル
ミナ、シリカなどの粉末からなる砥粒の含有量が0.5
重量%以下の研磨液(スラリ)を使用した化学機械研磨
を意味し、研磨液としては、特に砥粒の含有量が0.1
重量%以下のものが好ましく、0.05重量%以下ある
いは0.01重量%以下のものはさらに好ましい。
Here, the abrasive grain-free chemical mechanical polishing means that the content of abrasive grains made of a powder such as alumina or silica is 0.5%.
It means chemical mechanical polishing using a polishing liquid (slurry) of not more than% by weight.
% By weight or less, more preferably 0.05% by weight or less or 0.01% by weight or less.

【0106】また、研磨液としては、銅の腐食域に属す
るようにそのpHが調整されたものが使用され、さらに
導電性バリア膜21aに対する主導体膜22aの研磨選
択比が少なくとも5以上となるようにその組成が調整さ
れたものが使用される。このような研磨液として、酸化
剤と有機酸とを含んだスラリを例示することができる。
酸化剤としては、過酸化水素、水酸化アンモニウム、硝
酸アンモニウム、塩化アンモニウムなどを例示すること
ができ、有機酸としては、クエン酸、マロン酸、フマル
酸、リンゴ酸、アジピン酸、安息香酸、フタル酸、酒石
酸、乳酸、コハク酸、シュウ酸などを例示することがで
きる。これらのうち、過酸化水素は金属成分を含まず、
かつ強酸ではないため、研磨液に用いて好適な酸化剤で
ある。また、クエン酸は食品添加物としても一般に使用
されており、毒性が低く、廃液としての害も低く、臭い
もなく、水への溶解度も高いため、研磨液に用いて好適
な有機酸である。本実施の形態3では、例えば純水に5
体積%の過酸化水素と0.03重量%のクエン酸とを加
え、砥粒の含有量を0.01重量%未満にした研磨液を
使用する。
As the polishing liquid, a polishing liquid whose pH has been adjusted so as to belong to the corrosive zone of copper is used, and the polishing selectivity of the main conductor film 22a to the conductive barrier film 21a is at least 5 or more. The composition of which is adjusted as described above is used. As such a polishing liquid, a slurry containing an oxidizing agent and an organic acid can be exemplified.
Examples of the oxidizing agent include hydrogen peroxide, ammonium hydroxide, ammonium nitrate, and ammonium chloride. Examples of the organic acid include citric acid, malonic acid, fumaric acid, malic acid, adipic acid, benzoic acid, and phthalic acid. , Tartaric acid, lactic acid, succinic acid, oxalic acid and the like. Of these, hydrogen peroxide does not contain metal components,
Since it is not a strong acid, it is a suitable oxidizing agent for use in polishing liquids. In addition, citric acid is also commonly used as a food additive, has low toxicity, has low harm as a waste liquid, has no odor, and has high solubility in water, so it is a suitable organic acid for use in a polishing liquid. . In the third embodiment, for example, 5
A polishing liquid is used in which volume% of hydrogen peroxide and 0.03% by weight of citric acid are added to reduce the content of abrasive grains to less than 0.01% by weight.

【0107】上記研磨液で化学機械研磨を行うと、まず
銅表面が酸化剤によって酸化され、表面に薄い酸化層が
形成される。次に酸化物を水溶性化する物質が供給され
ると上記酸化層が水溶液となって溶出し、上記酸化層の
厚さか減る。酸化層が薄くなった部分は再度酸化性物質
に晒されて酸化層の厚さが増し、この反応を繰り返して
化学機械研磨が進行する。なお、このような砥粒フリー
の研磨液を使用した化学機械研磨については、本願発明
者などによる特願平9−299937号および特願平1
0−317233号に詳しく記載されている。
When chemical mechanical polishing is performed with the above polishing liquid, first, the copper surface is oxidized by an oxidizing agent, and a thin oxide layer is formed on the surface. Next, when a substance for making the oxide water-soluble is supplied, the oxide layer is eluted as an aqueous solution, and the thickness of the oxide layer is reduced. The portion where the oxide layer has become thinner is again exposed to an oxidizing substance to increase the thickness of the oxide layer. This reaction is repeated, and chemical mechanical polishing proceeds. The chemical mechanical polishing using such an abrasive-free polishing liquid is disclosed in Japanese Patent Application Nos. 9-299937 and 1-1990 by the present inventors.
No. 0-317233.

【0108】研磨の条件は、一例として荷重=250g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=150cc/minとし、研磨パッド
は、米国ロデール(Rodel) 社の硬質パッド(IC140
0)を使用する。研磨の終点は、主導体膜18aが除去
されて下地の導電性バリア膜17aが露出した時点と
し、終点の検出は、研磨対象が主導体膜18aから導電
性バリア膜17aになったときに変化する定盤またはウ
エハキャリアの回転トルク信号強度を検出することによ
って行う。また、研磨パッドの一部に穴を開け、基板1
表面からの光反射スペクトル変化に基づいて終点を検出
したり、スラリの光学的スペクトル変化に基づいて終点
を検出したりしても良い。
The polishing conditions are, for example, a load = 250 g.
/ cm 2 , wafer carrier rotation speed = 30 rpm, surface plate rotation speed =
The polishing pad was a hard pad (Rodell, USA) (IC140) at 25 rpm and a slurry flow rate of 150 cc / min.
0) is used. The polishing end point is a point in time when the main conductive film 18a is removed and the underlying conductive barrier film 17a is exposed. This is performed by detecting the intensity of the rotation torque signal of the surface plate or wafer carrier. Also, a hole is made in a part of the polishing pad, and the substrate 1
The end point may be detected based on a change in the light reflection spectrum from the surface, or the end point may be detected based on the change in the optical spectrum of the slurry.

【0109】上記の砥粒フリー化学機械研磨を行うこと
により、配線溝16aの外部の主導体膜18aは殆ど除
去されて下層の導電性バリア膜17aが露出するが、下
地段差に起因して生じた導電性バリア膜17aの窪み
(矢印で示す)などには、この研磨では除去しきれなか
った主導体膜18aが残存する。
By performing the above-mentioned abrasive-free chemical mechanical polishing, the main conductor film 18a outside the wiring groove 16a is almost completely removed and the lower conductive barrier film 17a is exposed. In the recesses (indicated by arrows) of the conductive barrier film 17a, the main conductor film 18a that cannot be completely removed by this polishing remains.

【0110】次に、配線溝16aの外部の導電性バリア
膜17aとその上面に局所的に残った主導体膜18aと
を除去するために、ウエハ1Wを、上記図23〜図25
に示した第1定盤31c1から第2定盤31c2に移
し、砥粒を含む研磨液(スラリ)を使用した化学機械研
磨(有砥粒化学機械研磨)(第2ステップのCMP)を
行う。ここで有砥粒化学機械研磨とは、アルミナ、シリ
カなどの粉末からなる砥粒の含有量が0.5重量%より
も多いの研磨液を使用した化学機械研磨を意味する。本
実施の形態3では、研磨液として純水に5体積%の過酸
化水素、0.03重量%のクエン酸および0.5重量%
の砥粒を混合したものを使用するが、これに限定される
ものではない。この研磨液は、前記のスラリ供給管31
uを通じて第2定盤31c2の研磨パッド31pに供給
される。
Next, in order to remove the conductive barrier film 17a outside the wiring groove 16a and the main conductor film 18a left locally on the upper surface thereof, the wafer 1W is removed from the wafer 1W as shown in FIGS.
Is transferred from the first surface plate 31c1 to the second surface plate 31c2, and a chemical mechanical polishing (abrasive grain chemical mechanical polishing) (a CMP in the second step) using a polishing liquid (slurry) containing abrasive grains is performed. Here, the abrasive grain chemical mechanical polishing means chemical mechanical polishing using a polishing liquid in which the content of abrasive grains made of a powder such as alumina or silica is more than 0.5% by weight. In the third embodiment, 5 vol% hydrogen peroxide, 0.03 wt% citric acid and 0.5 wt%
Is used, but the present invention is not limited to this. This polishing liquid is supplied to the slurry supply pipe 31.
The liquid is supplied to the polishing pad 31p of the second platen 31c2 through u.

【0111】また、この有砥粒化学機械研磨において
は、導電性バリア膜17aの上面に局所的に残った主導
体膜18aの除去に引き続いて、配線溝16aの外部の
導電性バリア膜17aを除去する。そこで、導電性バリ
ア膜17aに対する主導体膜18aの研磨選択比が前記
砥粒フリー化学機械研磨のそれよりも低い条件、例えば
選択比3以下の条件で研磨を行い、配線溝16aの内部
の主導体膜18aの表面が研磨されるのを抑制する。
In the abrasive grain chemical mechanical polishing, following the removal of the main conductive film 18a locally remaining on the upper surface of the conductive barrier film 17a, the conductive barrier film 17a outside the wiring groove 16a is removed. Remove. Therefore, polishing is performed under the condition that the polishing selection ratio of the main conductor film 18a to the conductive barrier film 17a is lower than that of the abrasive grain-free chemical mechanical polishing, for example, at a selection ratio of 3 or less. Polishing of the surface of the body film 18a is suppressed.

【0112】研磨の条件は、一例として荷重=120g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=150cc/minとし、研磨パッド
は、ロデール社のIC1400を使用する。研磨量は導
電性バリア膜17aの膜厚相当分とし、研磨の終点は、
導電性バリア膜17aの膜厚および研磨速度から算出し
た時間によって制御する。
The polishing conditions are, for example, load = 120 g.
/ cm 2 , wafer carrier rotation speed = 30 rpm, surface plate rotation speed =
At 25 rpm, the slurry flow rate is 150 cc / min, and the polishing pad uses an IC 1400 manufactured by Rodale. The polishing amount is equivalent to the film thickness of the conductive barrier film 17a.
It is controlled by the time calculated from the thickness and the polishing rate of the conductive barrier film 17a.

【0113】次いで、図28および図29に示すよう
に、上記の有砥粒化学機械研磨を行うことにより、配線
溝16aの外部の導電性バリア膜17aは殆ど除去され
て下層の絶縁膜12bが露出するが、下地段差に起因し
て生じた絶縁膜12bの窪み(矢印で示す)などには、
上記の研磨で除去しきれなかった導電性バリア膜18a
が残存する。
Next, as shown in FIGS. 28 and 29, by performing the above-mentioned abrasive grain chemical mechanical polishing, the conductive barrier film 17a outside the wiring groove 16a is almost removed, and the lower insulating film 12b is removed. Although exposed, a dent (indicated by an arrow) of the insulating film 12b caused by the step of the base may be:
The conductive barrier film 18a that could not be removed by the above polishing
Remain.

【0114】次に、配線溝16aの内部の主導体膜18
aの研磨を可能な限り抑制しつつ、配線溝16aの外部
の絶縁膜12b上に局所的に残った導電性バリア膜17
aを除去するための選択的化学機械研磨(第3ステップ
のCMP)を行う。この選択的化学機械研磨は、主導体
膜18aに対する導電性バリア膜17aの研磨選択比が
少なくとも5以上となる条件で行う。また、この化学機
械研磨は、主導体膜18aの研磨速度に対する絶縁膜1
2bの研磨速度の比が1よりも大きくなる条件で行う。
Next, the main conductor film 18 inside the wiring groove 16a is formed.
The conductive barrier film 17 locally remaining on the insulating film 12b outside the wiring groove 16a while suppressing the polishing of
Perform selective chemical mechanical polishing (CMP of the third step) to remove a. This selective chemical mechanical polishing is performed under the condition that the polishing selection ratio of the conductive barrier film 17a to the main conductor film 18a is at least 5 or more. In addition, this chemical mechanical polishing is performed by using the insulating film 1 with respect to the polishing rate of the main conductor film 18a.
The polishing is performed under the condition that the ratio of the polishing rates of 2b is larger than 1.

【0115】上記選択的化学機械研磨を行うには、一般
に前記有砥粒化学機械研磨で使用したような0.5重量
%よりも多いの砥粒を含有する研磨液に防食剤を添加し
たものを使用する。防食剤とは、主導体膜18aの表面
に耐食性の保護膜を形成することによって研磨の進行を
阻止または抑制する薬剤をいい、ベンゾトリアゾール
(BTA)、BTAカルボン酸などのBTA誘導体、ド
デシルメルカプタン、トリアゾール、トリルトリアゾー
ルなどが使用されるが、特にBTAを使用した場合に安
定な保護膜を形成することができる。
In order to carry out the above-mentioned selective chemical mechanical polishing, generally, a polishing liquid containing more than 0.5% by weight of abrasive grains, as used in the above-mentioned abrasive grain chemical mechanical polishing, obtained by adding an anticorrosive agent to the polishing liquid. Use The anticorrosion agent refers to a chemical agent that inhibits or suppresses the progress of polishing by forming a corrosion-resistant protective film on the surface of the main conductor film 18a. Triazole, tolyltriazole, and the like are used. In particular, when BTA is used, a stable protective film can be formed.

【0116】防食剤としてBTAを使用する場合、その
濃度はスラリの種類にもよるが、通常は0.001〜1
重量%、より好ましくは0.01〜1重量%、さらに好
ましくは0.1〜1重量%(3段階)の添加で十分な効
果が得られる。本実施の形態3では、研磨液として前記
第2ステップの有砥粒化学機械研磨で使用した研磨液に
防食剤として0.1重量%のBTAを混合したものを使
用するが、これに限定されるものではない。また、防食
剤の添加による研磨速度の低下を避けるために、ポリア
クリル酸、ポリメタクリル酸、これらのアンモニウム塩
またはエチレンジアミン四酢酸(EDTA)などを必要
に応じて添加してもよい。なお、このような防食剤を含
むスラリを使用した化学機械研磨については、本願発明
者などによる特願平10−209857号、特願平9−
299937号、特願平10−317233号およびU
SSN.09/527,751に詳しく記載されてい
る。
When BTA is used as an anticorrosive, its concentration depends on the type of slurry, but is usually 0.001 to 1
Sufficient effects can be obtained by adding 0.1% by weight, more preferably 0.01% to 1% by weight, and even more preferably 0.1% to 1% by weight (3 stages). In the third embodiment, a polishing liquid obtained by mixing 0.1% by weight of BTA as an anticorrosive with a polishing liquid used in the abrasive chemical mechanical polishing in the second step is used, but the present invention is not limited to this. Not something. Further, in order to avoid a decrease in the polishing rate due to the addition of the anticorrosive, polyacrylic acid, polymethacrylic acid, an ammonium salt thereof, ethylenediaminetetraacetic acid (EDTA) or the like may be added as necessary. The chemical mechanical polishing using a slurry containing such an anticorrosive is described in Japanese Patent Application Nos. 10-209857 and 9-209 filed by the present inventors.
No. 299937, Japanese Patent Application No. 10-317233 and U
SSN. 09 / 527,751.

【0117】この選択的化学機械研磨(第3ステップの
CMP)は、前記の有砥粒化学機械研磨(第2ステップ
のCMP)が終了した後、引き続いて図23〜図25に
示したCMP装置の第2定盤31c2の上で行われる。
防食剤を添加した研磨液は、前記のスラリ供給管31u
を通じて研磨パッド31pの表面に供給される。研磨の
条件は、一例として荷重=120g/cm2、ウエハキャリ
ア回転数=30rpm 、定盤回転数=25rpm 、スラリ流
量=190cc/minとする。
This selective chemical mechanical polishing (third step CMP) is performed after the completion of the above-mentioned abrasive grain chemical mechanical polishing (second step CMP), followed by the CMP apparatus shown in FIGS. Is performed on the second platen 31c2.
The polishing liquid to which the anticorrosive has been added is supplied to the slurry supply pipe 31u.
Through the surface of the polishing pad 31p. The polishing conditions are, for example, load = 120 g / cm 2 , wafer carrier rotation speed = 30 rpm, platen rotation speed = 25 rpm, and slurry flow rate = 190 cc / min.

【0118】次いで、図30および図31に示すよう
に、上記の選択的化学機械研磨を行うことにより、配線
溝16aの外部の導電性バリア膜17aがすべて除去さ
れ、配線溝16aの内部に埋込第2層配線L2が形成さ
れる。埋込第2層配線L2の形成が完了した上記ウエハ
1Wの表面には、砥粒などのパーティクルやCu酸化物
などの金属粒子を含んだスラリ残渣が付着している。そ
こで、このスラリ残渣を除去するために、まず、前記図
23に示すクリーン・ステーション31dにおいてBT
Aを含む純水でウエハ1Wを洗浄する。このとき、洗浄
液に800kHz以上の高周波振動を加えてウエハ1W
の表面からスラリ残渣を遊離させるメガソニック洗浄を
併用してもよい。次に、表面の乾燥を防ぐためにウエハ
1Wを湿潤状態に保持した状態で研磨処理部31aから
後洗浄部31bに搬送し、第1洗浄部31i1において
0.1重量%のNH4 OHを含む洗浄液を用いたスク
ラブ洗浄を行い、続いて第2洗浄部31i2において純
水を用いたスクラブ洗浄を行う。前記のように、後洗浄
部31bは、洗浄中のウエハ1Wの表面に光が照射する
ことに起因して埋込第2層配線L2に腐食が発生するの
を防ぐため、全体が遮光壁31mで覆われている。
Next, as shown in FIGS. 30 and 31, by performing the above-mentioned selective chemical mechanical polishing, all the conductive barrier film 17a outside the wiring groove 16a is removed and embedded in the wiring groove 16a. The embedded second-layer wiring L2 is formed. A slurry residue containing particles such as abrasive grains and metal particles such as Cu oxide adheres to the surface of the wafer 1W on which the formation of the buried second layer wiring L2 is completed. Therefore, in order to remove this slurry residue, first, in the clean station 31d shown in FIG.
The wafer 1W is washed with pure water containing A. At this time, high frequency vibration of 800 kHz or more is applied to the cleaning
Megasonic cleaning for releasing the slurry residue from the surface of the substrate may be used in combination. Next, the wafer 1W is transported from the polishing processing section 31a to the post-cleaning section 31b while keeping the wafer 1W in a wet state in order to prevent the surface from drying, and the cleaning liquid containing 0.1% by weight of NH 4 OH is washed in the first cleaning section 31i1. The used scrub cleaning is performed, and then the scrub cleaning using pure water is performed in the second cleaning unit 31i2. As described above, the post-cleaning portion 31b is entirely formed of the light shielding wall 31m in order to prevent the buried second layer wiring L2 from being corroded due to the irradiation of light on the surface of the wafer 1W being cleaned. Covered with.

【0119】上記スクラブ洗浄(後洗浄)が完了したウ
エハ1Wは、スピンドライヤ31jで乾燥された後、次
工程へ搬送される。その後の工程は前記実施の形態1と
同様である。図32は、上述した埋込第2層配線L2の
形成プロセスの一部を示すフロー図である。これ以外の
工程は、前記実施の形態1,2の各手段と同じである。
After the scrub cleaning (post-cleaning) is completed, the wafer 1W is dried by the spin drier 31j and then transferred to the next step. Subsequent steps are the same as in the first embodiment. FIG. 32 is a flowchart showing a part of the formation process of the buried second layer wiring L2 described above. The other steps are the same as those of the first and second embodiments.

【0120】本実施の形態によれば、前記実施の形態
1,2の場合よりさらにTDDB寿命を向上できる。こ
れは、有砥粒の場合、スラリには2〜3μmの粒径(2
次粒径)の砥粒(アルミナ等)が含まれる。この砥粒に
よりマイクロスクラッチが生じ、酸化シリコン膜(絶縁
膜16d)の表面にダメージを与える。しかし、砥粒フ
リーの場合にはスラリに砥粒が含まれず、あるいは含ま
れていてもごく少数であるため、ダメージを大幅に軽減
できる。このため、TDDB特性が改善されたものと考
えられる。
According to this embodiment, the TDDB life can be further improved as compared with the first and second embodiments. This is because, in the case of abrasive grains, the slurry has a particle size of 2-3 μm (2
Abrasive grains (e.g., alumina). The abrasive grains cause micro-scratch and damage the surface of the silicon oxide film (insulating film 16d). However, in the case where the abrasive grains are free, the abrasive grains are not contained in the slurry, or even if they are contained, the number is very small, so that the damage can be greatly reduced. Therefore, it is considered that the TDDB characteristics have been improved.

【0121】(実施の形態4)本実施の形態4では、還
元性プラズマ処理として、前記実施の形態1〜3のアン
モニアプラズマ処理に代えて水素プラズマ処理を行う場
合について説明する。すなわち、本実施の形態4では、
上記のようなCMP後洗浄処理(スピンドライヤー等に
よる最終的な乾燥処理を含む)後に、ウエハ1Wの主面
(特に埋込第2層配線L2が露出するCMP面)に対し
て、水素プラズマ処理を施す。この水素プラズマ処理条
件は、例えばウエハ1Wの直径を8インチ(=約200
mm)とした場合、処理圧力を5.0Torr(=6.
6661×102Pa)、高周波(RF)電力を600
W、基板温度を400℃、水素ガス流量を500cm3
/min、処理時間を10〜30秒とした。電極間距離
は600mils(15.24mm)とした。処理ガス
は、例えば水素(H)の単体ガスまたは水素(H)と窒
素(N)との混合ガスを用いた。これ以外は、前記実施
の形態1〜3と同じである。
(Embodiment 4) In Embodiment 4, a case will be described in which hydrogen plasma processing is performed as the reducing plasma processing in place of the ammonia plasma processing of Embodiments 1 to 3. That is, in the fourth embodiment,
After the post-CMP cleaning process (including the final drying process using a spin dryer or the like), the main surface of the wafer 1W (particularly, the CMP surface where the embedded second-layer wiring L2 is exposed) is subjected to hydrogen plasma processing. Is applied. This hydrogen plasma processing condition is, for example, that the diameter of the wafer 1W is 8 inches (= about 200
mm), the processing pressure is 5.0 Torr (= 6.mm).
6661 × 10 2 Pa) and a high frequency (RF) power of 600
W, substrate temperature 400 ° C., hydrogen gas flow rate 500 cm 3
/ Min, and the processing time was 10 to 30 seconds. The distance between the electrodes was 600 mils (15.24 mm). As the processing gas, for example, a simple gas of hydrogen (H) or a mixed gas of hydrogen (H) and nitrogen (N) was used. Except for this, it is the same as the first to third embodiments.

【0122】このような水素プラズマ処理を施すことに
より、本発明者らによる特願平11−226876号や
特願2000−300853号でも述べたように、有機
系の除去能力が非常に高い(前記したアンモニアプラズ
マ処理等に比べて高い)ため、CMPでのスラリに含ま
れているBTA、スラリ成分やCMP後洗浄の有機酸と
プロセス中に生成した残留有機物をほぼ完全に除去し、
界面のリーク電流を減少させることができる。その結
果、TDDB寿命をさらに向上させることができる。
By performing such a hydrogen plasma treatment, as described in Japanese Patent Application Nos. 11-226876 and 2000-300583 by the present inventors, the ability to remove organic compounds is extremely high (as described above). BTA, CMP, slurry components, organic acids used for post-CMP cleaning, and residual organic matter generated during the process are almost completely removed.
The leakage current at the interface can be reduced. As a result, the TDDB life can be further improved.

【0123】(実施の形態5)本実施の形態5において
は、上記還元性プラズマ処理として、アンモニアプラズ
マ処理および水素プラズマ処理の両方を行う場合につい
て説明する。すなわち、ここでは、前記実施の形態1に
おいて、上記のようなCMP後洗浄処理(スピンドライ
ヤー等による最終的な乾燥処理を含む)後に、ウエハ1
Wの主面(特に埋込第2層配線L2が露出するCMP
面)に対して、水素プラズマ処理を施した後、大気開放
せず真空状態のままガスを変えてアンモニアプラズマ処
理を施す。これ以外は、前記実施の形態1〜4と同じで
ある。また、水素プラズマおよびアンモニアプラズマの
処理条件も、前記実施の形態1〜4と同じなので説明を
省略する。このように水素プラズマ処理とアンモニアプ
ラズマ処理とを順に行うことにより、銅を主成分として
有する埋込第2層配線L2表面の還元および耐シリサイ
ドバリア層の形成と、絶縁膜12bの界面のクリーニン
グおよびSiH効果、SiN効果を得ることができ、さ
らなる信頼性の向上を実現できる。層間絶縁膜が、例え
ばTEOS(Tetraethoxysilane)ガスを用いたプラズ
マCVD法で形成された酸化シリコン膜上に、プラズマ
CVD法で形成された窒化シリコン膜を堆積することで
構成されている場合において、水素プラズマとアンモニ
アプラズマとを組み合わせて行ったサンプルでは、アン
モニアプラズマ処理単独の場合と比較して、TDDB寿
命が約2桁向上することが本発明者らによって明らかと
された。また、層間絶縁膜として上記SiLKを用いた
場合でも、水素プラズマおよびアンモニアプラズマを用
いた場合には、例えば約0.13〜0.17MV/c
m、10年の動作環境でも充分な信頼度を確保できるこ
とが本発明者らの実験によって明らかとされた。
(Fifth Embodiment) In the fifth embodiment, a case will be described in which both the ammonia plasma treatment and the hydrogen plasma treatment are performed as the reducing plasma treatment. That is, here, in the first embodiment, after the post-CMP cleaning process (including the final drying process using a spin drier or the like), the wafer 1
Main surface of W (particularly, CMP in which buried second layer wiring L2 is exposed)
After applying hydrogen plasma treatment to the surface, ammonia gas treatment is performed by changing the gas in a vacuum state without opening to the atmosphere. Except for this, it is the same as the first to fourth embodiments. Further, the processing conditions of the hydrogen plasma and the ammonia plasma are the same as those in the first to fourth embodiments, and thus the description thereof is omitted. By performing the hydrogen plasma treatment and the ammonia plasma treatment in this order, reduction of the surface of the buried second-layer wiring L2 containing copper as a main component and formation of a silicide-resistant barrier layer, cleaning of the interface of the insulating film 12b, and The SiH effect and the SiN effect can be obtained, and the reliability can be further improved. In the case where the interlayer insulating film is formed by depositing a silicon nitride film formed by a plasma CVD method on a silicon oxide film formed by a plasma CVD method using TEOS (Tetraethoxysilane) gas, hydrogen The present inventors have clarified that the TDDB life of a sample obtained by combining plasma and ammonia plasma is improved by about two orders of magnitude as compared with the case of ammonia plasma treatment alone. Even when the above-described SiLK is used as the interlayer insulating film, when hydrogen plasma and ammonia plasma are used, for example, about 0.13 to 0.17 MV / c
The experiments by the present inventors have revealed that sufficient reliability can be ensured even in an operating environment of 10 years.

【0124】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
Although the invention made by the inventor has been specifically described based on the embodiment, the invention is not limited to the embodiment, and various modifications can be made without departing from the gist of the invention. Needless to say,

【0125】例えば前記実施の形態では銅を主成分とす
る配線の表層にシリコンを固溶させる方法として無機系
シラン化合物ガス処理を例示したが、これに限定される
ものではなく、例えば銅を主成分とする配線の表面にシ
リコン(Si)をイオン注入法によって打ち込み固溶さ
せても良い。
For example, in the above-described embodiment, an inorganic silane compound gas treatment has been exemplified as a method for dissolving silicon in the surface layer of a wiring containing copper as a main component. However, the present invention is not limited to this. Silicon (Si) may be implanted into the surface of the wiring as a component by ion implantation to form a solid solution.

【0126】また、前記実施の形態では銅の拡散を抑制
または防止する原子をシリコンとした場合について説明
したが、これに限定されるものではなく種々変更可能で
あり、例えばニッケル(Ni)またはルテニウム(R
u)等でも良い。この場合、銅を主成分とする埋込配線
形成用の導体膜をスパッタリング法によりウエハの主面
上に堆積する際に、ターゲットにニッケルまたはルテニ
ウム等のような原子を数%程度混入させておく。この場
合、ニッケルやルテニウムは、埋込配線の全体に分散し
て固溶される。これにより、埋込配線の銅(酸化銅)の
拡散を抑制または防止できるので、SMに起因するボイ
ドの発生を抑制または防止できる。また、ニッケルやル
テニウムを数%程度固溶しても配線抵抗が大幅に上昇す
ることもない。
Further, in the above-described embodiment, a case has been described where the atom for suppressing or preventing the diffusion of copper is silicon. However, the present invention is not limited to this, and various changes can be made. For example, nickel (Ni) or ruthenium can be used. (R
u) or the like. In this case, when depositing a conductive film mainly containing copper for forming an embedded wiring on the main surface of the wafer by sputtering, atoms such as nickel or ruthenium are mixed in the target by about several%. . In this case, nickel and ruthenium are dispersed and dissolved in the entire buried wiring. Thereby, the diffusion of copper (copper oxide) in the embedded wiring can be suppressed or prevented, so that the generation of voids due to SM can be suppressed or prevented. Also, even if nickel or ruthenium is solid-dissolved by about several percent, the wiring resistance does not significantly increase.

【0127】また、前記実施の形態においては、Low
−K材料を層間絶縁膜材料として用いた場合について説
明したが、これに限定されるものではなく、層間絶縁膜
を酸化シリコン膜とする一般的な埋込配線構造としても
良い。
In the above embodiment, the low level
Although the case where the −K material is used as the interlayer insulating film material has been described, the present invention is not limited to this, and a general buried wiring structure in which the interlayer insulating film is a silicon oxide film may be used.

【0128】また、前記実施の形態では、Low−Kキ
ャップ用の絶縁膜を有する場合について説明したが、前
記CMP処理に際して前記砥粒フリー化学機械研磨を採
用することにより、CMP面の絶縁膜の研磨面をスクラ
ッチフリーにできるので、Low−Kキャップ用の絶縁
膜を無くした構造とすることも可能である。この場合、
配線層における絶縁膜の誘電率を大幅に低減でき、配線
容量を大幅に低減できるので、半導体装置の動作速度を
向上させることが可能となる。
Further, in the above embodiment, the case where the insulating film for the low-K cap is provided has been described. However, by employing the abrasive-free chemical mechanical polishing at the time of the CMP processing, the insulating film on the CMP surface can be formed. Since the polished surface can be made scratch-free, it is possible to adopt a structure in which an insulating film for a Low-K cap is eliminated. in this case,
Since the dielectric constant of the insulating film in the wiring layer can be significantly reduced and the wiring capacitance can be significantly reduced, the operation speed of the semiconductor device can be improved.

【0129】また、前記実施の形態5では、水素プラズ
マ処理後にアンモニアプラズマ処理を施す場合について
説明したが、これに限定されるものではなく種々変更可
能であり、例えばアンモニアプラズマ処理後、真空状態
を維持したまま水素プラズマ処理に連続的に移行しても
良い。この場合でも、TDDB寿命を向上させることが
できる。
In the fifth embodiment, the case where the ammonia plasma treatment is performed after the hydrogen plasma treatment has been described. However, the present invention is not limited to this case, and various changes can be made. The process may be continuously shifted to the hydrogen plasma treatment while maintaining the same. Even in this case, the TDDB life can be improved.

【0130】以上の説明では主として本発明者によって
なされた発明をその背景となった利用分野であるCMI
S回路を有する半導体集積回路装置の製造方法に適用し
た場合について説明したが、それに限定されるものでは
なく、例えばDRAM(Dynamic Random Access Memor
y)、SRAM(Static Random Access Memory)または
フラッシュメモリ(EEPROM;Electric Erasable
Programmable Read Only Memory)等のようなメモリ回
路を有する半導体集積回路装置、マイクロプロセッサ等
のような論理回路を有する半導体集積回路装置あるいは
上記メモリ回路と論理回路とを同一基板に設けている混
載型の半導体集積回路装置等、他の半導体集積回路装置
の製造方法にも適用できる。本発明は、少なくとも埋込
銅配線構造を有する半導体集積回路装置、電子回路装
置、電子装置またはマイクロマシン等に適用可能であ
る。
In the above description, the invention made mainly by the present inventor is described in the CMI, which is a field of application which is the background of the invention.
The case where the present invention is applied to a method of manufacturing a semiconductor integrated circuit device having an S circuit has been described. However, the present invention is not limited to this. For example, a dynamic random access memory (DRAM)
y), SRAM (Static Random Access Memory) or flash memory (EEPROM; Electric Erasable)
A semiconductor integrated circuit device having a memory circuit such as a programmable read only memory (RAM), a semiconductor integrated circuit device having a logic circuit such as a microprocessor, or a mixed type in which the memory circuit and the logic circuit are provided on the same substrate. The present invention can be applied to a method of manufacturing another semiconductor integrated circuit device such as a semiconductor integrated circuit device. The present invention is applicable to a semiconductor integrated circuit device, an electronic circuit device, an electronic device, a micromachine, or the like having at least a buried copper wiring structure.

【0131】[0131]

【発明の効果】本願によって開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば、
以下の通りである。
Advantageous effects obtained by typical ones of the inventions disclosed by the present application will be briefly described as follows.
It is as follows.

【0132】すなわち、配線開口部内に形成された銅を
主成分とする配線の表面に銅の拡散を抑制または防止す
るような第1原子を固溶させた後、成膜ガスとして有機
系シラン化合物ガスを用いる化学気相成長法によって配
線上に絶縁膜を堆積する工程を有することにより、銅を
主成分とする配線を有する半導体集積回路装置のストレ
スマイグレーション不良を抑制または防止することがで
きる。このため、銅を主成分とする配線を有する半導体
集積回路装置の信頼性を向上させることが可能となる。
That is, after a first atom for suppressing or preventing the diffusion of copper is solid-dissolved on the surface of the wiring mainly composed of copper formed in the wiring opening, an organic silane compound is used as a film forming gas. By including a step of depositing an insulating film over a wiring by a chemical vapor deposition method using a gas, stress migration failure of a semiconductor integrated circuit device having a wiring containing copper as a main component can be suppressed or prevented. For this reason, it is possible to improve the reliability of a semiconductor integrated circuit device having a wiring containing copper as a main component.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施の形態のTDDB寿命測定に使
用した試料の平面図である。
FIG. 1 is a plan view of a sample used for TDDB life measurement according to an embodiment of the present invention.

【図2】図1のB−B’線の断面図である。FIG. 2 is a sectional view taken along line B-B 'of FIG.

【図3】図1のC−C’線の断面図である。FIG. 3 is a sectional view taken along line C-C 'of FIG.

【図4】図1の試料を用いた場合の測定の概要を示した
説明図である。
FIG. 4 is an explanatory diagram showing an outline of measurement when the sample of FIG. 1 is used.

【図5】本発明の一実施の形態である半導体集積回路装
置の製造工程のフロー図である。
FIG. 5 is a flowchart of a manufacturing process of the semiconductor integrated circuit device according to one embodiment of the present invention;

【図6】本発明の一実施の形態である半導体集積回路装
置の製造工程中における要部平面図である。
FIG. 6 is a plan view of a principal part during a manufacturing step of the semiconductor integrated circuit device according to the embodiment of the present invention;

【図7】図6のX1−X1線の断面図である。FIG. 7 is a sectional view taken along line X1-X1 of FIG. 6;

【図8】図6に続く半導体集積回路装置の製造工程中に
おける図6のX1−X1線に相当する部分の断面図であ
る。
8 is a cross-sectional view of a part corresponding to the line X1-X1 in FIG. 6 during a manufacturing step of the semiconductor integrated circuit device subsequent to FIG. 6;

【図9】図8に続く半導体集積回路装置の製造工程中に
おける図6のX1−X1線に相当する部分の断面図であ
る。
9 is a cross-sectional view of a part corresponding to the line X1-X1 of FIG. 6 during a manufacturing step of the semiconductor integrated circuit device subsequent to FIG. 8;

【図10】図9に続く半導体集積回路装置の製造工程中
における図6のX1−X1線に相当する部分の断面図で
ある。
10 is a cross-sectional view of a part corresponding to the line X1-X1 of FIG. 6 during a manufacturing step of the semiconductor integrated circuit device subsequent to FIG. 9;

【図11】図10に続く半導体集積回路装置の製造工程
中における図6のX1−X1線に相当する部分の断面図
である。
11 is a cross-sectional view of a portion corresponding to the line X1-X1 in FIG. 6 during a manufacturing step of the semiconductor integrated circuit device, following FIG. 10;

【図12】図11に続く半導体集積回路装置の製造工程
中における図6のX1−X1線に相当する部分の断面図
である。
12 is a cross-sectional view of a part corresponding to the line X1-X1 in FIG. 6 during a manufacturing step of the semiconductor integrated circuit device subsequent to FIG. 11;

【図13】図12に続く半導体集積回路装置の製造工程
中における図6のX1−X1線に相当する部分の断面図
である。
13 is a cross-sectional view of a portion corresponding to the line X1-X1 of FIG. 6 during a manufacturing step of the semiconductor integrated circuit device, following FIG. 12;

【図14】図13に続く半導体集積回路装置の製造工程
中における図6のX1−X1線に相当する部分の断面図
である。
14 is a cross-sectional view of a portion corresponding to the line X1-X1 of FIG. 6 during a manufacturing step of the semiconductor integrated circuit device, following FIG. 13;

【図15】図14に続く半導体集積回路装置の製造工程
中における要部平面図である。
15 is a fragmentary plan view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 14;

【図16】図15のX2−X2線の断面図である。16 is a sectional view taken along line X2-X2 in FIG.

【図17】図15に続く半導体集積回路装置の製造工程
中における図15のX2−X2線に相当する部分の断面
図である。
17 is a cross-sectional view of a portion corresponding to the line X2-X2 of FIG. 15 during a manufacturing step of the semiconductor integrated circuit device subsequent to FIG. 15;

【図18】配線層間を接続する孔部分での抵抗上昇率を
示したグラフ図である。
FIG. 18 is a graph showing a rate of increase in resistance in a hole connecting between wiring layers.

【図19】銅配線の表層にシリコンを固溶した場合にお
ける銅の拡散係数を測定したグラフ図である。
FIG. 19 is a graph showing the measured diffusion coefficient of copper when silicon is dissolved in the surface layer of the copper wiring.

【図20】銅配線の表層に酸素を混入した場合における
銅の拡散係数を測定したグラフ図である。
FIG. 20 is a graph illustrating the measured diffusion coefficient of copper when oxygen is mixed into the surface layer of a copper wiring.

【図21】本発明の他の実施の形態である半導体集積回
路装置の製造工程の一部のフロー図である。
FIG. 21 is a flowchart showing a part of the manufacturing process of the semiconductor integrated circuit device according to another embodiment of the present invention;

【図22】本発明の他の実施の形態である半導体集積回
路装置の製造工程の一部のフロー図である。
FIG. 22 is a flowchart showing a part of the manufacturing process of the semiconductor integrated circuit device according to another embodiment of the present invention;

【図23】銅を主成分とする埋込配線の形成に用いるC
MP装置の全体構成の一例を示す説明図である。
FIG. 23 shows C used for forming a buried wiring containing copper as a main component.
FIG. 2 is an explanatory diagram illustrating an example of an overall configuration of an MP apparatus.

【図24】図23のCMP装置のCMP処理部の説明図
である。
FIG. 24 is an explanatory diagram of a CMP processing unit of the CMP apparatus of FIG. 23;

【図25】図23のCMP装置のCMP処理部の説明図
である。
FIG. 25 is an explanatory diagram of a CMP processing unit of the CMP apparatus of FIG. 23;

【図26】本発明の他の実施の形態である半導体集積回
路装置の製造工程中における要部平面図である。
FIG. 26 is a plan view of a principal part during a manufacturing step of a semiconductor integrated circuit device according to another embodiment of the present invention;

【図27】図26の要部断面図である。FIG. 27 is a sectional view of a main part of FIG. 26;

【図28】図26に続く半導体集積回路装置の製造工程
中の要部平面図である。
FIG. 28 is an essential part plan view of the semiconductor integrated circuit device during a manufacturing step following FIG. 26;

【図29】図28の要部断面図である。FIG. 29 is a sectional view of a main part of FIG. 28;

【図30】図28に続く半導体集積回路装置の製造工程
中の要部平面図である。
30 is a fragmentary plan view of the semiconductor integrated circuit device during a manufacturing step following that of FIG. 28;

【図31】図30の要部断面図である。FIG. 31 is a sectional view of a main part of FIG. 30;

【図32】本発明の他の実施の形態である半導体集積回
路装置の製造工程の一部のフロー図である。
FIG. 32 is a flowchart showing a part of the manufacturing process of the semiconductor integrated circuit device according to another embodiment of the present invention;

【図33】本発明者が検討した半導体集積回路装置の配
線構造の要部断面図である。
FIG. 33 is a fragmentary cross-sectional view of a wiring structure of a semiconductor integrated circuit device studied by the present inventors;

【図34】本発明者が検討した半導体集積回路装置の配
線構造の要部断面図である。
FIG. 34 is a fragmentary cross-sectional view of a wiring structure of a semiconductor integrated circuit device studied by the present inventors.

【図35】本発明者が検討した半導体集積回路装置の配
線構造の要部断面図である。
FIG. 35 is a fragmentary cross-sectional view of a wiring structure of a semiconductor integrated circuit device studied by the present inventors;

【図36】本発明者が検討した半導体集積回路装置の配
線構造においてボイドの発生原因の説明図である。
FIG. 36 is an explanatory diagram of a cause of void generation in a wiring structure of a semiconductor integrated circuit device studied by the present inventors.

【符号の説明】[Explanation of symbols]

1W ウエハ 1S 半導体基板 2 分離部 3 ゲート絶縁膜 4 ゲート電極 5 サイドウォール 6,7 半導体領域 8 絶縁膜 9 コンタクトホール 10 プラグ 11a 絶縁膜 11b 絶縁膜 11c 絶縁膜 11d 絶縁膜 12a 絶縁膜 12b 絶縁膜 12c 絶縁膜 12d 絶縁膜 13 スルーホール 14 プラグ 15a 絶縁膜 15b 絶縁膜(第2絶縁膜) 15c 絶縁膜 15d 絶縁膜(第2絶縁膜) 16a 配線溝(配線開口部) 16b 配線溝(配線開口部) 17a 導電性バリア膜(第1導体膜) 17b 導電性バリア膜(第1導体膜) 18a 主導体膜(第2導体膜) 18b 主導体膜(第2導体膜) 19 スルーホール(配線開口部) 31 CMP装置 31a 研磨処理部 31b 後洗浄部 31c1 第1定盤 31c2 第2定盤 31d クリーン・ステーション 31g 回転アーム 31e ローダ 31f アンローダ 31h ローダ 31i1 第1洗浄部 31i2 第2洗浄部 31j スピンドライヤ 31k アンローダ 31n 駆動機構 31p 研磨パッド 31q 駆動機構 31r ウエハキャリア 31s ウエハチャック 31t リテーナリング 31u スラリ供給管 31v 駆動機構 31w ドレッサ 31m 遮光壁 50,51 埋込配線 52 スルーホール 53 埋込配線 54 ボイド 55 三重点 L 櫛形配線 M2 第2配線層 P1,P2 パッド S 測定ステージ H ヒータ Qp pチャネル型のMIS・FET Qn nチャネル型のMIS・FET PWL p型ウエル NWL n型ウエル Sr スラリ 1W wafer 1S semiconductor substrate 2 Separation unit 3 Gate insulating film 4 Gate electrode 5 Sidewall 6,7 Semiconductor area 8 Insulating film 9 Contact hole 10 plugs 11a insulating film 11b insulating film 11c insulating film 11d insulating film 12a insulating film 12b insulating film 12c insulating film 12d insulating film 13 Through hole 14 Plug 15a insulating film 15b insulating film (second insulating film) 15c insulating film 15d insulating film (second insulating film) 16a Wiring groove (wiring opening) 16b Wiring groove (wiring opening) 17a Conductive barrier film (first conductive film) 17b Conductive barrier film (first conductive film) 18a Main conductor film (second conductor film) 18b Main conductor film (second conductor film) 19 Through hole (wiring opening) 31 CMP equipment 31a Polishing unit 31b Post-cleaning unit 31c1 First surface plate 31c2 2nd surface plate 31d clean station 31g rotating arm 31e loader 31f unloader 31h loader 31i1 First cleaning unit 31i2 Second cleaning unit 31j spin dryer 31k unloader 31n drive mechanism 31p polishing pad 31q drive mechanism 31r wafer carrier 31s wafer chuck 31t retainer ring 31u slurry supply pipe 31v drive mechanism 31w dresser 31m shading wall 50, 51 embedded wiring 52 Through Hole 53 Embedded wiring 54 void 55 Triple Point L Comb wiring M2 Second wiring layer P1, P2 pad S measurement stage H heater Qp p-channel type MIS • FET Qn n-channel type MIS • FET PWL p-type well NWL n-type well Sr slurry

───────────────────────────────────────────────────── フロントページの続き (72)発明者 三浦 典子 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 5F033 HH04 HH08 HH09 HH11 HH18 HH19 HH23 HH25 HH27 HH32 HH33 HH34 JJ19 JJ33 KK03 KK08 KK09 KK18 KK25 KK27 KK33 MM01 MM02 MM07 PP15 PP27 PP28 QQ09 QQ10 QQ25 QQ31 QQ37 QQ48 QQ91 RR04 RR06 RR08 RR09 RR11 RR15 RR21 SS11 SS15 SS21 XX06 XX24 5F048 AA01 AB03 AC01 AC03 BA01 BE03 BF01 BF12 BF16 BF17 BG14    ────────────────────────────────────────────────── ─── Continuation of front page    (72) Inventor Noriko Miura             3 shares at 6-16 Shinmachi, Ome-shi, Tokyo             Hitachi, Ltd. Device Development Center F term (reference) 5F033 HH04 HH08 HH09 HH11 HH18                       HH19 HH23 HH25 HH27 HH32                       HH33 HH34 JJ19 JJ33 KK03                       KK08 KK09 KK18 KK25 KK27                       KK33 MM01 MM02 MM07 PP15                       PP27 PP28 QQ09 QQ10 QQ25                       QQ31 QQ37 QQ48 QQ91 RR04                       RR06 RR08 RR09 RR11 RR15                       RR21 SS11 SS15 SS21 XX06                       XX24                 5F048 AA01 AB03 AC01 AC03 BA01                       BE03 BF01 BF12 BF16 BF17                       BG14

Claims (35)

【特許請求の範囲】[Claims] 【請求項1】 以下の工程を有することを特徴とする半
導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対して還元性プラズマ処理を施す工程、(e)前記
配線の表面に銅の拡散を抑制または防止するような第1
原子を固溶させる工程、(f)前記第1絶縁膜および配
線上に、成膜ガスとして有機系シラン化合物ガスを用い
る化学気相成長法によって第2絶縁膜を堆積する工程。
1. A method of manufacturing a semiconductor integrated circuit device, comprising the steps of: (a) depositing a first insulating film on a wafer; and (b) wiring openings in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). A step of performing a reducing plasma treatment on the surface of the first insulating film and the wiring; (e) a first step of suppressing or preventing the diffusion of copper on the surface of the wiring;
And (f) depositing a second insulating film on the first insulating film and the wiring by a chemical vapor deposition method using an organic silane compound gas as a film forming gas.
【請求項2】 請求項1記載の半導体集積回路装置の製
造方法において、前記(e)工程は、無機系シラン化合
物ガスを含むガスに前記配線を晒すことにより、前記配
線の表面に前記第1原子としてシリコンを固溶させるこ
とを特徴とする半導体集積回路装置の製造方法。
2. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein in the step (e), the first wiring is exposed to a gas containing an inorganic silane compound gas, so that the first wiring is exposed on a surface of the first wiring. A method for manufacturing a semiconductor integrated circuit device, characterized by dissolving silicon as an atom.
【請求項3】 請求項2記載の半導体集積回路装置の製
造方法において、前記(e)工程の無機系シラン化合物
ガスがモノシランガスであることを特徴とする半導体集
積回路装置の製造方法。
3. The method for manufacturing a semiconductor integrated circuit device according to claim 2, wherein the inorganic silane compound gas in the step (e) is a monosilane gas.
【請求項4】 請求項2記載の半導体集積回路装置の製
造方法において、前記(e)工程の無機系シラン化合物
ガスがジシランガスまたはジクロルシランガスであるこ
とを特徴とする半導体集積回路装置の製造方法。
4. The method for manufacturing a semiconductor integrated circuit device according to claim 2, wherein the inorganic silane compound gas in the step (e) is disilane gas or dichlorosilane gas. .
【請求項5】 請求項1記載の半導体集積回路装置の製
造方法において、前記第1原子の固溶量は、前記配線の
第2導体膜の結晶系を変化させない量であることを特徴
とする半導体集積回路装置の製造方法。
5. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein the solid solution amount of the first atom is an amount that does not change the crystal system of the second conductor film of the wiring. A method for manufacturing a semiconductor integrated circuit device.
【請求項6】 請求項1記載の半導体集積回路装置の製
造方法において、前記(f)工程の成膜ガスは有機系シ
ラン化合物ガスとしてトリメチルシランガスを含み、前
記第2絶縁膜は炭化シリコンまたは炭窒化シリコンを主
成分とする絶縁膜からなることを特徴とする半導体集積
回路装置の製造方法。
6. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein the film forming gas in the step (f) includes a trimethylsilane gas as an organic silane compound gas, and the second insulating film is formed of silicon carbide or charcoal. A method for manufacturing a semiconductor integrated circuit device comprising an insulating film containing silicon nitride as a main component.
【請求項7】 請求項1記載の半導体集積回路装置の製
造方法において、前記(f)工程の成膜ガスは前記有機
系シラン化合物ガスとしてトリメトキシシランガスを含
み、前記第2絶縁膜は酸窒化シリコンを主成分とする絶
縁膜からなることを特徴とする半導体集積回路装置の製
造方法。
7. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein the film forming gas in the step (f) includes trimethoxysilane gas as the organic silane compound gas, and the second insulating film is oxynitrided. A method for manufacturing a semiconductor integrated circuit device comprising an insulating film containing silicon as a main component.
【請求項8】 請求項1記載の半導体集積回路装置の製
造方法において、前記還元性プラズマ処理がアンモニア
プラズマ処理であることを特徴とする半導体集積回路装
置の製造方法。
8. The method for manufacturing a semiconductor integrated circuit device according to claim 1, wherein said reducing plasma processing is an ammonia plasma processing.
【請求項9】 以下の工程を有することを特徴とする半
導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対して還元性プラズマ処理を施す工程、(e)前記
配線の表面に銅の拡散を抑制または防止するような第1
原子を固溶させる工程、(f)前記第1絶縁膜および配
線上に、無機系シラン化合物ガスを含まない成膜ガスを
用いる化学気相成長法によって第2絶縁膜を堆積する工
程。
9. A method for manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer; and (b) wiring openings in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). A step of performing a reducing plasma treatment on the surface of the first insulating film and the wiring; (e) a first step of suppressing or preventing the diffusion of copper on the surface of the wiring;
(F) depositing a second insulating film on the first insulating film and the wiring by a chemical vapor deposition method using a deposition gas not containing an inorganic silane compound gas.
【請求項10】 請求項9記載の半導体集積回路装置の
製造方法において、前記(e)工程は、無機系シラン化
合物ガスを含むガスに前記配線を晒すことにより、前記
配線の表面に前記第1原子としてシリコンを固溶させる
ことを特徴とする半導体集積回路装置の製造方法。
10. The method of manufacturing a semiconductor integrated circuit device according to claim 9, wherein in the step (e), the first wiring is exposed to a gas containing an inorganic silane compound gas, so that the first wiring is exposed on a surface of the first wiring. A method for manufacturing a semiconductor integrated circuit device, characterized by dissolving silicon as an atom.
【請求項11】 請求項10記載の半導体集積回路装置
の製造方法において、前記(e)工程の無機系シラン化
合物ガスがモノシランガスであることを特徴とする半導
体集積回路装置の製造方法。
11. The method for manufacturing a semiconductor integrated circuit device according to claim 10, wherein the inorganic silane compound gas in the step (e) is a monosilane gas.
【請求項12】 請求項10記載の半導体集積回路装置
の製造方法において、前記(e)工程の無機系シラン化
合物ガスがジシランガスまたはジクロルシランガスであ
ることを特徴とする半導体集積回路装置の製造方法。
12. The method for manufacturing a semiconductor integrated circuit device according to claim 10, wherein the inorganic silane compound gas in the step (e) is a disilane gas or a dichlorosilane gas. .
【請求項13】 請求項9記載の半導体集積回路装置の
製造方法において、前記(f)工程の成膜ガスは有機系
シラン化合物ガスとしてトリメチルシランガスを含み、
前記第2絶縁膜は炭化シリコンまたは炭窒化シリコンを
主成分とする絶縁膜からなることを特徴とする半導体集
積回路装置の製造方法。
13. The method for manufacturing a semiconductor integrated circuit device according to claim 9, wherein the film forming gas in the step (f) includes trimethylsilane gas as an organic silane compound gas.
The method of manufacturing a semiconductor integrated circuit device, wherein the second insulating film comprises an insulating film containing silicon carbide or silicon carbonitride as a main component.
【請求項14】 請求項9記載の半導体集積回路装置の
製造方法において、前記(f)工程の成膜ガスは前記有
機系シラン化合物ガスとしてトリメトキシシランガスを
含み、前記第2絶縁膜は酸窒化シリコンを主成分とする
絶縁膜からなることを特徴とする半導体集積回路装置の
製造方法。
14. The method for manufacturing a semiconductor integrated circuit device according to claim 9, wherein the film forming gas in the step (f) includes a trimethoxysilane gas as the organic silane compound gas, and the second insulating film is oxynitrided. A method for manufacturing a semiconductor integrated circuit device comprising an insulating film containing silicon as a main component.
【請求項15】 以下の工程を有することを特徴とする
半導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対して還元性プラズマ処理を施す工程、(e)前記
配線の表面に銅の拡散を抑制または防止するような第1
原子を固溶させる工程、(f)前記第1絶縁膜および配
線上に、有機系シラン化合物ガスを含み、無機系シラン
化合物ガスを含まない成膜ガスを用いる化学気相成長法
によって第2絶縁膜を堆積する工程。
15. A method of manufacturing a semiconductor integrated circuit device, comprising the steps of: (a) depositing a first insulating film on a wafer; and (b) forming a wiring opening in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). A step of performing a reducing plasma treatment on the surface of the first insulating film and the wiring; (e) a first step of suppressing or preventing the diffusion of copper on the surface of the wiring;
(F) forming a second solution by a chemical vapor deposition method using a deposition gas containing an organic silane compound gas and not containing an inorganic silane compound gas on the first insulating film and the wiring; Depositing a film.
【請求項16】 請求項15記載の半導体集積回路装置
の製造方法において、前記(e)工程は、無機系シラン
化合物ガスを含むガスに前記配線を晒すことにより、前
記配線の表面に前記第1原子としてシリコンを固溶させ
ることを特徴とする半導体集積回路装置の製造方法。
16. The method for manufacturing a semiconductor integrated circuit device according to claim 15, wherein in the step (e), the first wiring is exposed to a gas containing an inorganic silane compound gas, so that the first wiring is exposed on a surface of the first wiring. A method for manufacturing a semiconductor integrated circuit device, characterized by dissolving silicon as an atom.
【請求項17】 以下の工程を有することを特徴とする
半導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対してアンモニアプラズマ処理を施す工程、(e)
前記配線を無機系シラン化合物ガスに晒すことにより、
前記配線の表面にシリコンを固溶させる工程、(f)前
記第1絶縁膜および配線上に、成膜ガスとして有機系シ
ラン化合物ガスを用いる化学気相成長法によって第2絶
縁膜を堆積する工程。
17. A method of manufacturing a semiconductor integrated circuit device, comprising the steps of: (a) depositing a first insulating film on a wafer; and (b) wiring openings in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). Performing an ammonia plasma treatment on the surfaces of the first insulating film and the wiring, (e).
By exposing the wiring to an inorganic silane compound gas,
Dissolving silicon on the surface of the wiring; and (f) depositing a second insulating film on the first insulating film and the wiring by a chemical vapor deposition method using an organic silane compound gas as a film forming gas. .
【請求項18】 請求項17記載の半導体集積回路装置
の製造方法において、前記(e)工程の無機系シラン化
合物ガスがモノシランガスであることを特徴とする半導
体集積回路装置の製造方法。
18. The method for manufacturing a semiconductor integrated circuit device according to claim 17, wherein the inorganic silane compound gas in the step (e) is a monosilane gas.
【請求項19】 請求項17記載の半導体集積回路装置
の製造方法において、前記(e)工程の無機系シラン化
合物ガスがジシランガスまたはジクロルシランガスであ
ることを特徴とする半導体集積回路装置の製造方法。
19. The method for manufacturing a semiconductor integrated circuit device according to claim 17, wherein the inorganic silane compound gas in the step (e) is disilane gas or dichlorosilane gas. .
【請求項20】 請求項17記載の半導体集積回路装置
の製造方法において、前記(f)工程の成膜ガスは有機
系シラン化合物ガスとしてトリメチルシランガスを含
み、前記第2絶縁膜は炭化シリコンまたは炭窒化シリコ
ンを主成分とする絶縁膜からなることを特徴とする半導
体集積回路装置の製造方法。
20. The method of manufacturing a semiconductor integrated circuit device according to claim 17, wherein the film forming gas in the step (f) includes a trimethylsilane gas as an organic silane compound gas, and the second insulating film is formed of silicon carbide or carbon. A method for manufacturing a semiconductor integrated circuit device comprising an insulating film containing silicon nitride as a main component.
【請求項21】 請求項17記載の半導体集積回路装置
の製造方法において、前記(f)工程の成膜ガスは前記
有機系シラン化合物ガスとしてトリメトキシシランガス
を含み、前記第2絶縁膜は酸窒化シリコンを主成分とす
る絶縁膜からなることを特徴とする半導体集積回路装置
の製造方法。
21. The method for manufacturing a semiconductor integrated circuit device according to claim 17, wherein the film forming gas in the step (f) includes a trimethoxysilane gas as the organic silane compound gas, and the second insulating film is oxynitrided. A method for manufacturing a semiconductor integrated circuit device comprising an insulating film containing silicon as a main component.
【請求項22】 以下の工程を有することを特徴とする
半導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対してアンモニアプラズマ処理を施す工程、(e)
前記配線を無機系シラン化合物ガスに晒すことにより、
前記配線の表面にシリコンを固溶させる工程、(f)前
記第1絶縁膜および配線上に、無機系シラン化合物ガス
を含まない成膜ガスを用いる化学気相成長法によって第
2絶縁膜を堆積する工程。
22. A method of manufacturing a semiconductor integrated circuit device, comprising the following steps: (a) depositing a first insulating film on a wafer; and (b) wiring openings in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). Performing an ammonia plasma treatment on the surfaces of the first insulating film and the wiring, (e).
By exposing the wiring to an inorganic silane compound gas,
Dissolving silicon on the surface of the wiring; and (f) depositing a second insulating film on the first insulating film and the wiring by a chemical vapor deposition method using a deposition gas not containing an inorganic silane compound gas. Process.
【請求項23】 請求項22記載の半導体集積回路装置
の製造方法において、前記(e)工程の無機系シラン化
合物ガスがモノシランガスであることを特徴とする半導
体集積回路装置の製造方法。
23. The method for manufacturing a semiconductor integrated circuit device according to claim 22, wherein the inorganic silane compound gas in the step (e) is a monosilane gas.
【請求項24】 以下の工程を有することを特徴とする
半導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対して水素プラズマ処理を施す工程、(e)前記配
線を無機系シラン化合物ガスに晒すことにより、前記配
線の表面にシリコンを固溶させる工程、(f)前記第1
絶縁膜および配線上に、成膜ガスとして有機系シラン化
合物ガスを用いる化学気相成長法によって第2絶縁膜を
堆積する工程。
24. A method for manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer; and (b) wiring openings in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). A step of subjecting the first insulating film and the surface of the wiring to a hydrogen plasma treatment; (e) exposing the wiring to an inorganic silane compound gas to cause a solid solution of silicon on the surface of the wiring; (f) The first
Depositing a second insulating film on the insulating film and the wiring by a chemical vapor deposition method using an organic silane compound gas as a deposition gas;
【請求項25】 請求項24記載の半導体集積回路装置
の製造方法において、前記(e)工程の無機系シラン化
合物ガスがモノシランガスであることを特徴とする半導
体集積回路装置の製造方法。
25. The method for manufacturing a semiconductor integrated circuit device according to claim 24, wherein the inorganic silane compound gas in the step (e) is a monosilane gas.
【請求項26】 以下の工程を有することを特徴とする
半導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対して水素プラズマ処理を施す工程、(e)前記配
線を無機系シラン化合物ガスに晒すことにより、前記配
線の表面にシリコンを固溶させる工程、(f)前記第1
絶縁膜および配線上に、無機系シラン化合物ガスを含ま
ない成膜ガスを用いる化学気相成長法によって第2絶縁
膜を堆積する工程。
26. A method of manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer; and (b) forming a wiring opening in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). A step of subjecting the first insulating film and the surface of the wiring to a hydrogen plasma treatment; (e) exposing the wiring to an inorganic silane compound gas to cause a solid solution of silicon on the surface of the wiring; (f) The first
Depositing a second insulating film on the insulating film and the wiring by a chemical vapor deposition method using a deposition gas containing no inorganic silane compound gas;
【請求項27】 請求項26記載の半導体集積回路装置
の製造方法において、前記(e)工程の無機系シラン化
合物ガスがモノシランガスであることを特徴とする半導
体集積回路装置の製造方法。
27. The method for manufacturing a semiconductor integrated circuit device according to claim 26, wherein the inorganic silane compound gas in the step (e) is a monosilane gas.
【請求項28】 以下の工程を有することを特徴とする
半導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対して水素プラズマ処理を施す工程、(e)前記第
1絶縁膜および配線の表面に対してアンモニアプラズマ
処理を施す工程、(f)前記配線を無機系シラン化合物
ガスに晒すことにより、前記配線の表面にシリコンを固
溶させる工程、(g)前記第1絶縁膜および配線上に、
成膜ガスとして有機系シラン化合物ガスを用いる化学気
相成長法によって第2絶縁膜を堆積する工程。
28. A method of manufacturing a semiconductor integrated circuit device, comprising the steps of: (a) depositing a first insulating film on a wafer; and (b) wiring openings in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). Performing a hydrogen plasma treatment on the surfaces of the first insulating film and the wiring, (e) performing an ammonia plasma treatment on the surfaces of the first insulating film and the wiring, and (f) applying the inorganic silane to the wiring. Exposing the silicon to a solid solution on the surface of the wiring by exposing it to a compound gas; (g) forming a silicon on the first insulating film and the wiring;
A step of depositing a second insulating film by a chemical vapor deposition method using an organic silane compound gas as a film forming gas.
【請求項29】 請求項28記載の半導体集積回路装置
の製造方法において、前記(f)工程の無機系シラン化
合物ガスがモノシランガスであることを特徴とする半導
体集積回路装置の製造方法。
29. The method for manufacturing a semiconductor integrated circuit device according to claim 28, wherein the inorganic silane compound gas in the step (f) is a monosilane gas.
【請求項30】 以下の工程を有することを特徴とする
半導体集積回路装置の製造方法; (a)ウエハ上に第1絶縁膜を堆積する工程、(b)前
記第1絶縁膜に配線開口部を形成する工程、(c)前記
配線開口部内に、銅の拡散に対してバリア性を有する第
1導体膜および銅を主成分とする第2導体膜を含む配線
を形成する工程、(d)前記第1絶縁膜および配線の表
面に対して水素プラズマ処理を施す工程、(e)前記第
1絶縁膜および配線の表面に対してアンモニアプラズマ
処理を施す工程、(f)前記配線を無機系シラン化合物
ガスに晒すことにより、前記配線の表面にシリコンを固
溶させる工程、(g)前記第1絶縁膜および配線上に、
無機系シラン化合物ガスを含まない成膜ガスを用いる化
学気相成長法によって第2絶縁膜を堆積する工程。
30. A method of manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer; and (b) wiring openings in the first insulating film. Forming a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component in the wiring opening; and (d). Performing a hydrogen plasma treatment on the surfaces of the first insulating film and the wiring, (e) performing an ammonia plasma treatment on the surfaces of the first insulating film and the wiring, and (f) applying the inorganic silane to the wiring. Exposing the silicon to a solid solution on the surface of the wiring by exposing it to a compound gas; (g) forming a silicon on the first insulating film and the wiring;
A step of depositing a second insulating film by a chemical vapor deposition method using a deposition gas not containing an inorganic silane compound gas.
【請求項31】 請求項30記載の半導体集積回路装置
の製造方法において、前記(f)工程の無機系シラン化
合物ガスがモノシランガスであることを特徴とする半導
体集積回路装置の製造方法。
31. The method for manufacturing a semiconductor integrated circuit device according to claim 30, wherein the inorganic silane compound gas in the step (f) is a monosilane gas.
【請求項32】 半導体集積回路装置の製造方法におい
て、(a)ウエハ上に第1絶縁膜を堆積する工程、
(b)前記第1絶縁膜に配線開口部を形成する工程、
(c)前記配線開口部内に、銅の拡散に対してバリア性
を有する第1導体膜および銅を主成分とする第2導体膜
を含む配線を形成する工程、(d)前記第1絶縁膜およ
び配線の表面に対して還元性プラズマ処理を施す工程、
(e)前記第1絶縁膜および配線上に、成膜ガスとして
有機系シラン化合物ガスを用いる化学気相成長法によっ
て第2絶縁膜を堆積する工程を有し、前記(e)工程の
第2絶縁膜の堆積処理に先立って前記還元性プラズマ処
理後の配線を前記有機系シラン化合物ガスに晒した際
に、前記有機系シラン化合物ガス中のシリコンが、前記
還元性プラズマ処理後の配線の表面に固溶され易くなる
ように、前記還元性プラズマ処理の条件を設定すること
を特徴とする半導体集積回路装置の製造方法。
32. A method of manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer;
(B) forming a wiring opening in the first insulating film;
(C) forming, in the wiring opening, a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component; and (d) the first insulating film. And a step of performing a reducing plasma treatment on the surface of the wiring,
(E) depositing a second insulating film on the first insulating film and the wiring by a chemical vapor deposition method using an organic silane compound gas as a film forming gas; When the wiring after the reducing plasma treatment is exposed to the organic silane compound gas prior to the insulating film deposition processing, silicon in the organic silane compound gas causes the surface of the wiring after the reducing plasma processing to be exposed. A method of manufacturing the semiconductor integrated circuit device, wherein conditions for the reducing plasma treatment are set so that the solid solution is easily formed.
【請求項33】 半導体集積回路装置の製造方法におい
て、(a)ウエハ上に第1絶縁膜を堆積する工程、
(b)前記第1絶縁膜に配線開口部を形成する工程、
(c)前記配線開口部内に、銅の拡散に対してバリア性
を有する第1導体膜および銅を主成分とする第2導体膜
を含む配線を形成する工程、(d)前記第1絶縁膜およ
び配線の表面に対して還元性プラズマ処理を施す工程、
(e)前記配線を無機系シラン化合物ガスに晒すことに
より、前記配線の表面にシリコンを固溶させる工程、
(f)前記第1絶縁膜および配線上に、成膜ガスとして
有機系シラン化合物ガスを用いる化学気相成長法によっ
て第2絶縁膜を堆積する工程を有し、 前記(e)工程の際に、前記無機系シラン化合物ガス中
のシリコンが、前記還元性プラズマ処理後の配線の表面
に固溶され易くなるように、前記還元性プラズマ処理の
条件を設定することを特徴とする半導体集積回路装置の
製造方法。
33. A method of manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer;
(B) forming a wiring opening in the first insulating film;
(C) forming, in the wiring opening, a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component; and (d) the first insulating film. And a step of performing a reducing plasma treatment on the surface of the wiring,
(E) exposing the wiring to an inorganic silane compound gas to form a solid solution of silicon on the surface of the wiring;
(F) depositing a second insulating film on the first insulating film and the wiring by a chemical vapor deposition method using an organic silane compound gas as a film-forming gas; A semiconductor integrated circuit device, wherein conditions of the reducing plasma processing are set so that silicon in the inorganic silane compound gas is easily dissolved in the surface of the wiring after the reducing plasma processing. Manufacturing method.
【請求項34】 半導体集積回路装置の製造方法におい
て、(a)ウエハ上に第1絶縁膜を堆積する工程、
(b)前記第1絶縁膜に配線開口部を形成する工程、
(c)前記配線開口部内に、銅の拡散に対してバリア性
を有する第1導体膜および銅を主成分とする第2導体膜
を含む配線を形成する工程、(d)前記第1絶縁膜およ
び配線の表面に対して還元性プラズマ処理を施す工程、
(e)前記配線を無機系シラン化合物ガスに晒すことに
より、前記配線の表面にシリコンを固溶させる工程、
(f)前記第1絶縁膜および配線上に、有機系シラン化
合物ガスを含み、無機系シラン化合物ガスを含まない成
膜ガスを用いる化学気相成長法によって第2絶縁膜を堆
積する工程を有し、 前記(e)工程の際に、前記無機系シラン化合物ガス中
のシリコンが、前記還元性プラズマ処理後の配線の表面
に固溶され易くなるように、前記還元性プラズマ処理の
条件を設定することを特徴とする半導体集積回路装置の
製造方法。
34. A method of manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer;
(B) forming a wiring opening in the first insulating film;
(C) forming, in the wiring opening, a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component; and (d) the first insulating film. And a step of performing a reducing plasma treatment on the surface of the wiring,
(E) exposing the wiring to an inorganic silane compound gas to form a solid solution of silicon on the surface of the wiring;
(F) depositing a second insulating film on the first insulating film and the wiring by a chemical vapor deposition method using a deposition gas containing an organic silane compound gas and not containing an inorganic silane compound gas. In the step (e), the conditions of the reducing plasma treatment are set so that silicon in the inorganic silane compound gas is easily dissolved in the surface of the wiring after the reducing plasma treatment. A method of manufacturing a semiconductor integrated circuit device.
【請求項35】 半導体集積回路装置の製造方法におい
て、(a)ウエハ上に第1絶縁膜を堆積する工程、
(b)前記第1絶縁膜に配線開口部を形成する工程、
(c)前記配線開口部内に、銅の拡散に対してバリア性
を有する第1導体膜および銅を主成分とする第2導体膜
を含む配線を形成する工程、(d)前記第1絶縁膜およ
び配線の表面に対してアンモニアガスおよび窒素ガスを
含む雰囲気中でプラズマ処理を施す工程、(e)前記配
線を無機系シラン化合物ガスに晒すことにより、前記配
線の表面にシリコンを固溶させる工程、(f)前記第1
絶縁膜および配線上に、トリメチルシランガスを含む成
膜ガスを用いる化学気相成長法によって炭窒化シリコン
を主成分とする第2絶縁膜を堆積する工程を有し、前記
(e)工程の際に、前記無機系シラン化合物ガス中のシ
リコンが、前記プラズマ処理後の配線の表面に固溶され
易くなるように、前記プラズマ処理の条件を設定するこ
とを特徴とする半導体集積回路装置の製造方法。
35. A method for manufacturing a semiconductor integrated circuit device, comprising: (a) depositing a first insulating film on a wafer;
(B) forming a wiring opening in the first insulating film;
(C) forming, in the wiring opening, a wiring including a first conductive film having a barrier property against copper diffusion and a second conductive film containing copper as a main component; and (d) the first insulating film. And performing a plasma treatment on the surface of the wiring in an atmosphere containing ammonia gas and nitrogen gas, and (e) exposing the wiring to an inorganic silane compound gas to dissolve silicon on the surface of the wiring. , (F) the first
A step of depositing a second insulating film containing silicon carbonitride as a main component on the insulating film and the wiring by a chemical vapor deposition method using a deposition gas containing a trimethylsilane gas; A method of manufacturing a semiconductor integrated circuit device, wherein conditions of the plasma processing are set such that silicon in the inorganic silane compound gas is easily dissolved in the surface of the wiring after the plasma processing.
JP2002151268A 2002-05-24 2002-05-24 Method for manufacturing semiconductor integrated circuit device Pending JP2003347299A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002151268A JP2003347299A (en) 2002-05-24 2002-05-24 Method for manufacturing semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002151268A JP2003347299A (en) 2002-05-24 2002-05-24 Method for manufacturing semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
JP2003347299A true JP2003347299A (en) 2003-12-05

Family

ID=29768907

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002151268A Pending JP2003347299A (en) 2002-05-24 2002-05-24 Method for manufacturing semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2003347299A (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214267A (en) * 2002-12-27 2004-07-29 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2006287022A (en) * 2005-04-01 2006-10-19 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2007142066A (en) * 2005-11-17 2007-06-07 Renesas Technology Corp Manufacturing method of semiconductor device
JP2007235125A (en) * 2006-02-06 2007-09-13 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2009010016A (en) * 2007-06-26 2009-01-15 Fujitsu Microelectronics Ltd Wiring forming method, and semiconductor device manufacturing method
JP2009218585A (en) * 2008-03-12 2009-09-24 Tokyo Electron Ltd Semiconductor device and method of manufacturing the same
JP2010034517A (en) * 2008-07-24 2010-02-12 Tokyo Electron Ltd Semiconductor device and method of manufacturing the same
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US8105935B2 (en) 2007-03-19 2012-01-31 Fujitsu Semiconductor Limited Method of manufacturing a semiconductor device
KR20160083654A (en) * 2013-11-08 2016-07-12 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
US12012658B2 (en) 2019-07-05 2024-06-18 Fujifilm Corporation Composition, kit, and method for treating substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330246A (en) * 1998-04-06 1999-11-30 Motorola Inc Mutual interconnection of copper and its manufacture
JP2000058544A (en) * 1998-08-04 2000-02-25 Matsushita Electron Corp Semiconductor device and manufacture of the same
JP2000150517A (en) * 1998-11-16 2000-05-30 Hitachi Ltd Semiconductor integrated circuit device and fabrication thereof
JP2000332015A (en) * 1999-05-12 2000-11-30 United Microelectronics Corp Manufacture of copper capping layer
JP2002246391A (en) * 2001-02-21 2002-08-30 Nec Corp Method of manufacturing semiconductor device
JP2004096052A (en) * 2002-03-13 2004-03-25 Nec Electronics Corp Semiconductor device and its manufacturing method, and metal wiring
JP2004193544A (en) * 2002-05-08 2004-07-08 Nec Electronics Corp Semiconductor device and method of manufacturing same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330246A (en) * 1998-04-06 1999-11-30 Motorola Inc Mutual interconnection of copper and its manufacture
JP2000058544A (en) * 1998-08-04 2000-02-25 Matsushita Electron Corp Semiconductor device and manufacture of the same
JP2000150517A (en) * 1998-11-16 2000-05-30 Hitachi Ltd Semiconductor integrated circuit device and fabrication thereof
JP2000332015A (en) * 1999-05-12 2000-11-30 United Microelectronics Corp Manufacture of copper capping layer
JP2002246391A (en) * 2001-02-21 2002-08-30 Nec Corp Method of manufacturing semiconductor device
JP2004096052A (en) * 2002-03-13 2004-03-25 Nec Electronics Corp Semiconductor device and its manufacturing method, and metal wiring
JP2004193544A (en) * 2002-05-08 2004-07-08 Nec Electronics Corp Semiconductor device and method of manufacturing same

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US8115318B2 (en) 2002-05-08 2012-02-14 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US8642467B2 (en) 2002-05-08 2014-02-04 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US7842602B2 (en) 2002-05-08 2010-11-30 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US7737555B2 (en) 2002-05-08 2010-06-15 Nec Electronics Corporation Semiconductor method having silicon-diffused metal wiring layer
JP4647184B2 (en) * 2002-12-27 2011-03-09 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2004214267A (en) * 2002-12-27 2004-07-29 Nec Electronics Corp Semiconductor device and its manufacturing method
US7687918B2 (en) 2002-12-27 2010-03-30 Nec Electronics Corporation Semiconductor device and method for manufacturing same
JP4655725B2 (en) * 2005-04-01 2011-03-23 パナソニック株式会社 Manufacturing method of semiconductor device
JP2006287022A (en) * 2005-04-01 2006-10-19 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2007142066A (en) * 2005-11-17 2007-06-07 Renesas Technology Corp Manufacturing method of semiconductor device
JP4684866B2 (en) * 2005-11-17 2011-05-18 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2007235125A (en) * 2006-02-06 2007-09-13 Nec Electronics Corp Semiconductor device and its manufacturing method
US8105935B2 (en) 2007-03-19 2012-01-31 Fujitsu Semiconductor Limited Method of manufacturing a semiconductor device
JP2009010016A (en) * 2007-06-26 2009-01-15 Fujitsu Microelectronics Ltd Wiring forming method, and semiconductor device manufacturing method
JP2009218585A (en) * 2008-03-12 2009-09-24 Tokyo Electron Ltd Semiconductor device and method of manufacturing the same
JP2010034517A (en) * 2008-07-24 2010-02-12 Tokyo Electron Ltd Semiconductor device and method of manufacturing the same
US8334204B2 (en) 2008-07-24 2012-12-18 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
KR20160083654A (en) * 2013-11-08 2016-07-12 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR102186873B1 (en) * 2013-11-08 2020-12-04 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR20200138419A (en) * 2013-11-08 2020-12-09 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR102332952B1 (en) 2013-11-08 2021-12-01 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR20210145856A (en) * 2013-11-08 2021-12-02 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR102480116B1 (en) 2013-11-08 2022-12-23 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
US12012658B2 (en) 2019-07-05 2024-06-18 Fujifilm Corporation Composition, kit, and method for treating substrate

Similar Documents

Publication Publication Date Title
US7084063B2 (en) Fabrication method of semiconductor integrated circuit device
US6890846B2 (en) Method for manufacturing semiconductor integrated circuit device
KR100698987B1 (en) Fabrication method for semiconductor integrated circuit device
US6730594B2 (en) Method for manufacturing semiconductor device
JP4554011B2 (en) Manufacturing method of semiconductor integrated circuit device
US6838772B2 (en) Semiconductor device
US7104267B2 (en) Planarized copper cleaning for reduced defects
US20030032292A1 (en) Fabrication method of semiconductor integrated circuit device
JP2001156029A (en) POST Cu CMP POLISHING FOR REDUCED DEFECTS
JP2000315666A (en) Manufacture of semiconductor integrated circuit device
JP2006179948A (en) Semiconductor device and method of manufacturing device
US20040180536A1 (en) Method for manufature of semiconductor intergrated circuit device
JP2003347299A (en) Method for manufacturing semiconductor integrated circuit device
JP2008141204A (en) Manufacturing method of semiconductor integrated circuit device
JP2002329780A (en) Fabrication method of semiconductor device and semiconductor device
JP4535505B2 (en) Manufacturing method of semiconductor device
JP2003124311A (en) Method for manufacturing semiconductor device and semiconductor device
JP2007005840A (en) Method of manufacturing semiconductor integrated circuit device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081007

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090224