CN102834466B - 成型体、其制造方法、电子设备用构件和电子设备 - Google Patents

成型体、其制造方法、电子设备用构件和电子设备 Download PDF

Info

Publication number
CN102834466B
CN102834466B CN201180017433.2A CN201180017433A CN102834466B CN 102834466 B CN102834466 B CN 102834466B CN 201180017433 A CN201180017433 A CN 201180017433A CN 102834466 B CN102834466 B CN 102834466B
Authority
CN
China
Prior art keywords
layer
clay mineral
formed body
ion
polysilazane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180017433.2A
Other languages
English (en)
Other versions
CN102834466A (zh
Inventor
岩屋涉
近藤健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lintec Corp
Original Assignee
Lintec Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lintec Corp filed Critical Lintec Corp
Publication of CN102834466A publication Critical patent/CN102834466A/zh
Application granted granted Critical
Publication of CN102834466B publication Critical patent/CN102834466B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/16Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/048Forming gas barrier coatings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/043Improving the adhesiveness of the coatings per se, e.g. forming primers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/12Chemical modification
    • C08J7/16Chemical modification with polymerisable compounds
    • C08J7/18Chemical modification with polymerisable compounds using wave energy or particle radiation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • C08K3/346Clay
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2367/00Characterised by the use of polyesters obtained by reactions forming a carboxylic ester link in the main chain; Derivatives of such polymers
    • C08J2367/02Polyesters derived from dicarboxylic acids and dihydroxy compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2483/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2483/16Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K2201/00Specific properties of additives
    • C08K2201/008Additives improving gas barrier properties
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/133305Flexible substrates, e.g. plastics, organic film

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Dispersion Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Laminated Bodies (AREA)
  • Liquid Crystal (AREA)
  • Paints Or Removers (AREA)
  • Coating Of Shaped Articles Made Of Macromolecular Substances (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

本发明是成型体、其制造方法、包含该成型体的电子设备用构件以及具备该电子设备用构件的电子设备,所述成型体的特征在于,包含含有聚硅氮烷化合物和粘土矿物的层,且在40℃、相对湿度90%气氛下的水蒸气透过率为6.0g/m2/天以下。根据本发明,可以提供阻气性、透明性和耐弯折性优异的成型体、其制造方法、包含该成型体的电子设备用构件、和具有该电子设备用构件的电子设备。

Description

成型体、其制造方法、电子设备用构件和电子设备
技术领域
本发明涉及成型体、其制造方法、包含该成型体的电子设备用构件以及具备该电子设备用构件的电子设备。
背景技术
一直以来,塑料薄膜等高分子成型体由于价格低廉、加工性优异,因此可以赋予所需的功能,在各种领域中应用。
例如对于食品、药品的包装用薄膜,为了抑制蛋白质、油脂等的氧化、变质,而保持味道、鲜度,可以使用防止水蒸气、氧的透过的阻气性的塑料薄膜。
另外,近年来,对于液晶显示器或电致发光(EL)显示器等显示器,为了实现薄型化、轻量化、挠性化等,人们对于使用透明塑料薄膜代替玻璃板来作为具有电极的基板进行了研究。但是,塑料薄膜与玻璃板相比,存在容易透过水蒸气或氧等,显示器内部的元件容易劣化的问题。
为解决该问题,专利文献1中提出了在透明塑料薄膜上层叠由金属氧化物构成的透明阻气层的挠性显示器基板。
但是,该文献记载的挠性显示器基板由于是在透明塑料薄膜表面上利用蒸镀法、离子镀敷法、溅射法等叠层了由金属氧化物形成的透明阻气层的基板,因此在将该基板卷起或弯折时,存在阻气层产生裂纹,阻气性降低的问题。
另外,专利文献2中公开了塑料薄膜、和在该塑料薄膜的至少一面上叠层以聚有机硅倍半氧烷为主成分的树脂层而成的阻气性叠层体。
但是,为了得到氧、水蒸气等的阻气性,需要进而叠层无机化合物层,从而存在工序繁杂,花费成本,或具有使用具有毒性的气体的危险性等的问题。
专利文献3公开了在薄膜的至少一面上形成聚硅氮烷膜,对该聚硅氮烷膜实施等离子体处理来制造阻气性薄膜的方法。
但是,在该方法中,存在如果不使阻气层的厚度为微米级别,就不能得到充分的阻气性能的问题。
现有技术文献
专利文献
专利文献1 : 日本特开2000-338901号公报
专利文献2 : 日本特开2006-123307号公报
专利文献3 : 日本特开2007-237588号公报。
发明内容
本发明是鉴于上述现有技术而作出的发明,其目的在于提供阻气性、透明性和耐弯折性优异的成型体、其制造方法、包含该成型体的电子设备用构件、和具有该电子设备用构件的电子设备。
本发明人等为解决上述课题进行了深入地研究,结果发现:通过在表面部具有含有聚硅氮烷化合物和粘土矿物的层的成型物的、上述含有聚硅氮烷化合物和粘土矿物的层中注入离子,可以简便且高效地制造目标成型体,从而完成了本发明。
这样根据本发明的第1发明,提供了下述(1)~(6)的成型体。
(1)成型体,其特征在于,包含含有聚硅氮烷化合物和粘土矿物的层,且在40℃、相对湿度90%气氛下的水蒸气透过率为6.0g/m2/天以下。
(2)如(1)所述的成型体,其特征在于,具有在含有聚硅氮烷化合物和粘土矿物的层中注入离子而得到的层。
(3)如(2)所述的成型体,其特征在于,上述离子是将选自氢、氮、氧、氩、氦、氖、氙、氪、硅化合物和烃中的至少一种的气体进行离子化而成的物质。
(4)如(2)或(3)所述的成型体,其特征在于,具有在上述含有聚硅氮烷化合物和粘土矿物的层中,通过等离子体离子注入将离子注入而得到的层。
(5)如(1)或(2)所述的成型体,其特征在于,上述聚硅氮烷化合物为全氢化聚硅氮烷。
(6)如(1)或(2)所述的成型体,其特征在于,上述含有聚硅氮烷化合物和粘土矿物的层中的粘土矿物的含量,以聚硅氮烷化合物和粘土矿物的总量作为100质量%计,为0.01质量%~10质量%。
根据本发明的第2发明,提供下述(7)~(10)的成型体的制造方法。
(7)如(2)所述的成型体的制造方法,其具有下述步骤:在表面部具有含有聚硅氮烷化合物和粘土矿物的层的成型物的、上述含有聚硅氮烷化合物和粘土矿物的层的表面部注入离子。
(8)如(7)所述的成型体的制造方法,其具有下述步骤:在具有含有聚硅氮烷化合物和粘土矿物的表面部的成型物的、上述含有聚硅氮烷化合物和粘土矿物的层的表面部,将选自氢、氮、氧、氩、氦、氙、氖、氪、硅化合物和烃中的至少一种的气体进行离子注入。
(9)如(7)或(8)所述的成型体的制造方法,其特征在于,上述离子注入的步骤是等离子体离子注入的步骤。
(10)如(2)所述的成型体的制造方法,其特征在于,一边将在表面部具有含有聚硅氮烷化合物和粘土矿物的层的长的成型物沿一定方向运送,一边向上述含有聚硅氮烷化合物和粘土矿物的层中注入离子。
根据本发明的第3发明,提供了下述(11)的电子设备用构件。
(11)电子设备用构件,其包含(1)或(2)所述的成型体。
根据本发明的第4发明,提供了下述(12)的电子设备。
(12)电子设备,其具有(11)所述的电子设备用构件。
发明的效果
本发明的成型体具有优异的阻气性、透明性和耐弯折性。本发明的成型体可以适合用作挠性的显示器、或太阳能电池等的电子设备用构件(例如太阳能电池背板)。
根据本发明的制造方法,可以简便且高效地制造具有优异的阻气性、透明性、耐弯折性的本发明的成型体。另外,与将无机膜作为阻气膜成膜的成型体相比,可以由低的成本容易地实现大面积化。
本发明的电子设备用构件具有优异的阻气性、透明性和耐弯折性等,因此可以适合用于显示器、太阳能电池等的电子设备。
附图的说明
图1是表示本发明中使用的等离子体离子注入装置的简要构成的图。
图2是表示本发明中使用的等离子体离子注入装置的简要构成的图。
具体实施方式
以下分成1)成型体、2)成型体的制造方法、以及3)电子设备用构件和电子设备的项目,详细说明本发明。
1)成型体
本发明的成型体的特征在于,包含含有聚硅氮烷化合物和粘土矿物的层(以下有时称为“粘土矿物等含有层”),在40℃、相对湿度90%气氛下的水蒸气透过率为6.0g/m2/天以下。
本发明中使用的聚硅氮烷化合物是在分子内具有含有-Si-N-键(硅氮烷键)的重复单元的高分子化合物。具体来说,优选是具有式(1)所示的重复单元的化合物,
[化1]
。另外,使用的聚硅氮烷化合物的数均分子量没有特别限定,优选为100~50000。
式(1)中,n表示任意的自然数。
Rx、Ry、Rz分别独立地表示氢原子、未取代或具有取代基的烷基、未取代或具有取代基的环烷基、未取代或具有取代基的烯基、未取代或具有取代基的芳基或烷基甲硅烷基等的非水解性基团。
作为上述未取代或具有取代基的烷基的烷基,可以举出例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、新戊基、正己基、正庚基、正辛基等碳原子数为1~10的烷基。
作为未取代或具有取代基的环烷基的环烷基,可以举出环丁基、环戊基、环己基、环庚基等碳原子数为3~10的环烷基。
作为未取代或具有取代基的烯基的烯基,可以举出例如乙烯基、1-丙烯基、2-丙烯基、1-丁烯基、2-丁烯基、3-丁烯基等碳原子数为2~10的烯基。
作为上述烷基、环烷基和烯基的取代基,可以举出氟原子、氯原子、溴原子、碘原子等的卤原子;羟基;硫醇基;环氧基;环氧丙氧基;(甲基)丙烯酰氧基;苯基、4-甲基苯基、4-氯苯基等的未取代或具有取代基的芳基;等。
作为未取代或具有取代基的芳基的芳基,可以举出例如苯基、1-萘基、2-萘基等碳原子数为6~10的芳基。
作为上述芳基的取代基,可以举出氟原子、氯原子、溴原子、碘原子等的卤原子;甲基、乙基等碳原子数为1~6的烷基;甲氧基、乙氧基等碳原子数为1~6的烷氧基;硝基;氰基;羟基;硫醇基;环氧基;环氧丙氧基;(甲基)丙烯酰氧基;苯基、4-甲基苯基、4-氯苯基等的未取代或具有取代基的芳基;等。
烷基甲硅烷基可以举出三甲基甲硅烷基、三乙基甲硅烷基、三异丙基甲硅烷基、三叔丁基甲硅烷基、甲基二乙基甲硅烷基、二甲基甲硅烷基、二乙基甲硅烷基、甲基甲硅烷基、乙基甲硅烷基等。
其中,Rx、Ry、Rz优选为氢原子、碳原子数为1~6的烷基、或苯基,特别优选氢原子。
作为具有上述式(1)所示的重复单元的聚硅氮烷化合物,可以是Rx、Ry、Rz都为氢原子的无机聚硅氮烷、Rx、Ry、Rz的至少一个不为氢原子的有机聚硅氮烷的任意一者。
作为无机聚硅氮烷,可以举出:具备具有由下述
[化2]
(式中,a表示任意的自然数)表示的重复单元的直链状结构,且具有690~2000的分子量,一分子中具有3~10个SiH3基的全氢化聚硅氮烷(日本特公昭63-16325号公报);具有由式(A)
[化3]
〔式中,b、c表示任意的自然数,Y1表示氢原子或由式(B)
[化4]
(式中,d表示任意的自然数,*表示键合位置,Y2表示氢原子、或由上述(B)表示的基团)表示的基团。〕表示的重复单元的、具有直链状结构和支链结构的全氢化聚硅氮烷;具有由式(C)
[化5]
表示的全氢化聚硅氮烷结构的、分子内具有直链状结构、支链结构和环状结构的全氢化聚硅氮烷等。
作为有机聚硅氮烷,可以举出:
(i)以-(Rx’SiHNH)-(Rx’表示未取代或具有取代基的烷基、未取代或具有取代基的环烷基、未取代或具有取代基的烯基、未取代或具有取代基的芳基、或烷基甲硅烷基。以下的Rx’也同样。)为重复单元,主要具有聚合度为3~5的环状结构的聚硅氮烷、
(ii)以-(Rx’SiHNRz’)-(Rz’表示未取代或具有取代基的烷基、未取代或具有取代基的环烷基、未取代或具有取代基的烯基、未取代或具有取代基的芳基、或烷基甲硅烷基。)为重复单元,主要具有聚合度为3~5的环状结构的聚硅氮烷、
(iii)以-(Rx’Ry’SiNH)-(Ry’表示未取代或具有取代基的烷基、未取代或具有取代基的环烷基、未取代或具有取代基的烯基、未取代或具有取代基的芳基、或烷基甲硅烷基)为重复单元,主要具有聚合度为3~5的环状结构的聚硅氮烷、
(iv)在分子内具有下式所示的结构的聚有机(氢化)硅氮烷,
[化6]
(v)具有由下式
[化7]
〔Rx’、Ry’与上述表示相同的意思,e、f表示任意的自然数,Y3表示氢原子或由式(E)
[化8]
(式中,g表示任意的自然数,*表示键合位置,Y4表示氢原子、或由上述(E)表示的基团)表示的基团。〕
表示的重复结构的聚硅氮烷等。
上述有机聚硅氮烷可以通过公知的方法制造。例如可以通过使下式(2)所示的未取代或具有取代基的卤代硅烷化合物与仲胺的反应产物、与氨或伯胺进行反应来得到。
[化9]
式(2)中,m表示2或3,X表示卤原子,R1表示上述的、Rx、Ry、Rz、Rx’、Ry’、Rz’的任一取代基。)
使用的仲胺、氨和伯胺可以根据目标聚硅氮烷化合物的结构来适当选择。
另外,在本发明中,聚硅氮烷化合物也可以使用聚硅氮烷改性物。作为聚硅氮烷改性物,可以举出例如含有金属原子(该金属原子可进行交联)的多金属硅氮烷(ポリメタロシラザン)、重复单元由〔(SiH2g(NH)h)〕和〔(SiH2iO〕(式中,g、h、i分别独立地为1、2或3)表示的聚硅氧硅氮烷(日本特开昭62-195024号公报)、使硼烷化合物与聚硅氮烷反应而制造的聚硼硅氮烷(日本特开平2-84437号公报)、使聚硅氮烷与金属醇盐反应来制造的多金属硅氮烷(日本特开昭63-81122号公报等)、无机硅氮烷高聚物或改性聚硅氮烷(日本特开平1-138108号公报等)、在聚硅氮烷中引入了有机成分的共聚合硅氮烷(日本特开平2-175726号公报等)、在聚硅氮烷中加成或添加了用于促进陶瓷化的催化剂化合物的低温陶瓷化聚硅氮烷(日本特开平5-238827号公报等);
加成了烷氧基硅的聚硅氮烷(日本特开平5-238827号公报)、加成了缩水甘油的聚硅氮烷(日本特开平6-122852号公报)、加成了乙酰丙酮络合物的聚硅氮烷(日本特开平6-306329号公报)、加成了金属羧酸盐的聚硅氮烷(日本特开平6-299118号公报等)、
在上述聚硅氮烷或其改性物中添加胺类和/或酸类而成的聚硅氮烷组合物(日本特开平9-31333号公报)、在全氢化聚硅氮烷的末端N原子上加成甲醇等的醇或六甲基二硅氮烷而得的改性聚硅氮烷(日本特开平5-345826号公报、日本特开平4-63833号公报)等。
其中,作为本发明中使用的聚硅氮烷化合物,从获得容易性、和具有优异的阻气性的角度考虑,优选Rx、Ry、Rz都为氢原子的全氢化聚硅氮烷。
进一步地,在本发明中,聚硅氮烷化合物也可以直接使用作为玻璃涂布材料售卖的市售品。
上述粘土矿物等含有层除了聚硅氮烷化合物,还含有粘土矿物的至少一种。通过配合粘土矿物,可以得到耐弯折性优异,透明性良好的阻气性成型体。
本发明中使用的粘土矿物是构成粘土的矿物,主成分为层状硅酸盐矿物。粘土矿物由层状的小板形成,小板利用廊道(ギャラリー)(含有将小板之间结合的各种离子的、各小板的平行层间的空间)隔离。
各小板的尺寸是厚度通常为3~3000Å,平面方向的长度通常为0.01~100μm。另外,纵横比通常为10~10000。
作为粘土矿物,可以举出蒙脱石、皂石、贝得石、绿脱石、锂蒙脱石、斯皂石等蒙脱石系粘土矿物;蛭石;多水高岭石等。其中,从可得到具有优异的阻气性的成型体的角度考虑,优选蒙脱石系粘土矿物,更优选蒙脱石。
它们可以单独使用一种,或将两种以上组合使用。
在本发明中,作为粘土矿物,可以直接使用市售品。
作为市售品,可以举出例如有机化蒙脱石Southern Clay Products公司制、CLOISITE(注册商标)10A(小板的厚度:约0.001μm(10Å)、平面方向长度:约0.15~约0.20μm)、三洋贸易株式会社制、Dellite67G(小板的厚度:约0.001μm(10Å)、平面方向长度:约1μm)等。
粘土矿物等含有层中的聚硅氮烷化合物和粘土矿物的合计含量,从具有优异的阻气性等的角度考虑,优选为50质量%以上,更优选为70质量%以上。
粘土矿物等含有层中的粘土矿物的含量,以聚硅氮烷化合物和粘土矿物的总量作为100质量%计,优选为0.01质量%~10质量%,更优选0.05质量%~5.0质量%,特别优选0.1质量%~1.0质量%。
粘土矿物等含有层除了聚硅氮烷化合物和粘土矿物以外,在不损害本发明目的的范围下也可以含有其它成分。其它成分可以举出其它高分子、固化剂、抗老化剂、光稳定剂、阻燃剂、填充剂、颜料、流平剂、消泡剂、抗静电剂、紫外线吸收剂、pH调节剂、分散剂、表面改质剂、增塑剂、干燥促进剂、防止流动剂(流れ止め剂)等。
作为形成粘土矿物等含有层的方法,没有特别限定,例如可以举出在适当的基材层上涂布含有聚硅氮烷化合物的至少一种、粘土矿物的至少一种、根据需要的其它成分、和溶剂等的层形成用溶液,并将所得的涂膜适度地干燥而形成的方法。
作为使用的溶剂,优选可稳定地溶解聚硅氮烷和粘土矿物这两者的溶剂,可以举出例如二甲苯、甲苯、丁基卡必醇醋酸酯、乙酸正丁酯、乙酸乙酯等的酯类;纤维素溶剂、乙酸溶纤剂等的乙二醇醚类;丙酮、甲基乙基酮等的酮类等。
使用溶剂时,为了调节上述聚硅氮烷化合物等的溶解度或溶剂的蒸发速度,可以将2种以上的溶剂混合。
溶剂的使用量(比例)虽然也依赖于涂布方法、使用的聚硅氮烷化合物等的种类等,但通常为层形成用溶液的1~99质量%、优选5~60质量%。
作为涂布装置,可以使用旋涂机、刮刀式涂胶机、照相凹板式涂布机等公知的装置。
为了使所得的涂膜干燥、使成型体的阻气性增加,优选加热涂膜。加热在80~150℃进行数十秒至数十分钟。
通过这样的加热,聚硅氮烷化合物进行交联、缩合、根据情况进行氧化、水解并固化,形成陶瓷相。可以得到以Si-N键或Si-O键为主体的陶瓷相、与粘土矿物相以微细的结构水平复合化了的致密的膜。
形成的粘土矿物等含有层的厚度没有特别限定,通常为20nm~100μm,优选为30~500nm,更优选为40~200nm。
本发明中,粘土矿物等含有层的厚度即使为纳米级别,也可以得到具有充分的阻气性能的成型体。
本发明的成型体中,优选具有在含有聚硅氮烷化合物和粘土矿物的层中注入离子而得到的层(以下有时称为“离子注入层”)。离子注入层只要是在粘土矿物等含有层中注入离子而成的层即可,没有特别限定。
可注入的离子可举出:氩、氦、氖、氪、氙等稀有气体、碳氟化合物、氢、氮、氧、二氧化碳、氯、氟、硫、硅化合物、烃等的离子;金、银、铜、铂、镍、钯、铬、钛、钼、铌、钽、钨、铝等导电性金属的离子。
其中,从能够更为简便地注入、特别是可得到具有优异的阻气性和透明性的离子注入层的角度考虑,优选选自氢、氮、氧、氩、氦、氖、氙、氪、硅化合物、和烃中的至少一种的离子。
硅化合物可以举出硅烷(SiH4)和有机硅化合物。
有机硅化合物可举出:四甲氧基硅烷、四乙氧基硅烷、四正丙氧基硅烷、四异丙氧基硅烷、四正丁氧基硅烷、四叔丁氧基硅烷等的四烷氧基硅烷;
二甲基二甲氧基硅烷、二甲基二乙氧基硅烷、二乙基二甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、(3,3,3-三氟丙基)三甲氧基硅烷等未取代或具有取代基的烷基烷氧基硅烷;
二苯基二甲氧基硅烷、苯基三乙氧基硅烷等芳基烷氧基硅烷;
六甲基二硅氧烷(HMDSO)等二硅氧烷;
双(二甲基氨基)二甲基硅烷、双(二甲基氨基)甲基乙烯基硅烷、双(乙基氨基)二甲基硅烷、二乙基氨基三甲基硅烷、二甲基氨基二甲基硅烷、四(二甲基氨基)硅烷、三(二甲基氨基)硅烷等氨基硅烷;
六甲基二硅氮烷、六甲基环三硅氮烷、七甲基二硅氮烷、九甲基三硅氮烷、八甲基环四硅氮烷、四甲基二硅氮烷等硅氮烷;
四异氰酸酯硅烷等氰酸酯硅烷;
三乙氧基氟硅烷等卤代硅烷;
二烯丙基二甲基硅烷、烯丙基三甲基硅烷等烯基硅烷;
二叔丁基硅烷、1,3-二硅代丁烷(1,3-disilabutane)、双(三甲基甲硅烷基)甲烷、三甲基硅烷、四甲基硅烷、三(三甲基甲硅烷基)甲烷、三(三甲基甲硅烷基)硅烷、苄基三甲基硅烷等的未取代或具有取代基的烷基硅烷;
双(三甲基甲硅烷基)乙炔、三甲基甲硅烷基乙炔、1-(三甲基甲硅烷基)-1-丙炔等甲硅烷基炔;
1,4-双三甲基甲硅烷基-1,3-丁二炔、环戊二烯基三甲基硅烷等甲硅烷基烯烃;
苯基二甲基硅烷、苯基三甲基硅烷等芳基烷基硅烷;
炔丙基三甲基硅烷等炔基烷基硅烷;
乙烯基三甲基硅烷等烯基烷基硅烷;
六甲基二硅烷等二硅烷;
八甲基环四硅氧烷、四甲基环四硅氧烷、六甲基环四硅氧烷等硅氧烷;
N,O-双(三甲基甲硅烷基)乙酰胺;
双(三甲基甲硅烷基)碳二亚胺;等。
作为烃,可以举出甲烷、乙烷、丙烷、丁烷、戊烷、己烷等的烷烃;乙烯、丙烯、丁烯、戊烯等的烯烃;戊二烯、丁二烯等的链二烯;乙炔、甲基乙炔等的炔;苯、甲苯、二甲苯、茚、萘、菲等的芳香族烃;环丙烷、环己烷等的环烷烃;环戊烯、环己烯等的环烯烃;等。
这些离子可以单独使用一种,或者将两种以上组合使用。
离子的注入量可以根据形成的成型体的使用目的(必要的阻气性、透明性等)等来适当确定。
注入离子的方法没有特别限定,可以举出例如在形成粘土矿物等含有层(以下有时称为“注入离子的层”)后,在该层中注入离子的方法。
离子注入的方法可举出以下的方法:照射利用电场加速的离子(离子束)的方法;注入等离子体中的离子的方法(等离子体离子注入法)等。其中,本发明中,从可以简便地获得具有优异的阻气性等的成型体的角度考虑,优选后者的等离子体离子注入法。
等离子体离子注入法例如可以如下述这样进行:在含有等离子体生成气体的气氛下生成等离子体,对注入离子的层施加负的高电压脉冲,由此将该等离子体中的离子(阳离子)注入到注入离子的层的表面部中。
形成离子注入层的部分的厚度可通过离子的种类或施加电压、处理时间等的注入条件来控制,可以根据注入离子的层的厚度、成型体的使用目的等来确定,通常为10-1000nm。
离子的注入可使用X射线光电子能谱分析(XPS),通过进行距表面10 nm附近深度的元素分析测定来确认。
本发明的成型体的形状没有特别限定,例如可举出薄膜状、片状、长方体状、多棱柱状、筒状等。如后所述,作为电子设备用构件使用时,优选薄膜状、片状。该薄膜的厚度可根据目标电子设备的用途适当确定。
本发明的成型体可以仅包含含有聚硅氮烷化合物和粘土矿物的层或离子注入层,还可以进一步含有其它层。其它层可以是单层也可以是同种类或不同种类的2层以上。
其它层可举出:基材层、无机化合物层、冲击吸收层、导电体层、基底层等。
本发明的成型体为含有其它层的叠层体时,各层的叠层顺序可以是任意的顺序。另外,含有聚硅氮烷化合物和粘土矿物的层或离子注入层的配置位置没有特别限定,从可高效地制造等的原因考虑,优选在表面上具有含有聚硅氮烷化合物和粘土矿物的层或离子注入层。进一步地,含有聚硅氮烷化合物和粘土矿物的层或离子注入层可以仅在其它层的一面形成,也可以在其它层的两面形成。
另外,本发明的成型体为叠层体时,叠层体的厚度没有特别限定,可以根据目标电子设备的用途来适当决定。
(基材层)
(基材层)
基材层的原材料只要符合成型体的目的即可,没有特别限定,例如可举出:聚酰亚胺、聚酰胺、聚酰胺酰亚胺、聚苯醚、聚醚酮、聚醚醚酮、聚烯烃、聚酯、聚碳酸酯、聚砜、聚醚砜、聚苯硫、聚芳酯、粘土矿物、环烯烃系聚合物、芳香族系聚合物等。
其中,从透明性优异、具有通用性的角度考虑,优选聚酯、聚酰胺或环烯烃系聚合物,更优选聚酯或环烯烃系聚合物。
聚酯可举出:聚对苯二甲酸乙二醇酯、聚对苯二甲酸丁二醇酯、聚萘二甲酸乙二醇酯、聚芳酯等。
聚酰胺可举出:全芳香族聚酰胺、尼龙6、尼龙66、尼龙共聚物等。
环烯烃系聚合物可举出:降冰片烯系聚合物、单环的环状烯烃系聚合物、环状共轭二烯系聚合物、乙烯基脂环烃聚合物以及它们的氢化物。其具体例子可举出:アペル(三井化学社制造的乙烯-环烯烃共聚物)、アートン(JSR社制造的降冰片烯系聚合物)、ゼオノア(日本ゼオン社制造的降冰片烯系聚合物)等。
(无机化合物层)
无机化合物层是由无机化合物的一种或两种以上形成的层。作为构成无机化合物层的无机化合物,是通常可真空成膜、且具有阻气性的无机化合物,例如可举出:无机氧化物、无机氮化物、无机碳化物、无机硫化物、作为它们的复合物的无机氧化氮化物、无机氧化碳化物、无机氮化碳化物、无机氧化氮化碳化物等。本发明中,在这些化合物中,优选无机氧化物、无机氮化物、无机氧化氮化物。
无机氧化物可以列举用通式MOx表示的金属氧化物。
式中,M表示金属元素。x根据M的不同,其范围各自不同,例如M如果为硅(Si),则x为0.1~2.0,M如果为铝(Al),则x为0.1~1.5,M如果为镁(Mg),则x为0.1~1.0,M如果为钙(Ca),则x为0.1~1.0,如果M为钾(K),则x为0.1~0.5,M如果为锡(Sn),则x为0.1~2.0,如果M为钠(Na),则x为0.1~0.5,如果M为硼(B),则x为0.1~1.5,如果M为钛(Ti),则x为0.1~2.0,如果M为铅(Pb),则x为0.1~1.0,如果M为锆(Zr),则x为0.1~2.0,如果M为钇(Y),则x为0.1~1.5的范围的值。
其中,从透明性等优异的角度考虑,优选M为硅的硅氧化物、M为铝的铝氧化物、M为钛的钛氧化物,更优选硅氧化物。并且,作为x的值,如果M为硅,则优选为1.0~2.0,如果M为铝,则优选为0.5~1.5,如果M为钛,则优选为1.3~2.0的范围。
作为无机氮化物,可以举出由通式MNy表示的金属氮化物。
式中,M表示金属元素。y根据M的不同,其范围各自不同,如果M为硅(Si),则y=0.1~1.3,如果M为铝(Al),则y=0.1~1.1,如果M为钛(Ti),则y=0.1~1.3,如果M为锡(Sn),则y=0.1~1.3的范围的值。
其中,从透明性等优异的角度考虑,优选M为硅的硅氮化物、M为铝的铝氮化物、M为钛的钛氮化物、M为锡的锡氮化物,更优选硅氮化物(SiN)。并且,作为y的值,如果M为硅,则优选y=0.5~1.3,如果M为铝,则优选y=0.3~1.0,如果M为钛,则优选y=0.5~1.3,如果M为锡,则优选y=0.5~1.3的范围。
作为无机氧化氮化物,可以举出由通式MOxNy表示的金属氧化氮化物。
式中,M表示金属元素。x和y的值根据M的不同,其范围各自不同。即,对于x、y,例如如果M为硅(Si),则x=1.0~2.0、y=0.1~1.3,如果M为铝(Al),则x=0.5~1.0、y=0.1~1.0,如果M为镁(Mg),则x=0.1~1.0、y=0.1~0.6,如果M为钙(Ca),则x=0.1~1.0、y=0.1~0.5,如果M为钾(K),则x=0.1~0.5、y=0.1~0.2,如果M为锡(Sn),则x=0.1~2.0、y=0.1~1.3,如果M为钠(Na),则x=0.1~0.5、y=0.1~0.2,如果M 为硼(B),则x=0.1~1.0、y=0.1~0.5,如果M为钛(Ti),则x=0.1~2.0、y=0.1~1.3,如果M为铅(Pb),则x=0.1~1.0、y=0.1~0.5,如果M为锆(Zr),则x=0.1~2.0、y=0.1~1.0,如果M为钇(Y),则x=0.1~1.5、y=0.1~1.0的范围的值。
其中,从透明性等优异的角度考虑,优选M为硅的硅氧化氮化物、M为铝的铝氧化氮化物、M为钛的钛氧化氮化物,更优选硅氧化氮化物。并且,作为x和y的值,如果M为硅,则优选x=1.0~2.0、y=0.1~1.3,如果M为铝,则优选x=0.5~1.0、y=0.1~1.0,如果M为钛,则优选x=1.0~2.0、y=0.1~1.3的范围。
并且,金属氧化物、金属氮化物和金属氧化氮化物中,可以含有2种以上的金属。
无机化合物层的形成方法没有特别限定。例如可举出:蒸镀法、溅射法、离子镀敷法、热CVD法、等离子体CVD法、动态离子混合法等。其中,在本发明中,从可简便地得到阻气性优异的叠层体的角度考虑,优选磁控溅射法。
无机化合物层的厚度没有特别限定,从可得到阻气性的角度考虑,优选为10~1000nm,更优选20~500nm,特别优选50~200nm。
(冲击吸收层)
冲击吸收层是在对上述无机化合物层施加冲击时,用于防止裂纹的层。形成冲击吸收层的原材料没有特别限定,例如可举出:丙烯酸系树脂、聚氨酯系树脂、有机硅系树脂、烯烃系树脂、橡胶系材料等。其中,优选丙烯酸系树脂、有机硅系树脂、橡胶系材料。
作为丙烯酸系树脂,可以举出含有选自(甲基)丙烯酸酯均聚物、含2种以上的(甲基)丙烯酸酯单元的共聚物、和(甲基)丙烯酸酯与其它官能性单体的共聚物中的至少一种作为主成分的丙烯酸系树脂。并且,“(甲基)丙烯酸”是丙烯酸或甲基丙烯酸的意思(以下同样。)。
作为(甲基)丙烯酸酯,优选酯部分的碳原子数为1~20的(甲基)丙烯酸,更优选酯部分的碳原子数为4~10的(甲基)丙烯酸酯。
作为这样的(甲基)丙烯酸酯,可以举出(甲基)丙烯酸丁酯、(甲基)丙烯酸戊酯、(甲基)丙烯酸己酯、(甲基)丙烯酸庚酯、(甲基)丙烯酸辛酯、(甲基)丙烯酸2-乙基己酯、(甲基)丙烯酸壬酯、(甲基)丙烯酸癸酯等。
作为官能性单体,可以举出(甲基)丙烯酸羟基乙酯等含有羟基的单体、(甲基)丙烯酰胺等含有酰胺基的单体、(甲基)丙烯酸等含有羧酸基的单体等。
(甲基)丙烯酸酯(共)聚合物可以通过例如溶液聚合法、乳化聚合法、悬浮聚合法等公知的聚合方法来得到。并且,(共)聚合物是均聚物或共聚物的意思(以下同样)。
(甲基)丙烯酸酯(共)聚合物也可以与交联剂混合,在至少一部分中形成交联物来使用。
作为交联剂,可以举出甲苯二异氰酸酯、1,6-己二异氰酸酯等、或者它们的加成物等的异氰酸酯系交联剂;乙二醇缩水甘油醚等的环氧系交联剂;六〔1-(2-甲基)-氮丙啶基〕三磷杂三嗪等的氮丙啶系交联剂;铝螯合物等的螯合物系交联剂;等。
交联剂的使用量相对于(甲基)丙烯酸酯(共)聚合物的固形成分100质量份,通常为0.01~10质量份、优选0.05~5质量份。交联剂可以单独使用1种,或将2种以上组合使用。
有机硅系树脂可以举出以二甲基硅氧烷为主成分的树脂。
橡胶系材料可以举出以异戊二烯橡胶、丁苯橡胶、聚异丁烯橡胶、苯乙烯-丁二烯-苯乙烯橡胶等为主成分的材料。
冲击吸收层中可以含有各种添加剂、例如抗氧化剂、增粘剂、增塑剂、紫外线吸收剂、着色剂、抗静电剂等其它的成分。
形成冲击吸收层的原材料也可以使用作为胶粘剂、涂布剂、密封剂等市售的产品,特别优选丙烯酸系胶粘剂、有机硅系胶粘剂、橡胶系胶粘剂等的胶粘剂。
作为冲击吸收层的形成方法,没有特别限定,可以举出例如与上述含有聚有机硅氧烷系化合物的层的形成方法同样地,将含有上述形成冲击吸收层的原材料(胶粘剂等)、和根据需要的溶剂等其它成分的冲击吸收层形成溶液涂布在应层叠的层上,将所得的涂膜干燥,根据需要进行加热等来形成的方法。
另外,可在剥离基材上将冲击吸收层成膜,将所得的膜转印至应叠层的层上来进行叠层。
冲击吸收层的厚度通常为1~100μm,优选为5~50μm。
(导电体层)
构成导电体层的材料可举出:金属、合金、金属氧化物、导电性化合物、它们的混合物等。具体来说可举出:掺杂了锑的氧化锡(ATO);掺杂了氟的氧化锡(FTO);氧化锡、氧化锌、氧化铟、氧化铟锡(ITO)、氧化锌铟(IZO)等导电性金属氧化物;金、银、铬、镍等金属;这些金属与导电性金属氧化物的混合物;碘化铜、硫化铜等无机导电性物质;聚苯胺、聚噻吩、聚吡咯等有机导电性材料;等。导电体层可以是包含这些材料的层多层叠层而成的叠层体。
其中,从透明性的角度考虑,优选导电性金属氧化物,特别优选ITO。
导电体层的形成方法可举出:例如蒸镀法、溅射法、离子镀敷法、热CVD法、等离子体CVD法等。其中,从可简便地形成导电体层的角度考虑,优选为溅射法。
溅射法是通过在真空槽内导入放电气体(氩等),在靶与基板之间施加高频电压或直流电压,而使放电气体形成等离子体,使该等离子体碰撞靶,由此将靶材料飞溅,并使其附着在基板上而得到薄膜的方法。作为靶,可以使用由形成上述导电体层的材料构成的物质。
导电体层的厚度可根据其用途等适当选择。通常为10nm~50μm,优选为20nm~20μm。
所得的导电体层的表面电阻率通常为1000Ω/□以下。
对于形成的导电体层,可以根据需要进行图案的形成。作为形成图案的方法,可以举出利用了照相平版印刷术等的化学性蚀刻、使用了激光等的物理性蚀刻等、使用了掩模的真空蒸镀法或溅射法、剥离法(リフトオフ法)、印刷法等。
(基底层)
基底层起到提高基材层与含有聚硅氮烷化合物和粘土矿物的层或离子注入层之间的层间密合性的作用。通过设置基底层,可以获得层间密合性和表面平滑性极为优异的阻气性薄膜。
构成基底层的材料没有特别限定,可以使用公知的材料。例如可举出:含硅化合物;含有光聚合性单体和/或光聚合性预聚物的光聚合性化合物、以及至少含有用可见光区域的光生成自由基的聚合引发剂的光聚合性组合物;聚酯系树脂、聚氨酯系树脂(特别是聚丙烯基型多元醇(polyacryl polyol)、聚酯型多元醇、聚醚型多元醇等与异氰酸酯化合物的双液固化型树脂)、丙烯酸系树脂、聚碳酸酯系树脂、氯乙烯/乙酸乙烯基酯共聚物、聚乙烯醇缩丁醛系树脂、硝基纤维素系树脂等树脂类;钛酸烷基酯;吖丙啶;等。这些材料可以单独使用一种或将两种以上组合使用。
基底层可如下形成:将构成基底层的材料溶解或分散于适当的溶剂中而得到基底层形成用溶液,将该基底层形成用溶液涂布在基材层的一个面或两面上,使所得的涂膜干燥,并根据需要进行加热来形成。
将基底层形成用溶液涂布在基材层上的方法可采用通常的湿式涂布方法。例如可举出:浸涂法、辊涂法、凹版涂布法、刮刀涂布法、气刀涂布法、辊刀涂布法、口模涂布法、丝网印刷法、喷涂法、凹版胶印法等。
对基底层形成用溶液的涂膜进行干燥的方法可以采用热风干燥、热辊干燥、红外线照射等以往公知的干燥方法。基底层的厚度通常为10-1000 nm。
还可以按照与如后所述的向离子注入层中注入离子的方法为同样的方法,对所得的基底层进行离子注入。通过也对基底层进行离子注入,可以获得更为优异的阻气性薄膜。
本发明的成型体的特征在于,在40℃、相对湿度90%气氛下的水蒸气透过率为6.0g/m2/天以下。该水蒸气透过率优选为1.0g/m2/天以下,更优选为0.7g/m2/天以下,特别优选为0.4g/m2/天以下。并且,水蒸气透过率可以使用公知的气体透过率测定装置进行测定。
本发明的成型体进一步具有优异的透明性,另外其形状为薄膜状或片状(以下称为“薄膜状”)时,优选耐弯折性优异,且即使进行弯折等也可维持阻气性。
本发明的成型体具有优异的透明性,这可以由本发明的成型体的全光线透射率高来得以确认。全光线透射率是波长550nm时的透射率,优选为86%以上。成型体的全光线透射率可以使用公知的全光线透射率测定装置来测定。
本发明的成型体耐弯折性优异,即使进行弯折等也可以维持阻气性,这可以由将薄膜状的成型体弯折成两半、施加压力、再次展开时弯折部分不劣化、水蒸气透过率也几乎不降低来得以确认。对于本发明的成型体,用下式
[数1]
(水蒸气透过升高率)=(弯折后的水蒸气透过率)/(弯折前的水蒸气透过率)×100
算出的水蒸气透过升高率优选为600%以下,更优选为500%以下,进一步优选为150%以下,特别优选为120%以下。本发明的薄膜状的成型体与相同厚度的无机膜相比,弯折后也可维持阻气性的性能优异。
2)成型体的制造方法
本发明成型体的制造方法的特征在于,具有下述步骤:在表面部具有粘土矿物等含有层的成型物的、上述粘土矿物等含有层中注入离子。
本发明的成型体的制造方法中,优选一边将在表面部具有粘土矿物等含有层的长的成型物沿一定方向运送,一边在粘土矿物等含有层中注入离子,来制造成型体。
根据该制造方法,例如可将长的成型物从开卷辊开卷,一边将其沿一定方向运送一边注入离子,用卷绕辊卷绕,因此可以连续地制造注入了离子的成型体。
长的成型物的形状为薄膜状,其可以仅由粘土矿物等含有层构成,或者可以是在表面部具有粘土矿物等含有层的、含有其它层的叠层体。
从开卷、卷绕和运送的操作性的观点考虑,成型物的厚度优选1 μm~500 μm,更优选5 μm~300 μm。
向粘土矿物等含有层中注入离子的方法没有特别限定。其中特别优选通过等离子体离子注入法,在上述层的表面部形成离子注入层的方法。
等离子体离子注入法是通过对暴露于等离子体中的、表面具有粘土矿物等含有层的成型物施加负的高电压脉冲,将等离子体中的离子注入到上述层的表面部,而形成离子注入层的方法。
等离子体离子注入法优选(A)将存在于使用外部电场而产生的等离子体中的离子注入到上述层的表面部的方法;或(B)将存在于等离子体中的离子注入到上述层的表面部的方法,其中,所述等离子体不使用外部电场,只通过施加于上述层的负的高电压脉冲引起的电场而产生。
上述(A)的方法中,注入离子时的压力(等离子体离子注入时的压力)优选0.01-1 Pa。等离子体离子注入时的压力在上述范围时,可以简便且高效地形成阻气性等优异的均匀的离子注入层。
上述(B)的方法无需提高减压度,处理操作简便,处理时间也可大幅缩短。还可以对上述层全体均匀地进行处理,在施加负的高电压脉冲时,可将等离子体中的离子以高能量连续地注入到层的表面部。进一步地,无需射频(高频,以下简称为“RF”)或微波等高频功率源等特别的其它装置,仅通过对层施加负的高电压脉冲即可以在层的表面部均匀地形成优良的离子注入层。
上述(A)和(B)的任意的方法中,施加负的高电压脉冲时、即注入离子时的脉冲宽度优选为1-15微秒。脉冲宽度为该范围时,可以更简便且高效地形成透明且均匀的离子注入层。
另外,生成等离子体时的施加电压优选-1 kV~-50 kV,更优选-1 kV~-30 kV,特别优选-5 kV~-20 kV。如果以施加电压比-1 kV大的值进行离子注入,则离子注入量(剂量)不足,无法获得所需性能。另一方面,如果以比-50 kV小的值进行离子注入,则离子注入时成型体带电,另外发生成型体着色等问题,不优选。
作为生成等离子体离子的原料气体,可以举出与上述1)成型体的项中列举的为同样的物质。
向层的表面部注入等离子体中的离子时,使用等离子体离子注入装置。
具体来说,等离子体离子注入装置可举出:(α)在对注入离子的层施加负的高电压脉冲的馈通上重叠高频功率,进行离子注入,使该离子注入的层的周围被等离子体均匀包围,诱导、注入、碰撞、堆积等离子体中的离子的装置(日本特开2001-26887号公报);(β)在腔体内设置天线,施加高频功率,生成等离子体,进行离子注入,使等离子体到达该离子注入的层的周围,然后对注入离子的层交替施加正和负的脉冲,以正的脉冲来诱导等离子体中的电子,使其碰撞,进行离子注入,对该离子注入的层进行加热,控制脉冲常数,进行温度控制,同时施加负的脉冲,诱导并注入等离子体中的离子的装置(日本特开2001-156013号公报);(γ)使用微波等高频功率源等的外部电场生成等离子体,施加高电压脉冲,诱导并注入等离子体中的离子的等离子体离子注入装置;(δ)将不使用外部电场,而仅利用通过施加高电压脉冲产生的电场而生成的等离子体中的离子注入的等离子体离子注入装置等。
其中,从处理操作简便、处理时间也可大幅缩短、适合连续使用的观点考虑,优选使用(γ)或(δ)的等离子体离子注入装置。
以下,边参照附图边对使用上述(γ)和(δ)的等离子体离子注入装置的方法进行详细说明。
图1是表示具备上述(γ)的等离子体离子注入装置的连续等离子体离子注入装置的概要图。
图1(a)中,1a是在表面部具有粘土矿物等含有层的长的薄膜状的成型物(以下称为“薄膜”),11a为腔体,20a为涡轮分子泵,3a为将注入离子之前的薄膜1a送出的开卷辊,5a是将注入了离子的薄膜(成型体)1a卷绕成卷状的卷绕辊,2a是高电压施加旋转筒,6a为薄膜的送出辊,10a为气体导入口,7a为高电压脉冲电源,4为等离子体放电用电极(外部电场)。图1(b)是上述高电压施加旋转筒2a的斜视图,15为高电压导入端子(馈通)。
所使用的表面部具有注入离子的层的长的薄膜1a是在基材层上形成了粘土矿物等含有层的薄膜。
在图1所示的连续等离子体离子注入装置中,薄膜1a在腔体11a内由开卷辊3a沿着图1中箭头X方向运送,通过高电压施加旋转筒2a卷绕到卷绕辊5a上。薄膜1a的卷绕方法、或运送薄膜1a的方法等没有特别限定,本实施方案中,通过以一定的速度使高电压施加旋转筒2a旋转,进行薄膜1a的运送。另外,高电压施加旋转筒2a的旋转通过利用马达使高电压导入端子15的中心轴13旋转来进行。
高电压导入端子15、以及薄膜1a所接触的多个送出用辊6a等由绝缘体形成,例如用聚四氟乙烯等树脂覆盖氧化铝的表面而形成。另外,高电压施加旋转筒2a由导体形成,例如可由不锈钢形成。
薄膜1a的运送速度可适当设定。该速度只要是可确保在薄膜1a由开卷辊3a运送、至被卷绕在卷绕辊5a上期间,向薄膜1a的表面部(粘土矿物等含有层)注入离子,形成所需的离子注入层的时间即可,没有特别限定。薄膜的卷绕速度(运送速度)根据施加电压、装置规模等而不同,通常为0.1-3 m/分钟,优选0.2-2.5 m/分钟。
首先,通过与旋转泵连接的涡轮分子泵20a使腔体11a内排气、减压。减压度通常为1×10 4Pa~1×100Pa,优选1×10 3Pa~1×10 2Pa。
接着,通过气体导入口10a向腔体11a内导入离子注入用的气体(以下有时称为“离子注入用气体”),使腔体11a内形成减压离子注入用气体气氛。应予说明,离子注入用气体也可以是等离子体生成气体。
接着,通过等离子体放电用电极4 (外部电场)生成等离子体。生成等离子体的方法可举出利用了微波或RF等高频功率源等的公知的方法。
另一方面,经由高电压导入端子15、通过与高电压施加旋转筒2a连接的高电压脉冲电源7a,施加负的高电压脉冲9a。如果对高电压施加旋转筒2a施加负的高电压脉冲,则等离子体中的离子被诱导,注入到高电压施加旋转筒2a的周围的薄膜表面(图1(a)中的箭头Y),得到薄膜状的成型体1b。
如上所述,注入离子时的压力(腔体11a内的等离子体气体的压力)优选为0.01-1 Pa,注入离子时脉冲宽度优选为1-15微秒,对高电压施加旋转筒2a施加负的高电压时的施加电压优选为-1 kV~-50 kV。
接着,对于使用图2所示的连续等离子体离子注入装置、向表面部具有粘土矿物等含有层的薄膜的、上述粘土矿物等含有层注入离子的方法进行说明。
图2所示的装置具备上述(δ)的等离子体离子注入装置。该等离子体离子注入装置无需使用外部电场(即,图1中的等离子体放电用电极4),可以只用施加的高电压脉冲引起的电场来生成等离子体。
图2所示的连续等离子体离子注入装置中,与上述图1的装置同样地,通过使高电压施加旋转筒2b旋转,薄膜(薄膜状的成型物)1c由开卷辊3b沿着图2中箭头X方向运送,卷绕在卷绕辊5b上。
在图2所示的连续等离子体离子注入装置中,对上述薄膜的粘土矿物等含有层的表面部注入离子如下进行。
首先,与图1所示的等离子体离子注入装置同样地,在腔体11b内设置薄膜1c,通过与旋转泵连接的涡轮分子泵20b使腔体11b内排气、减压。此时,通过气体导入口10b向腔体11b内导入离子注入用气体,使腔体11b内形成减压离子注入用气体气氛。
注入离子时的压力(腔体11b内的等离子体气体的压力)为10 Pa以下,优选0.01-5 Pa,更优选0.01-1 Pa。
接着,将薄膜1c沿着图2中X方向运送,同时经由高电压导入端子(未图示),由与高电压施加旋转筒2b连接的高电压脉冲电源7b施加高电压脉冲9b。
如果对高电压施加旋转筒2b施加负的高电压,则沿着高电压施加旋转筒2b的周围的薄膜1c生成等离子体,该等离子体中的离子被诱导,注入到高电压施加旋转筒2b的周围的成型体薄膜1c的表面(图2中的箭头Y)。如果向薄膜1c的粘土矿物等含有层的表面部注入离子,则在薄膜表面部形成离子注入层,得到薄膜状的成型体1d。
对高电压施加旋转筒2b施加负的高电压时的施加电压、脉冲宽度和注入离子时的压力与图1所示的连续等离子体离子注入装置的情况相同。
图2所示的等离子体离子注入装置中,高电压脉冲电源兼用作生成等离子体的等离子体发生装置,因此无需RF或微波等高频功率源等特别的其它装置,仅通过施加负的高电压脉冲即可以生成等离子体,可以向薄膜的粘土矿物等含有层的表面部注入等离子体中的离子,连续形成离子注入层,可以使在薄膜表面部形成有离子注入层的成型体实现量产。
3) 电子设备用构件和电子设备
本发明的电子设备用构件的特征在于,包含本发明的成型体。因此,本发明的电子设备用构件具有优异的阻气性,因此可以防止水蒸气等气体导致的元件的劣化。另外光的透射性高,因此适合用作液晶显示器、EL显示器等显示器构件;太阳能电池用背板;等。
本发明的电子设备具备本发明的电子设备用构件。具体例子可举出:液晶显示器、有机EL显示器、无机EL显示器、电子纸、太阳能电池等。
本发明的电子设备具备包含本发明的成型体的电子设备用构件,因此具有优异的阻气性、透明性和耐弯折性。
实施例
以下列举实施例,进一步详细说明本发明。但是,本发明并不受以下实施例的任何限定。
所使用的等离子体离子注入装置和水蒸气透过率测定装置、以及测定条件、全光线透射率测定装置、和弯折试验的方法如以下所述。并且,所用的等离子体离子注入装置是使用外部电场注入离子的装置。
(等离子体离子注入装置)
RF电源:日本电子社制造,产品型号“RF”56000
高电压脉冲电源:栗田制作所社制造,“PV-3-HSHV-0835”。
(水蒸气透过率的测定)
气体透过率测定装置:水蒸气透过率为0.01g/m2/天以上时,使用LYSSY公司制、“L89-500”,水蒸气透过率小于0.01g/m2/天时,使用TECHNOLOX公司制、“deltaperm”。
测定在相对湿度90%、40℃的条件下进行。
(全光线透射率的测定)
全光线透射率测定装置:使用岛津制作所公司制、“UV-3101PC”,测定波长550nm的全光线透射率。
(弯折试验)
以所得的成型体的离子注入面(比较例1为含有全氢化聚硅氮烷等的层一侧,比较例2为氮化硅膜一侧)为外侧,在中央部分对折,在层压速度5 m/分钟、温度23℃的条件下通过层压机(フジプラ社制造,“LAMIPACKER LPC1502”)的2根辊之间后,用显微镜观察弯折部分(100倍),观察有无裂纹的产生。确认没有裂纹产生时,评价为“无”,确认有裂纹产生时,评价为“有”。进一步地,测定水蒸气透过率(弯折后的水蒸气透过率),利用下式计算水蒸气透过升高率。
[数2]
(水蒸气透过升高率)=(弯折后的水蒸气透过率)/(弯折前的水蒸气透过率)× 100。
(实施例1)
在作为基材层的聚对苯二甲酸乙二醇酯薄膜(三菱树脂公司制、“PET38 T-100”、厚度38μm、以下称为“PET薄膜”)上涂布以作为聚硅氮烷化合物的全氢化聚硅氮烷为主成分的涂布剂(クラリアントジャパン公司制,“アクアミカNL110-20”)与分散了粘土矿物(三洋贸易株式会社制、Dellite67G)的0.2质量%二甲苯溶液的混合液,以干燥后的涂膜的厚度为150nm的量涂布,在120℃加热2分钟,在PET薄膜上形成含有全氢化聚硅氮烷和粘土矿物的层。粘土矿物的添加量在以全部固形成分(聚硅氮烷化合物和粘土矿物的合计量)作为100质量%计时,为0.1质量%〔粘土矿物:全氢化聚硅氮烷=0.1:99.9(质量比)〕。
接着,在上述含有全氢化聚硅氮烷和粘土矿物的层的表面上,使用图1所示的等离子体离子注入装置,将氩(Ar)在以下所示的条件下进行等离子体离子注入,制作成型体1。
〈等离子体离子注入的条件〉
・等离子体生成气体:氩
・气体流量:100sccm
・Duty比:0.5%
・重复频率:1000Hz
・施加电压:-5kV
・RF电源:频率 13.56MHz,施加功率 1000W
・腔体内压:0.2Pa
・脉冲宽度:5μsec
・处理时间(离子注入时间):5分钟
・运送速度:0.2m/分钟。
(实施例2)
除了在实施例1中,使粘土矿物的添加量不为全部固形成分的0.1质量%,而为1质量%〔粘土矿物:全氢化聚硅氮烷=1:99(质量比)〕以外,其它与实施例1同样地制作成型体2。
(实施例3)
除了在实施例1中,使粘土矿物的添加量不为全部固形成分的0.1质量%,而为10质量%〔粘土矿物:全氢化聚硅氮烷=10:90(质量比)〕以外,其它与实施例1同样地制作成型体3。
(比较例1)
除了不进行离子注入以外,其它与实施例1同样地制作成型体。即,在PET薄膜上形成含有全氢化聚硅氮烷和粘土矿物的层,将其作为成型体4。
(比较例2)
利用溅射法在PET薄膜上形成厚度60nm的氮化硅(SiN)的膜,制作成型体5。
(比较例3)
除了不在PET薄膜上形成含有全氢化聚硅氮烷和粘土矿物的层以外,其它与实施例1同样地制作成型体。即,在PET薄膜的表面将氩(Ar)利用与实施例1同样的条件进行等离子体离子注入,制作成型体6。
(比较例4)
除了在实施例1中不使用粘土矿物以外,其它与实施例1同样地制作成型体7。
对于实施例1~3、比较例3、4中得到的成型体1~3、6、7,使用XPS(アルバックファイ公司制),进行距表面10nm附近深度的元素分析测定,由此确认离子注入。
对于实施例1~3、比较例1~4中得到的成型体1~7,测定水蒸气透过率和全光线透射率。测定结果示于下述第1表。
另外,对于成型体1~7,进行弯折试验,确认有无裂纹的产生。结果示于第1表。
进一步地,测定弯折试验后的水蒸气透过率,算出水蒸气透过升高率。结果示于第1表。
[表1]
由第1表可知,实施例1~3的成型体1~3的水蒸气透过率小,全光线透射率高,因此具有高的阻气性,透明性优异。
另外,在弯折试验后,没有裂纹的发生,水蒸气透过升高率也为600%以下,可知耐弯折性优异。
另一方面,比较例1、3的成型体4、6的水蒸气透过率高,阻气性差。比较例2、4的成型体5、7在弯折试验后,水蒸气透过升高率大,比较例2的成型体5还产生裂纹,耐弯折性差。
符号的说明
1a、1c・・・薄膜状的成型物
1b、1d・・・薄膜状的成型体
2a、2b・・・旋转筒
3a、3b・・・开卷辊
4・・・等离子体放电用电极
5a、5b・・・卷绕辊
6a、6b・・・送出用辊
7a、7b・・・脉冲电源
9a、9b・・・高电压脉冲
10a、10b・・・气体导入口
11a、11b・・・腔体
13・・・中心轴
15・・・高电压导入端子
20a、20b・・・涡轮分子泵

Claims (11)

1.成型体,其特征在于,具有在含有聚硅氮烷化合物和粘土矿物的层中注入离子而得到的层,且在40℃、相对湿度90%气氛下的水蒸气透过率为6.0g/m2/天以下。
2.权利要求1所述的成型体,其特征在于,上述离子是将选自氢、氮、氧、氩、氦、氖、氙、氪、硅化合物和烃中的至少一种的气体进行离子化而成的物质。
3.权利要求1所述的成型体,其特征在于,具有在上述含有聚硅氮烷化合物和粘土矿物的层中,通过等离子体离子注入法将离子注入而得到的层。
4.权利要求1所述的成型体,其特征在于,上述聚硅氮烷化合物为全氢化聚硅氮烷。
5.权利要求1所述的成型体,其特征在于,上述含有聚硅氮烷化合物和粘土矿物的层中的粘土矿物的含量,以聚硅氮烷化合物和粘土矿物的总量作为100质量%时,为0.01质量%~10质量%。
6.权利要求1所述的成型体的制造方法,其具有下述步骤:在表面部具有含有聚硅氮烷化合物和粘土矿物的层的成型物的、上述含有聚硅氮烷化合物和粘土矿物的层的表面部注入离子。
7.权利要求6所述的成型体的制造方法,其具有下述步骤:在表面部具有含有聚硅氮烷化合物和粘土矿物的层的成型物的、上述含有聚硅氮烷化合物和粘土矿物的层的表面部,将选自氢、氮、氧、氩、氦、氙、氖、氪、硅化合物和烃中的至少一种的气体进行离子注入。
8.权利要求6所述的成型体的制造方法,其特征在于,上述离子注入的步骤是等离子体离子注入的步骤。
9.权利要求1所述的成型体的制造方法,其特征在于,一边将在表面部具有含有聚硅氮烷化合物和粘土矿物的层的长的成型物沿一定方向运送,一边向上述含有聚硅氮烷化合物和粘土矿物的层中注入离子。
10.电子设备用构件,其包含权利要求1~5中任一项所述的成型体。
11.电子设备,其具有权利要求10所述的电子设备用构件。
CN201180017433.2A 2010-03-31 2011-03-28 成型体、其制造方法、电子设备用构件和电子设备 Active CN102834466B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010084227A JP5697230B2 (ja) 2010-03-31 2010-03-31 成形体、その製造方法、電子デバイス用部材及び電子デバイス
JP2010-084227 2010-03-31
PCT/JP2011/057610 WO2011125602A1 (ja) 2010-03-31 2011-03-28 成形体、その製造方法、電子デバイス用部材及び電子デバイス

Publications (2)

Publication Number Publication Date
CN102834466A CN102834466A (zh) 2012-12-19
CN102834466B true CN102834466B (zh) 2014-10-22

Family

ID=44762551

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180017433.2A Active CN102834466B (zh) 2010-03-31 2011-03-28 成型体、其制造方法、电子设备用构件和电子设备

Country Status (7)

Country Link
US (1) US9540519B2 (zh)
EP (1) EP2554602B1 (zh)
JP (1) JP5697230B2 (zh)
KR (1) KR101476020B1 (zh)
CN (1) CN102834466B (zh)
TW (1) TWI478966B (zh)
WO (1) WO2011125602A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9512334B2 (en) 2011-09-08 2016-12-06 Lintec Corporation Modified polysilazane film and method for producing gas barrier film
EP2805817A4 (en) * 2012-01-20 2015-09-23 Lintec Corp BARRIER FILM AGAINST GAS AND METHOD FOR PRODUCING BARRIER FILM AGAINST GAS
JP6153510B2 (ja) 2012-02-21 2017-06-28 リンテック株式会社 有機電子素子および有機電子素子の製造方法
US20150030829A1 (en) * 2012-03-06 2015-01-29 Lintec Corporation Gas barrier film laminate, adhesive film, and electronic component
FR3015507B1 (fr) * 2013-12-20 2016-12-30 Seb Sa Compositions aqueuses pour primaires de revetements antiadhesifs et leur procede de preparation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1992100A (zh) * 2005-11-23 2007-07-04 通用电气公司 用于周壁绝缘的复合涂层、其制备方法及由其得到的制品
WO2010024378A1 (ja) * 2008-08-29 2010-03-04 独立行政法人産業技術総合研究所 酸化ケイ素薄膜または酸窒化ケイ素化合物薄膜の製造方法およびこの方法で得られる薄膜

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60145903A (ja) 1983-12-29 1985-08-01 Toa Nenryo Kogyo Kk 無機ポリシラザン及びその合成方法
JPS62220330A (ja) 1986-03-24 1987-09-28 東洋メタライジング株式会社 帯電防止性ガスバリアフイルム
JP2507714B2 (ja) 1986-09-24 1996-06-19 東然株式会社 新規ポリチタノシラザン及びその製造方法
US4842941A (en) 1987-04-06 1989-06-27 General Electric Company Method for forming abrasion-resistant polycarbonate articles, and articles of manufacture produced thereby
JP2613787B2 (ja) 1987-08-13 1997-05-28 財団法人石油産業活性化センター 無機シラザン高重合体、その製造方法及びその用途
JP2760555B2 (ja) 1988-03-24 1998-06-04 東燃株式会社 ポリボロシラザン及びその製造方法
JP2700233B2 (ja) 1988-12-26 1998-01-19 財団法人石油産業活性化センター 共重合シラザンおよびその製造法
JPH02195024A (ja) 1989-01-20 1990-08-01 Zeller Corp 自在継手の可撓性内部密封部材
JP3042537B2 (ja) 1990-06-30 2000-05-15 東燃株式会社 改質ポリシラザン及びその製造方法
US5143747A (en) 1991-02-12 1992-09-01 Hughes Aircraft Company Die improved tooling for metal working
JP3283276B2 (ja) 1991-12-04 2002-05-20 東燃ゼネラル石油株式会社 改質ポリシラザン及びその製造方法
JPH05185568A (ja) 1992-01-14 1993-07-27 Diafoil Co Ltd 液晶表示パネル用フィルム
JPH05238827A (ja) 1992-02-26 1993-09-17 Tonen Corp コーティング用組成物及びコーティング方法
EP0578046B1 (en) 1992-07-10 1996-11-06 Asahi Glass Company Ltd. Transparent conductive film, and target and material for vapor deposition to be used for its production
JPH0664105A (ja) 1992-08-12 1994-03-08 Mitsui Toatsu Chem Inc ガスバリヤー性透明導電性積層体
JP3453805B2 (ja) 1992-09-11 2003-10-06 旭硝子株式会社 透明導電膜
JPH06122852A (ja) 1992-10-09 1994-05-06 Tonen Corp コーティング用組成物及びコーティング方法
FR2701256B1 (fr) * 1993-02-08 1995-04-28 Europ Propulsion Procédé d'obtention d'un matériau céramique à base de Sialon par réduction d'un précurseur aluminosilicaté et application à la formation de revêtement céramique sur un substrat réfractaire.
JP3307471B2 (ja) 1993-02-24 2002-07-24 東燃ゼネラル石油株式会社 セラミックコーティング用組成物及びコーティング方法
JP3385060B2 (ja) 1993-04-20 2003-03-10 東燃ゼネラル石油株式会社 珪素−窒素−酸素−(炭素)−金属系セラミックス被覆膜の形成方法
JP3414488B2 (ja) 1994-04-28 2003-06-09 東燃ゼネラル石油株式会社 透明な有機/無機ハイブリッド膜の製造方法
JPH0845452A (ja) 1994-08-01 1996-02-16 Takamisawa Denki Seisakusho:Kk イオンバランス測定装置およびその測定方法
JP3515255B2 (ja) 1994-12-20 2004-04-05 株式会社東芝 透明導電性基板、透明導電性基板の製造方法および表示装置
US5907382A (en) 1994-12-20 1999-05-25 Kabushiki Kaisha Toshiba Transparent conductive substrate and display apparatus
JP3484550B2 (ja) 1994-12-22 2004-01-06 大日本印刷株式会社 レトルト包装用フィルム
JPH0910687A (ja) * 1995-03-31 1997-01-14 Tonen Corp SiO2系セラミックス被覆フィルムの製造方法
JP3489269B2 (ja) 1995-04-28 2004-01-19 東洋製罐株式会社 ガス遮断性透明包装材およびその製造方法
JP4070828B2 (ja) 1995-07-13 2008-04-02 Azエレクトロニックマテリアルズ株式会社 シリカ質セラミックス形成用組成物、同セラミックスの形成方法及び同セラミックス膜
JP3562065B2 (ja) 1995-10-30 2004-09-08 日新電機株式会社 高ガスバリア性高分子物品及びその製造方法
US5965629A (en) 1996-04-19 1999-10-12 Korea Institute Of Science And Technology Process for modifying surfaces of materials, and materials having surfaces modified thereby
CN100405530C (zh) * 1996-05-15 2008-07-23 精工爱普生株式会社 薄膜器件的制造方法
EP0964020B1 (en) 1997-02-14 2003-04-16 Mitsubishi Chemical Corporation Polyalkoxysiloxane compounds, process for producing the same, and coating composition containing the same
JP4144042B2 (ja) 1997-03-06 2008-09-03 東洋製罐株式会社 ガス遮断性プラスチック包材とその製造方法
JP4019334B2 (ja) 1997-03-07 2007-12-12 東レ株式会社 ガスバリア用ポリエステルフイルム
JP3951348B2 (ja) 1997-03-14 2007-08-01 東洋製罐株式会社 ガス遮断性及びフレキシビリティーに優れた積層体
US6194328B1 (en) 1998-12-09 2001-02-27 Advanced Micro Devices, Inc. H2 diffusion barrier formation by nitrogen incorporation in oxide layer
JP3484094B2 (ja) 1999-02-26 2004-01-06 グンゼ株式会社 シリカ被覆プラスティックフィルム及びその製造方法
JP4205241B2 (ja) * 1999-03-04 2009-01-07 住友化学株式会社 積層体
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US20060017162A1 (en) 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP2000340166A (ja) 1999-05-27 2000-12-08 Sony Corp 成膜方法及び成膜物
JP2000338901A (ja) 1999-06-01 2000-12-08 Matsushita Electric Ind Co Ltd フレキシブルディスプレイ基板の製造方法
JP3555928B2 (ja) 1999-07-12 2004-08-18 独立行政法人産業技術総合研究所 表面改質方法及び表面改質装置
DE19940858A1 (de) 1999-08-27 2001-03-01 Basf Coatings Ag Sol-Gel-Überzug für einschichtige oder mehrschichtige Lackierungen
JP2001119051A (ja) 1999-10-19 2001-04-27 Dainippon Printing Co Ltd 太陽電池モジュ−ル用裏面保護シ−トおよびそれを使用した太陽電池モジュ−ル
JP3517749B2 (ja) 1999-11-26 2004-04-12 独立行政法人産業技術総合研究所 表面改質装置
JP2001207259A (ja) 2000-01-25 2001-07-31 Natl Inst Of Advanced Industrial Science & Technology Meti 表面改質方法及び表面改質装置
JP2001220509A (ja) 2000-02-07 2001-08-14 Shin Etsu Chem Co Ltd 硬化性組成物
US6416817B1 (en) 2000-03-03 2002-07-09 Dow Corning Sa Barrier coatings having bis-silanes
JP2002018246A (ja) 2000-07-07 2002-01-22 Sony Corp バリア膜
JP5291275B2 (ja) 2000-07-27 2013-09-18 有限会社コンタミネーション・コントロール・サービス コーティング膜が施された部材及びコーティング膜の製造方法
US6665033B2 (en) 2000-11-30 2003-12-16 International Business Machines Corporation Method for forming alignment layer by ion beam surface modification
TW570876B (en) 2001-05-11 2004-01-11 Toyo Seikan Kaisha Ltd Silicon oxide film
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
JP4669631B2 (ja) 2001-06-18 2011-04-13 矢崎総業株式会社 印刷回路及びフレキシブル配線のパターンニング方法
JP2003118029A (ja) 2001-10-16 2003-04-23 Asahi Glass Co Ltd ガスバリヤ性有機基材およびそれを用いたエレクトロルミネッセンス素子
JP2003154596A (ja) 2001-11-22 2003-05-27 Nitto Denko Corp 透明ガスバリア性フィルム、及びそれを用いた透明導電性電極基材、表示素子、太陽電池又は面状発光体
US20030228475A1 (en) 2002-04-18 2003-12-11 Minoru Komada Barrier film and laminated material, container for wrapping and image display medium using the same, and manufacturing method for barrier film
JP2003347570A (ja) 2002-05-27 2003-12-05 Mitsubishi Plastics Ind Ltd 太陽電池用裏面保護シート
US7015640B2 (en) 2002-09-11 2006-03-21 General Electric Company Diffusion barrier coatings having graded compositions and devices incorporating the same
US7449246B2 (en) 2004-06-30 2008-11-11 General Electric Company Barrier coatings
JP2004107541A (ja) 2002-09-19 2004-04-08 Fuji Photo Film Co Ltd 有機変性層状珪酸塩を含有する重合体組成物、フィルム、ガスバリア性フィルム、並びにそれらを用いた基板及び画像表示素子
JP4185341B2 (ja) 2002-09-25 2008-11-26 パイオニア株式会社 多層バリア膜構造、有機エレクトロルミネッセンス表示パネル及び製造方法
US7288311B2 (en) 2003-02-10 2007-10-30 Dai Nippon Printing Co., Ltd. Barrier film
JP2004322489A (ja) 2003-04-25 2004-11-18 Pioneer Electronic Corp ガスバリア基材およびその製造方法
JP2004352966A (ja) 2003-05-28 2004-12-16 Dengiken:Kk 電気・電子絶縁シート
JP2005088431A (ja) 2003-09-18 2005-04-07 Dainippon Printing Co Ltd バリア性フィルム
JP2005104025A (ja) 2003-09-30 2005-04-21 Fuji Photo Film Co Ltd ガスバリア性積層フィルム、及びそれを用いた画像表示素子
US7635525B2 (en) 2003-09-30 2009-12-22 Fujifilm Corporation Gas barrier laminate film and method for producing the same
JP2005119160A (ja) 2003-10-17 2005-05-12 Dainippon Printing Co Ltd ガスバリアフィルム及びその製造方法
JP2005119155A (ja) 2003-10-17 2005-05-12 Dainippon Printing Co Ltd ガスバリアフィルム及びその製造方法
WO2005043580A1 (en) 2003-10-31 2005-05-12 Ventracor Limited Plasma immersion ion implantation using conductive mesh
JP4531380B2 (ja) 2003-12-15 2010-08-25 大日本印刷株式会社 ガスバリア性シート
DE102004004177B4 (de) 2004-01-28 2006-03-02 AxynTeC Dünnschichttechnik GmbH Verfahren zur Herstellung dünner Schichten sowie dessen Verwendung
JP4852822B2 (ja) 2004-02-17 2012-01-11 大日本印刷株式会社 バリア性フィルムおよびそれを使用した積層材
JP4494824B2 (ja) 2004-02-24 2010-06-30 株式会社クラレ 表示装置用フィルムの製造方法
JP2006052376A (ja) 2004-02-27 2006-02-23 Lintec Corp 高分子成形体の製造方法、高分子成形体およびその製造装置
CN1946874A (zh) 2004-03-09 2007-04-11 埃克阿泰克有限责任公司 用于非平面基材的等离子体涂覆体系
US8547011B2 (en) 2004-04-28 2013-10-01 Zeon Corporation Layered product, luminescence device and use thereof
EP1749860A4 (en) 2004-05-14 2009-12-23 Dow Corning Toray Co Ltd FREE FILMS MANUFACTURED FROM ORGANOPOLYSILOXANE RESINS, THEIR PRODUCTION PROCESS AND LAMINATED FILMS
US20050287307A1 (en) 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US20090110892A1 (en) 2004-06-30 2009-04-30 General Electric Company System and method for making a graded barrier coating
JP2006035737A (ja) 2004-07-29 2006-02-09 Teijin Ltd ディスプレイ用に適したガスバリア性高分子積層フィルム
BRPI0513094A (pt) 2004-08-03 2008-04-29 Chemetall Gmbh processo para proteção de uma superfìcie metálica com um revestimento inibidor de corrosão
JP2006070238A (ja) 2004-08-05 2006-03-16 Lintec Corp 高分子フィルムの連続的表面改質方法、連続的表面改質装置および表面部にイオン注入層が形成された高分子フィルム
JP2006123307A (ja) 2004-10-28 2006-05-18 Dainippon Printing Co Ltd ガスバリア性積層体
JP2006123306A (ja) 2004-10-28 2006-05-18 Dainippon Printing Co Ltd ガスバリア性積層体
US20080096014A1 (en) 2004-12-13 2008-04-24 University Of South Australia Craze Resistant Plastic Article and Method of Production
JP2006192858A (ja) 2005-01-17 2006-07-27 Dainippon Printing Co Ltd バリア性フィルム
KR20070110883A (ko) 2005-02-22 2007-11-20 도요 세이칸 가부시키가이샤 플라즈마 cvd법에 의한 증착막
JP4624152B2 (ja) 2005-03-24 2011-02-02 富士フイルム株式会社 プラスチックフィルム、ガスバリアフィルム、およびそれを用いた画像表示素子
JP2007022075A (ja) * 2005-06-14 2007-02-01 Asahi Kasei Corp 層構造体及びその製造方法
JP2007042616A (ja) * 2005-06-29 2007-02-15 Asahi Kasei Corp 発光素子及び表示デバイス並びにそれらの製造方法
US7341766B2 (en) 2005-07-29 2008-03-11 Dai Nippon Printing Co., Ltd. Gas barrier clear film, and display substrate and display using the same
JP2007065644A (ja) * 2005-08-03 2007-03-15 Asahi Kasei Corp ディスプレイ用基板及びディスプレイ並びにそれらの製造方法
US20090139564A1 (en) 2005-09-30 2009-06-04 Toray Industries , Inc., A Corporation Sealing Film for Photovoltaic Cell Module and Photovoltaic Module
US20090130463A1 (en) 2005-10-05 2009-05-21 John Dean Albaugh Coated Substrates and Methods for their Preparation
JP2007237588A (ja) 2006-03-09 2007-09-20 Kyodo Printing Co Ltd ガスバリア性フィルム及びその製造方法
JP2007283726A (ja) 2006-04-20 2007-11-01 Bridgestone Corp 成形体及びその製造方法
JP2008015500A (ja) * 2006-06-09 2008-01-24 Fujifilm Corp 偏光板用保護フィルム
JP4725735B2 (ja) 2006-08-25 2011-07-13 Jsr株式会社 ガスバリア用シリカ膜積層フィルムの製造方法
JP4830733B2 (ja) 2006-09-07 2011-12-07 凸版印刷株式会社 ガスバリアフィルムおよびその製造方法
JP2008174792A (ja) 2007-01-18 2008-07-31 Plasma Ion Assist Co Ltd フッ素系合成樹脂の親水化改質方法及びその物品
EP2123445A4 (en) 2007-02-05 2012-04-11 Konica Minolta Holdings Inc TRANSPARENT FILM THAT IS WATERPROOFABLE AND METHOD FOR PRODUCING THE SAME
US8846187B2 (en) 2007-02-06 2014-09-30 Konica Minolta Holdings, Inc. Transparent gas barrier film and method for producing transparent gas barrier film
JP2008204683A (ja) 2007-02-19 2008-09-04 Toppan Printing Co Ltd 透明導電性フィルム
JP2008235165A (ja) 2007-03-23 2008-10-02 Konica Minolta Holdings Inc 透明導電膜を有するロール状樹脂フィルムの製造方法
JP4917942B2 (ja) 2007-03-30 2012-04-18 リンテック株式会社 高平滑ガスバリアフィルムおよびその製造方法
JP4917943B2 (ja) 2007-03-30 2012-04-18 リンテック株式会社 ガスバリアフィルムの製造方法
JP4978297B2 (ja) 2007-04-25 2012-07-18 凸版印刷株式会社 透明導電性ガスバリアフィルム
US8101288B2 (en) 2007-06-11 2012-01-24 Fujifilm Corporation Gas barrier film and organic device using the same
JP2009110897A (ja) 2007-11-01 2009-05-21 Toray Ind Inc 透明導電性フィルム
JP4969479B2 (ja) 2008-02-20 2012-07-04 尾池工業株式会社 透明導電膜付基板の製造方法
US8956731B2 (en) 2008-02-27 2015-02-17 Dai Nippon Printing Co., Ltd. Gas barrier sheet
KR101445878B1 (ko) 2008-04-04 2014-09-29 삼성전자주식회사 보호 필름 및 이를 포함하는 봉지 재료
JP2009252574A (ja) 2008-04-08 2009-10-29 Toyota Industries Corp El装置
JP5551885B2 (ja) * 2008-05-01 2014-07-16 日揮触媒化成株式会社 低誘電率シリカ系被膜の形成方法及び該方法から得られる低誘電率シリカ系被膜
EP2310443B1 (en) 2008-06-30 2018-05-30 Kolon Industries, Inc. Plastic substrate and device including the same
CN102159395B (zh) * 2008-08-19 2014-09-10 琳得科株式会社 成型制品、其制备方法、电子设备构件以及电子设备
EP2357078A4 (en) 2008-12-12 2012-10-10 Lintec Corp LAMINATE, METHOD FOR THE PRODUCTION THEREOF, ELECTRONIC DEVICE AND ELECTRONIC DEVICE
JP5566130B2 (ja) 2009-02-26 2014-08-06 キヤノン株式会社 液体吐出ヘッドの製造方法
US8906492B2 (en) 2009-03-17 2014-12-09 LÌNTEC Corporation Formed article, method for producing the formed article, member for electronic device, and electronic device
TWI522404B (zh) 2009-03-26 2016-02-21 Lintec Corp A molded body, a manufacturing method thereof, an electronic device element, and an electronic device
EP2433981A4 (en) 2009-05-22 2014-10-01 Lintec Corp FORM OBJECT, METHOD FOR THE PRODUCTION THEREOF, ELEMENT FOR AN ELECTRONIC DEVICE AND ELECTRONIC DEVICE
EP2433980B1 (en) 2009-05-22 2019-07-10 LINTEC Corporation Molded object, process for producing same, member for electronic device, and electronic device
JP5612277B2 (ja) 2009-06-16 2014-10-22 リンテック株式会社 ガスバリア性フィルム及び電子デバイス用部材
EP2556954B1 (en) 2010-03-31 2019-09-25 Lintec Corporation Transparent conductive film and electronic device using transparent conductive film
WO2012032907A1 (ja) 2010-09-07 2012-03-15 リンテック株式会社 粘着シート、及び電子デバイス

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1992100A (zh) * 2005-11-23 2007-07-04 通用电气公司 用于周壁绝缘的复合涂层、其制备方法及由其得到的制品
WO2010024378A1 (ja) * 2008-08-29 2010-03-04 独立行政法人産業技術総合研究所 酸化ケイ素薄膜または酸窒化ケイ素化合物薄膜の製造方法およびこの方法で得られる薄膜

Also Published As

Publication number Publication date
JP2011213907A (ja) 2011-10-27
EP2554602B1 (en) 2015-01-14
TWI478966B (zh) 2015-04-01
KR20130027471A (ko) 2013-03-15
JP5697230B2 (ja) 2015-04-08
EP2554602A4 (en) 2013-10-02
TW201204772A (en) 2012-02-01
US20130068136A1 (en) 2013-03-21
US9540519B2 (en) 2017-01-10
CN102834466A (zh) 2012-12-19
WO2011125602A1 (ja) 2011-10-13
EP2554602A1 (en) 2013-02-06
KR101476020B1 (ko) 2014-12-23

Similar Documents

Publication Publication Date Title
CN102356122B (zh) 成形体、其制造方法、电子设备用构件和电子设备
CN102439077B (zh) 成型体、其制造方法、电子设备用构件和电子设备
CN103249766B (zh) 成型体、其制造方法、电子设备用构件和电子设备
CN102811853B (zh) 透明导电性膜及其制造方法以及使用透明导电性膜的电子器件
CN103582559B (zh) 改性聚硅氮烷膜及隔气膜的制造方法
CN102159395B (zh) 成型制品、其制备方法、电子设备构件以及电子设备
CN103262175B (zh) 透明导电性膜、其制造方法、电子装置用部件及电子装置
CN102439078B (zh) 成型体、其制造方法、电子设备用构件和电子设备
CN102834466B (zh) 成型体、其制造方法、电子设备用构件和电子设备
CN105451984A (zh) 阻气性膜及其制造方法以及使用该阻气性膜的电子器件
KR20110118142A (ko) 적층체, 그 제조 방법, 전자 디바이스 부재 및 전자 디바이스
CN104903090A (zh) 气体阻隔性膜
CN103249859B (zh) 透明导电性膜、其制造方法、电子装置用部件及电子装置
CN102388160A (zh) 成形物、成形物的制备方法、电子装置元件和电子装置
CN103249767B (zh) 成形体、其制备方法、电子装置用部件及电子装置
CN103534084B (zh) 阻气层叠体、其制造方法、电子装置用部件及电子装置
CN102947084B (zh) 成型体、其制造方法、电子设备用构件和电子设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant