CN102714219A - 反侧设计的iii-氮化物器件 - Google Patents

反侧设计的iii-氮化物器件 Download PDF

Info

Publication number
CN102714219A
CN102714219A CN2010800562418A CN201080056241A CN102714219A CN 102714219 A CN102714219 A CN 102714219A CN 2010800562418 A CN2010800562418 A CN 2010800562418A CN 201080056241 A CN201080056241 A CN 201080056241A CN 102714219 A CN102714219 A CN 102714219A
Authority
CN
China
Prior art keywords
layer
iii
nitride
passivation layer
passivation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800562418A
Other languages
English (en)
Other versions
CN102714219B (zh
Inventor
储荣明
乌梅什·米什拉
拉柯许·K·拉尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Transphorm Inc
Original Assignee
Transphorm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Transphorm Inc filed Critical Transphorm Inc
Publication of CN102714219A publication Critical patent/CN102714219A/zh
Application granted granted Critical
Publication of CN102714219B publication Critical patent/CN102714219B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06183On contiguous sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13062Junction field-effect transistor [JFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13064High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET]

Abstract

描述了一种III族氮化物器件,其包括III-氮化物层的堆叠、钝化层和导电接触。该堆叠包括具有2DEG沟道的沟道层、势垒层以及间隔层。一个钝化层在与沟道层相反的一侧上直接接触间隔层的表面并且是电绝缘体。III-氮化物层的堆叠和第一钝化层形成具有邻近第一钝化层的反侧和邻近势垒层的正侧的结构。另一钝化层位于该结构的正侧上。可以部分或整体地移除在形成工艺期间形成缓冲层的有缺陷的成核和应力管理层。

Description

反侧设计的III-氮化物器件
技术领域
本发明涉及一种在III族氮化物半导体上制作的半导体器件。
背景技术
对于高功率电子器件应用,III族氮化物基器件相对于硅基器件具有很多潜在的材料优点。其中,这些包括更大的带隙和击穿场、二维电子气(2DEG)中的高电子迁移率、低热生成电流(thermal generationcurrent)和使用直接带隙的可能性,还加上在很多用于新颖的器件功能的这些结构中可应用的各种能带和极化设计技术。然而,由于缺少用于器件制作的低成本的衬底而使得阻碍了应用。
有时在诸如碳化硅、蓝宝石或者硅的适合的衬底上通过异质外延形成器件。用于施加层的技术能够包括分子束外延(MBE)或者金属有机化学气相沉积(MOCVD)和氢化物气相外延(HVPE)。氮化镓(GaN)的高电压器件可能要求厚的GaN层,诸如2-6微米厚的层。可能难以通过异质外延生长厚的氮化镓。使用了诸如梯度层或者超晶格的各种应力管理技术和诸如铁(Fe)或者碳(C)掺杂的各种补偿技术来使得能够生长厚的层并且实现高电阻率缓冲层。
虽然GaN缓冲层的总厚度在一些器件中可能是重要的,但是实现具有低缺陷密度材料的足够厚的层也可能是重要的。提供带隙中的深能级的广延缺陷和点缺陷以及掺杂物的浓度必须低。这能够在器件不经受陷阱、泄漏或者早期击穿效应的情况下,有利于在高电压下的器件的操作。
为了在诸如异质结场效应晶体管(HFET)的晶体管中跨源极/栅极和漏极容纳大的电压,或者在二极管中跨阳极和阴极容纳大的电压,电极之间的用于承受电压所需的间隔通常必须大——例如,1kV器件可能需要10微米或更大的电极间隔。因此,高电压横向器件要求大的面积并且需要在低成本衬底上制成。硅衬底通常是用于形成III-N型器件的最成本有效的衬底。然而,由于硅和氮化镓之间的大的晶格失配和热失配,可能要求成核和应力管理层。诸如AlxGa1-xN的超晶格层的这些层可能具有高密度的位错和其它深陷阱中心。虽然该方法能够产生可接受的间隔物、沟道和势垒层,但是难以实现高质量的缓冲层。由于间隔层下面的层可能在带隙中具有高浓度的缺陷水平,因此这能够引起漏极电压感生的电流崩塌和在高漏极偏压下的泄漏,并且还能够降低器件的击穿电压。
发明内容
在一个方面,描述了一种III族氮化物器件,其包括III-氮化物层的堆叠、第一钝化层、第二钝化层和一个或多个导电接触。该堆叠包括沟道层、与沟道层直接相邻的势垒层以及与沟道层的和势垒层相反的一侧直接相邻的间隔层。沟道层包括在沟道层中与势垒层相邻的2DEG沟道。第一钝化层在与沟道层相反的一侧上直接接触间隔层的表面,其中第一钝化层是电绝缘体并且III-氮化物层的堆叠和第一钝化层形成具有邻近第一钝化层的反侧和邻近势垒层的正侧的结构。第二钝化层在该结构的正侧上。接触电连接到2DEG沟道。
在另一方面,描述了一种用于形成器件的方法。在母衬底上形成成核层,其中成核层包括AlN。在母衬底上的成核层上形成应力管理层,其中应力管理层包括III-氮化物材料。在应力管理层上形成III-氮化物层的堆叠,其中形成堆叠包括形成其中具有2DEG沟道的沟道层,堆叠具有与应力管理层相反的正面。将堆叠的正面附着到载体晶圆。移除母衬底、成核层和应力管理层的整体,其中移除步骤暴露堆叠的反表面。利用电介质层钝化该反表面。
在又一方面,描述了一种用于形成器件的方法。在母衬底上形成成核层,其中成核层包括AlN。在母衬底上的成核层上形成应力管理层,其中应力管理层包括III-氮化物材料。在应力管理层上形成III-氮化物层的堆叠,其中形成堆叠包括形成其中具有2DEG沟道的沟道层。移除至少母衬底的一部分、成核层的一部分和应力管理层的一部分,其中移除步骤仅移除母衬底的一部分并且形成薄外骨骼部分和厚外骨骼部分,其中母衬底在薄外骨骼部分中比在厚外骨骼部分中更薄。
这里描述的器件和方法的实施可以包括下述特征中的一个或多个。第一钝化层和第二钝化层可以每个均具有足够大的带隙、足够低的体缺陷密度和足够低的界面密度,从而与具有III-氮化物层的堆叠并且缺乏第一钝化层和第二钝化层的器件相比改进了器件的击穿。第一钝化层可以具有小于1012/cm2的起作用的界面态密度(active interfacestate density)和小于1020/cm3的起作用的体陷阱密度(active bulk trapdensity)。第一钝化层或者第二钝化层可以由无机电介质材料形成。第一钝化层或第二钝化层可以包括氮化硅、二氧化硅、氧氮化硅、氧化铝或者氮化铝。第一钝化层或者第二钝化层可以是有机电介质材料。第一钝化层或者第二钝化层可以包括有机树脂。有机树脂可以包括聚酰亚胺、苯并环丁烯(BCB)或者SU8中的一种。钝化层可以包括至少一种有机电介质材料和至少一种无机电介质材料的堆叠。导电接触可以电连接到结构的反侧。III-氮化物层的堆叠可以在0.5至30微米厚之间。器件可以包括栅极接触和栅极电介质,其中栅极电介质在III-氮化物层的堆叠与第二钝化层之间。一个或多个导电接触中的一个可以是在结构的正侧上的栅极接触;导电接触中的一个可以是在结构的正侧上的源极接触;导电接触中的一个可以是在结构的反侧上的漏极接触;并且第二钝化层可以覆盖包括栅极接触和源极接触之间的间隔的结构的正侧的整体。器件可以包括与间隔层接触的成核和应力管理层;以及包括硅的母衬底,其中成核和应力管理层在III-氮化物层的堆叠和母衬底之间;其中器件具有包括III-氮化物层的堆叠的第一部分和包括III-氮化物层的堆叠、成核和应力管理层以及母衬底的第二部分,第二部分形成外骨骼并且第一部分可以与母衬底和成核和应力管理层无关。器件可以包括在母衬底的与III-氮化物层的堆叠相反的一侧上的电介质层。器件可以包括导电层,其中电介质层在金属化层与母衬底之间。外骨骼可以具有薄部分和厚部分,其中薄部分中的母衬底比厚部分中的母衬底更薄并且导电层不延伸到外骨骼的厚部分中。薄部分中的母衬底可以在大约10至50微米之间。导电层可以在连接到第二部分中的导电焊盘的正侧上。外骨骼可以在III-氮化物层的堆叠中维持足够的应变以在沟道层中产生2DEG。第二钝化层可以局限于第一部分。外骨骼上的控制、保护、同步或驱动电路中的至少一个可以在硅或III-氮化物有源区中。形成器件可以包括形成与2DEG沟道电接触的导电接触。III-氮化物层的堆叠可以包括在沟道层的第一侧上的势垒层和位于沟道层的第二侧上的间隔层;间隔层可以包括蚀刻停止层;并且移除步骤可以蚀刻到蚀刻停止层。该方法可以包括形成到2DEG沟道的外部接触,其中该外部接触延伸穿过电介质层。该方法可以包括在III-氮化物层的堆叠中制作二极管或晶体管中的一种。III-氮化物层的堆叠可以包括在沟道层的第一侧上的势垒层和在沟道层的第二侧上的间隔层;间隔层可以包括蚀刻停止层并且移除步骤可以蚀刻到蚀刻停止层。
可以使用下述技术中的一个或多个来实现形成高电压横向III族氮化物器件的目的。可以通过在低成本衬底上的异质外延来制作适合的半导体堆叠,在外延膜的正面附着在也具有适合的金属化和通孔的载体晶圆上之后移除该低成本衬底。衬底材料可以被减薄,其可以包括外延材料的减薄。可以移除形成缓冲层的有缺陷的成核和应力管理层。可以处理反表面以移除表面附近缺陷并且以钝化层覆盖该反表面。适合的通孔和金属化可以使得正面的器件端子在反面上是可接入的。
使用最适合用于在晶圆/衬底上生长的异质外延层中的特定功能的布局和工艺来制作这里描述的器件中的一些,其上形成器件的晶圆/衬底然后被安装在另外的适合的晶圆上并且移除初始的晶圆/衬底以及在异质外延期间生长的成核和应力管理层;反面然后被钝化并且为金属化而打开到上电极的接触通孔。取决于封装要求,可以选择使最终衬底在正面或反面上具有适合的结构和工艺以与器件金属化焊盘接触。
附图说明
图1示出了HFET的半单元的横截面的示意图。
图2(a)至图2(m)描绘了加工的各个阶段的器件的示意性横截面。
图3示出了其中在正面上接入栅极而从反面接入源极和漏极的HFET的横截面的示意图。
图4示出了具有阶梯场板(stepped field plate)的接地栅极HFET的横截面的示意图。
图5示出了横向肖特基二极管的横截面的示意图。
图6和图7示出了其中原始衬底的截面保留为外骨骼以维持器件的有源区中的拉伸应变的平面和横截面的示意图。
图8(a)至图8(f)示出了在加工的各个阶段的具有外骨骼的实施的横截面的示意图。
在各个附图中相同的附图标记指示相同的元件。
具体实施方式
这里描述了使得能够使用硅基衬底形成III-氮化物型器件的技术。
如这里所使用的,正面或者器件面是晶圆或者外延层的、在其上通过形成与半导体欧姆和/或肖特基和/或金属-绝缘体-半导体(MIS)接触的电极而制作横向器件的面。反面与正面或者器件面相反。如这里使用的,术语“III-氮化物材料”或者“III-N材料”指根据计量式AlxInyGazN的化合物半导体材料,其中x+y+z等于1或大约为1。这里描述的器件是III族面器件。然而,这里描述的技术能够在适当地改变2DEG层的相对位置和该层类型(即,该层是p型或是n型或是本征)的情况下应用于N面器件。如这里所使用的,“(一个或多个)有源层”是其中制成器件的一组III-氮化物层,其中由于在端子处施加的电压导致的有源层中的至少一个势垒的变化引起电流以想要的方式流过至少一对端子;并且“有源区”是包括半导体器件的一个或多个单元的面区域(areal area)。例如这里所涉及的功率晶体管的单元包括源极、栅极和漏极,并且二极管的单元包括阳极和阴极以及位于其间的接入区(access region)。另外,这里描述的器件是n沟道器件,但是一般性的概念也能够应用于p沟道器件。
在硅衬底上形成有III-氮化物层的一些功率器件利用成核和应力管理层来使得能够适当地形成III-氮化物层。需要移除具有高缺陷浓度的成核和应力管理层来使得高电压功率器件能够满足可接受的开关性能。为了移除有缺陷的层,需要通过移除母衬底并且然后移除在III族氮化物层的反面上的有缺陷的外延层来接入这些层。为此,正面被安装在适当的载体晶圆上。移除其上初始进行生长的衬底,即母衬底,并且然后移除有缺陷的层。在移除母衬底和一个或多个有缺陷的层之后,施加适当的钝化层。钝化层确保了在没有陷阱的负面影响的情况下的高电压操作。而且,薄的有源层本身不能够支持高电压操作并且在两个面上都不具有钝化层的情况下,薄的有源层的暴露的表面易受到陷阱效应的影响并且高表面场也会引起空气的介电击穿。适当地图案化的金属化产生了对于器件所需要的接触。如这里所使用的,如果两个或多个接触或其它部件通过足够传导以确保在接触或其它部件中的每一个处的电势始终大致相同的材料连接,则该接触或者其它部件可以称为被“电连接”。
III-氮化物外延膜的剥离和成核层的移除能够改变膜中的应变并且影响极化电荷。应变以及因此极化电荷的管理是高电压横向器件的设计中重要的考虑因素。另一个要考虑的是母衬底和缓冲层的移除可能影响有源层中的应变。有源层中的应变会在母衬底和/或成核和应力管理层被移除时变化。有源层中的应变也会在沉积厚的钝化层或者将有源层接合或者附着到操作衬底(handling substrate)或载体衬底的工艺期间变化。有源层中的应变的变化能够意味着极化电荷的变化,这影响器件的电参数。
在一些实施中,生长期间在有源层中的晶格应变的量很高使得通过形成位错和堆垛层错或者弛豫晶格应变并且稳定层中的应变的其它缺陷来发生应变释放。在一些其它实施中,应力管理层能够有效地包含在生长温度下在衬底和外延层之间的晶格失配,或者如果生长的外延层很薄,则几乎不存在应变。因此,在生长期间不会发生通过形成缺陷而在上层中的应变释放。然而,随着晶圆冷却到室温,如果相邻层的热膨胀系数存在失配,则应变在III-氮化物外延层中发展。如果衬底是硅,则由于热膨胀系数的失配在III-N层中引起的应变是拉伸的。只要在有源GaN层中存在足够的残余拉伸应变,则极化电荷就足以形成对于低导通电阻器件所要求的2DEG。在形成晶格缺陷以弛豫应变的适度地有缺陷的层(穿透性位错密度>~109cm2)中,晶体应变在从衬底剥离外延层时也没有发生很大的变化。然而,具有低缺陷位错的高质量的应变膜在衬底移除之后实质性地弛豫以缓解应变并且极化电荷和因此的2DEG能够得到实质性地减少。对于这些情况,能够在器件结构中并入这些特征以防止拉伸应变以及因此的2DEG崩塌或者能够在AlGaN势垒层中添加适当量的调制掺杂以维持想要的2DEG电荷密度。理想地,应该没有产生应力的任何结构变化或工艺不利地影响有源层中的应变。
在下面部分中讨论具体实施的同时,示出了器件的半单元的横截面。通过将横向反转的半单元与相邻的半单元并置来形成完整的单元。功率器件可以包括并行的很多完整的单元,这些单元通过芯片上总线连接到接合焊盘。在一些情况下通过位于半单元本身中的通孔示出了到源极或漏极接触的接入。虽然在很多情况下能够通过在半单元中通孔连接源极和漏极接触,但是在一些情况下,在位于远离器件的有源区的附近总线处或者通孔焊盘处具有通孔、特别是穿过III-氮化物层的通孔可能更好。这将是基于诸如器件布局、电流密度、器件大小等等的很多因素的设计决定,并且该应用包括其中在远离有源器件的位置接入这些接触的情况。
在一些实施中,HFET形成有在正面上的栅极和源极接触和在反面上的漏极接触,如图1中所示。层1、2和3是III-氮化物半导体。沟道层1是非故意掺杂或p-掺杂的III-氮化物层,在其中形成器件的沟道,并且2DEG薄层可以位于其中。势垒层2具有大于沟道层1的带隙的带隙。可选地,在势垒层2(势垒层2’未示出)的顶部上存在非故意掺杂或者p-掺杂的较小带隙材料的帽层。间隔层3具有不小于沟道层1的带隙的带隙并且可以是多层结构。间隔层3将沟道层1与反面钝化层分离。当层1至层3是高质量层时,减少了影响器件电流的这些层的载流子陷阱或散射。这些层中的缺陷还影响泄漏电流和击穿电压。
III-氮化物半导体材料层的堆叠100可以包括沟道层1、间隔层3和势垒层2,并且沟道层1位于间隔层3和势垒层2之间。沟道层1、势垒层2和间隔层3每个均由III-N材料形成。势垒层2的带隙大于沟道层1的带隙,这使得2DEG能够在层1和层2的界面附近形成在沟道层1中。在一些实施中,帽层(未示出)位于势垒层2的相对于沟道层1的相反侧上并且具有小于势垒层2的带隙并且可以被非故意掺杂或者p-掺杂。间隔层3的带隙至少与沟道层1的带隙一样大。在一些实施中,间隔层3由具有彼此不同的组成的材料的多层形成。
反侧钝化层4位于间隔层3的相对于沟道层1的相反侧上,反侧钝化层4是诸如氮化硅、氮化铝、氧化硅、氧化铝或者这些中的任何的各种组合或其它适合的电介质的电介质材料,其它适合的电介质可以是无机或有机电介质的组合,有机电解质例如聚酰亚胺、苯并环丁烯(BCB)或者SU8或者这些中的两种或更多种的组合。器件侧钝化层5可以位于III-氮化物半导体材料层的堆叠100的相对于反侧钝化层4的相反侧上,器件侧钝化层5是诸如例如,氮化硅、氮化铝、氧化硅或氧化铝的无机电介质,或者例如聚酰亚胺、苯并环丁烯(BCB)或者SU8或者这些中的两种或更多种的组合的有机电介质的电介质材料。钝化层可以由电介质形成,该电介质相对于III-氮化物具有大的导带偏移,在III-氮化物帽层上产生较少的表面态并且具有低陷阱密度,从而在电介质中没有陷阱辅助隧穿或热载流子陷阱。
具有完整的倾斜场板的栅极6由诸如金属的导电层或覆盖有金属的退化掺杂的半导体形成,该金属与该退化掺杂的半导体欧姆接触。对于绝缘栅极HFET,适当的电介质(未示出)可以位于栅极6和势垒层2之间。
源极7可以由金属或高掺杂的n型半导体和与该高掺杂的n型半导体欧姆接触的金属层形成。源极7将电子注入到沟道层1。漏极8是金属或高掺杂的n型半导体和与该高掺杂的n型半导体欧姆接触的金属层。
金属塞15将漏极8连接到与反侧钝化层4相邻的反侧漏极接触16。反侧漏极接触16使得漏极8能够被连接到器件的反侧。位于通孔的侧壁上的钝化绝缘体14将III-氮化物半导体材料层的堆叠100钝化并且将其与金属塞15、即通过填充通孔形成的塞绝缘,该通孔接下来被填充有导电材料,这里,该通孔导向漏极8。导热且导电层17位于反侧漏极接触16的与反侧钝化层4相反的一侧上。导热且导电层17在反侧漏极接触16和导热且导电衬底18之间。
在图2(a-m)中示意性地示出了对于HFET(在图1中示出了其半单元横截面)的加工的一个实施的各个阶段。参考图2a,在诸如由<111>硅或诸如c面蓝宝石或者SiC的其它适合的材料形成的衬底的衬底13上通过适当的异质外延工艺生长阳离子面III-氮化物层。衬底13有时在这里被称为母晶圆或母衬底。衬底13最后被移除,留下几乎不具有应变弛豫的III-氮化物半导体材料层的堆叠100。阳离子面III-氮化物层从衬底13起按以下顺序包括:可以为AlN/AlxGa1-xN的成核层12、可以为AlN/GaN或者AlxGa1-xN/GaN超晶格的应力管理堆叠11、可以为GaN或者AlxGa1-xN并且x小于0.1的间隔层3、可以为GaN的沟道层1和可以为AlxGa1-xN并且x大于0.15的势垒层2。
参考图2b,在用于器件隔离的蚀刻或注入之后,从沉积在III-氮化物半导体材料层的堆叠100上并退火的欧姆接触形成源极7和漏极8。
参考图2c,通过沉积诸如氮化硅、氮化铝、氧化硅、聚合聚合物电介质或者其某些组合的绝缘材料来将势垒层2的暴露的正表面钝化以形成器件侧钝化层5。可以使用诸如CVD、PECVD、原子层沉积(ALD)、溅射或者旋涂的适合的电介质沉积方案来沉积器件侧钝化层5,如图2c中所示。
通过凹陷蚀刻器件侧钝化层5来形成具有场减小倾斜侧壁的栅极沟槽,如图2d中所示。
如图2e中所示,制成延伸到源极7的通孔。通孔和栅极沟槽被填充有金属。填充栅极沟槽形成了栅极6并且填充通孔延伸了源极7。接下来,利用保护层9将栅极6和源极7的暴露表面钝化,该保护层9可以为了将导线接合到源极和栅极接合焊盘而选择性地移除。形成钝化层9完成了结构111的正侧上的制作步骤。
参考图2f和图2g,将结构111的正面附着到操作晶圆(handlingwafer)10。可以使用诸如当暴露于溶剂、热或诸如UV光的辐射时失去其粘附性质的聚合物粘附体系的、容易地可移除的粘附剂112将操作晶圆10粘附到结构111。在一些实施中,替代将结构111附着到操作晶圆10,将正面附着到电池供电的移动静电晶圆吸盘。
参考图2h,移除其上生长了III-氮化物层的母衬底13。在一些实施中,通过研磨或快速粗蚀刻将衬底13减薄为低于100微米。可以通过湿法蚀刻或者基于氟化物的等离子体蚀刻然后湿法蚀刻III-氮化物成核层12来移除减薄之后的衬底13的剩余部分。如果衬底13由蓝宝石形成,则可以使用近UV激光辐射来剥离III-氮化物层,或者如果在衬底13和成核层12之间存在适合的界面层,则可以通过化学剥离来移除。在一些实施中,用于实现剥离的工艺被选择为在器件的有源区上几乎不产生应变变化。
参考图2i,诸如通过在基于氯化物的等离子体中的蚀刻或者如果需要使用电化学湿法蚀刻则通过湿法蚀刻移除成核层12。移除成核层12之后诸如通过使用不会在间隔层3中引入点缺陷的工艺蚀刻掉应力管理层11,如图2j中所示。
一旦移除了母衬底13、成核层12和应力管理层11,则在间隔层3的暴露的反面上沉积反侧钝化层4,如图2k中所示。反侧钝化层4可以是相当厚的层,从而该层能够承受该层被预期要承受的最大的漏极-源极电压。例如,对于1000V器件,反钝化层应该为包括前述的一个或多个无机或有机电介质的至少5微米厚的低泄漏电介质,从而反侧钝化层4是用于III-氮化物半导体的良好的电绝缘体。在一些实施中,反侧钝化层具有小于1012/cm2的界面态密度,诸如小于1010/cm2的界面态密度,和小于1020/cm3的体陷阱密度,诸如小于1018/cm3的体陷阱密度。体陷阱密度能够指示钝化层中的使得器件更容易泄漏或者使得其特性滞后的陷阱。
参考图2l,通孔形成为穿过反侧钝化层4、间隔层3和沟道层1以到达漏极8。通过形成钝化绝缘体14来钝化通孔侧壁并且通孔填充有与在器件的正面上的漏极接触金属化层8形成良好的电接触的传导金属塞15。虽然通孔被示出为在器件的有源区中(并且它可能需要位于那里用于封闭的漏极单元构造),但是这不是必需的。通孔可以位于远离器件的源极区域的通孔/接触焊盘处,因为这可以减少反向泄漏并且增加击穿电压。
参考图2m,反侧漏极接触16能够在形成多个半单元(该图中未示出)时互连多个漏极通孔。反侧漏极接触16用于将晶圆附着到导热且导电层17。导热且导电层17可以是与传导衬底18热且电接触的粘附层。
然后移除操作晶圆10并且接下来选择性地移除临时层9以暴露源极7和栅极6。然后将源极7和栅极6附着到接合引线(未示出)。
然后测试晶圆和切割以用于下游加工,例如上片(die-attach)和接合。
参考图3,在一些实施中,具有倾斜场板的栅极被接地。
通过器件侧钝化层5覆盖源极7和漏极8两者。集成有倾斜场板的栅极6在器件侧钝化层5上延伸,从而器件侧钝化层5在横向方向上位于源极7和栅极6之间并且器件侧钝化层5在横向方向上位于漏极8和栅极6之间。横向方向是与III-氮化物半导体材料层的堆叠100的层的主表面延伸的方向垂直的方向。
钝化绝缘体14在导向III-氮化物半导体材料层的堆叠100的正面上的漏极8和源极7接触的通孔的侧壁上。钝化绝缘体14钝化有源层、即III-氮化物半导体材料层的堆叠100的一部分,并且将其与金属塞15和25绝缘,金属塞15和25分别将漏极接触8和源极接触7连接到在反面上的漏极互连金属化层16和源极互连金属化层26。反面被覆盖有钝化电介质层20,该钝化电介质层20中接触孔在用于源极和漏极的接合焊盘处打开。
载体晶圆19是器件120的正面接合到其上的、导电且导热晶圆。也是电和热导体的接合层29将载体层19接合到器件120的栅极6。
由于将从反面接入源极,因此不要求到源极7的通孔在正面上并且不需要如之前的工艺而利用保护涂覆(例如图2e中的层9)覆盖栅极金属化层。在完成正面的加工之后,晶圆被永久地附着到传导载体晶圆19。在一些实施中,可以在相同的工艺步骤中制成到漏极和源极接触的通孔。
参考图4,在一些实施中,HFET形成有连接到接地栅极的阶梯场板。额外的金属层21和22与栅极6接触并且与接合层29接触。栅极6与额外的金属层21和22形成阶梯场板96。阶梯场板96能够通过一系列光刻步骤来形成,包括部分地蚀刻电介质材料和金属沉积。在一些实施中,阶梯场板96包括与栅极电介质5重叠的栅极层6的部分以及金属层21和22。阶梯场板96由在器件侧钝化层75的凹陷中沉积的层形成,该器件侧钝化层75沉积在栅极电介质5和栅极6上。钝化层75可以以一系列步骤来沉积。在沉积的每个步骤在钝化层中形成凹陷。因此,第一场板层21形成在钝化层75的第一子层中的一个中的凹陷中。钝化层75进一步被图案化并且进行用于形成第二场板层22的另一个金属化,从而在器件侧钝化层75上的形成的场板与较低场板层21接触。由于这三个部分彼此电连接,因此,它们用作单个组件。可以使用在电介质层中形成金属或者其它传导金属材料结构的其它沉积-图案化-沉积工艺,由比所示出的三个部分更多或更少的部分来形成阶梯栅极和场板96。在形成阶梯场板之后,在正面上完成了器件制作的晶圆121被附着到具有传导层29的传导载体晶圆19,并且反面如对于图3中的器件而被加工。
参考图5,可以使用这里描述的技术形成横向肖特基二极管。通过与被称为肖特基层的半导体接触的适当地选择的金属来形成肖特基二极管。如下所述,肖特基二极管材料和结构被设计为获得可接受的正向电压(诸如,在1mA/mm为~0.5V)和正向导通电阻(对于1000V的器件Ron为~10Ω/mm)。
如对于HFET所描述的,AlGaN/GaN/AlGaN堆叠形成了有源层,其具有到形成阴极的2DEG以及形成阳极的肖特基接触的金属的欧姆接触。在一些实施中,顶部的AlGaN层被蚀刻从而阳极金属层与肖特基层形成直接接触,如图5中所示。形成有源层200的III-氮化物半导体材料的堆叠可以包括也用作阻挡接入层的、应称为肖特基兼接入层(schottky-cum-access layer)201的肖特基层、间隔层203和极化感生偶极子(polarization induced dipole:PID)层202,并且肖特基兼接入层201在间隔层203和PID层202之间。有源堆叠被设计为使得当完成的夹层结构(sandwich)是完整的时在肖特基兼接入层中形成2DEG。在一些实施中,在PID层202的顶部上存在帽层,该帽层具有比PID层202更小的带隙并且是非故意的或者p-掺杂的。间隔层203具有不小于肖特基兼接入层201的带隙的带隙并且可以是单层或多层结构。肖特基兼接入层201是非故意或者n-掺杂的III-氮化物层,其在阳极金属206形成接触的位置形成二极管的肖特基层并且在其它位置形成横向接入层,并且2-DEG形成到阴极接触8的低电阻接入路径。肖特基兼接入层的掺杂影响二极管的正向接通(cut-in)电压。n型掺杂越高,则肖特基二极管的正向接通电压越大并且饱和电流越低。然而,当升高n型掺杂时,2-DEG迁移率降低并且接入区域电阻率增加。
在有源层上沉积钝化电介质205。在阳极金属206必须与肖特基层201形成肖特基接触的位置蚀刻电介质层205和PID层202。由于PID层被移除,则在阳极金属206下面没有极化感生偶极子以及因此的2-DEG,并且形成了良好的肖特基势垒。然而,PID层和2-DEG保留在接入区域中。阳极206由具有提供所要求的导通电压的功函数的金属形成。在所示的示例中,通过在沉积阳极金属206以及其上的传导金属207之前在钝化层205中形成倾斜凹陷来形成具有阳极的完整的倾斜场板以减少二极管的导通电阻。阴极接触是作为与接入区域中的2DEG形成良好的电接触的电子收集层的欧姆接触208。接触208是金属合金或者具有与高掺杂的n型半导体形成欧姆接触的金属层的高掺杂的n型半导体。当在正面上完成制作时,使用与阳极金属化层206和207形成良好的电接触的传导层29将晶圆附着到导电且导热的载体晶圆19。
使用与前述用于HFET的工艺类似的工艺来加工反面,从而移除母晶圆和有缺陷的层,沉积第一反钝化层4,在其中蚀刻通孔并且使用金属塞15和金属化层16使阴极接触到达反面。第二反面钝化层20保护反面并且仅在该反面上用于阴极的一个或多个接合焊盘要求接触的位置开口(未示出)。
在一些实施中,二极管包括势垒层中的注入的保护环以减少泄漏电流。
其中有源层中的应变能够在全部母衬底和应变管理层移除之后显著地改变的实施。
在一些实施中,使用刚性的粘附层来将器件面锚固到载体晶圆。在这些实施中,杨氏模量小于GaN的百分之一的聚合物电介质没有被用于器件侧钝化层5,这是因为可能由于电介质的塑性而可能发生应变释放。即使杨氏模量是GaN的五分之一的非晶二氧化硅可能不足以防止相邻的GaN有源层、沟道层1和势垒层2的应变弛豫。氮化硅的刚性可以足以维持有源层中的应变,如果其被刚硬地接合到有源层。然而,氮化硅层中的氢和氮断键可能导致陷阱效应,这在高电压器件中是不想要的。
在一些实施中,通过使用足够硬且厚的钝化层来维持III-氮化物有源层中的应变,从而在该III-氮化物层中维持应变并且然后可以将器件附着到能够处理散热并且如果需要则是电接触的载体晶圆。设计正面和反面钝化层的电、机械和热性质是个挑战并且在这里仍然没有解决。
在一些实施中,其上形成器件的衬底中的一些被限制为使得在异质外延和冷却期间维持III-氮化物膜的拉伸应变。该方法允许采用广泛的应变设计和钝化技术来优化器件性能。
在一些实施中,使用平面外骨骼来在有源层的所有层中锁定面内拉伸应力。在图6中示出了这样的裸片的示意性平面图。裸片30包括单元区域31,单元区域31每个均包括形成功率器件或其它高电压驱动电路的多个单元。每个区域31可以具有几个到几十个单元,其反面被加工为具有陷阱的有缺陷的层已经被移除。用于栅极和源极的接合焊盘32、33位于裸片30的任一端。裸片30可以包括用于每个端子的多于一个接合焊盘以满足功率器件的阻抗匹配和电流处理要求并且如果存在芯片上控制,则还加上控制和反馈输入和输出。可能在有源GaN层34中或者在下面的硅35(两者都被区域地指示)中的、处理低电压(诸如,<30V)的芯片上控制和驱动电路可选地布置在裸片30上。围绕单元区域31的区域36、接合焊盘32、33和芯片上控制和驱动电路34的、包括可选的硅控制电子器件区域35下面的区域指示应变维持外骨骼的区域位置,其通过保持其上形成器件的衬底的一部分完整而形成。
在图7中,示出了沿着图6中的平面X1X2X3的横截面。A、B和C上的横截面分别描述了有源器件区域(X1附近)或者高电压器件区域、内外骨骼区域(X2附近)和外外骨骼区域(接合焊盘区域中的X3附近)中的横截面。与在一些实施中不同的是,连接漏极通孔的反面上的金属化层延伸到内外骨骼上的接合/焊料焊盘。区域B是与器件区域(X2附近)相邻的内外骨骼并且能够维持有源区中的III-氮化物膜中的拉伸应变。来自母晶圆的硅(43)的层在大约10至50微米厚之间。该厚度使得能够维持拉伸应变并且同时使得来自有源区16的漏极金属化层能够通过金属化层49延伸到内外骨骼(区域B)。较厚的内外骨骼可能要求用于倾斜部分的不必要的大面积来实现外骨骼的侧壁上的可重复的可靠的互连覆盖。厚的电介质层44、45使硅43与漏极金属49绝缘。如能够看到的,成核和应力管理层42在外骨骼区域B和C中没有被移除,这有利于保持有源III-氮化物层41牢固地附着到外骨骼。有源III-氮化物层41可以包括沟道层1、势垒层2和间隔层3并且可以沿着有源区的周围通过氧注入电隔离。层46包括钝化层5-金属化层6/7-钝化层9堆叠的层,其承载到区域C中的接合焊盘的源极或栅极连接。可选地,可以在有源区的周围和外骨骼的内周围之间添加额外的区域应力管理层。
区域C是外外骨骼并且其位于沿着裸片30的周围、正面上的接合焊盘以及具有低电压电子器件的其它区域下面。如图7中所示,外外骨骼维持来自母晶圆的较厚的硅——厚度足以使得能够在减薄操作之后的加工、划片和封装期间操作晶圆同时防止对于组件的损坏。对于较小的晶圆(例如,2”晶圆)和小面积裸片(小于3×3mm2),区域B和C中的硅的厚度能够维持为相同以减少加工步骤。如图7中能够看到的,层47表示正面钝化层5-金属化层6/7-钝化层9,并且在接合焊盘附近的顶部钝化层被移除,在该位置可以添加额外的金属层(48),后者对于一些接合和封装情况是必要的。另外,如果需要焊接接触,则可以添加银层作为层49,而能够完成直接到金总线上的焊盘的超声接合。在一些实施中,金属化层(6/7)在主总线层级被加厚并且对于一个端子可以存在多于一个接合/焊料焊盘。较厚的外骨骼也能够支持诸如在功率器件的非有源区中的硅母晶圆上的任何低电压控制和同步电子器件。
在一些实施中,工艺流程在早期可以与前面所示出的工艺类似。制作具有在观察或器件面上的栅极和源极接触的基础高电压器件并且利用热或UV释放聚合物附着到操作晶圆,如这里所描述的。母衬底在反面上被减薄到想要的厚度。诸如通过使用粗双面对准将光致抗蚀剂或者氧化物选择性地涂布到区域C中的反面,诸如通过深RIE工艺将区域A和B的暴露的口袋蚀刻到要求的深度。
执行第二光刻步骤以保护区域B和C,并且从区域A移除硅加上成核和应力管理层。可以通过深RIE移除这些层并且具有大约30°至75°之间的斜率的倾斜侧壁可以形成在硅外骨骼中,这是因为较陡峭的侧壁能够在从有源区到内外骨骼的金属化层中产生不连续,如前所述。可以通过基于测量硅或镓发射的强度的终点检测器来信服地确定完成穿过层的蚀刻。通过湿法蚀刻或氮等离子体低温退火来终止蚀刻工艺以减少有源层中产生的缺陷。
一旦在反侧上暴露了有源层,则使用诸如ICP-CVD的化学气相沉积技术沉积诸如二氧化硅或氧氮化硅的钝化无应变绝缘体的厚层,例如在1000V器件上的大约15μm厚的层。通过热或准分子激光退火来分离操作晶圆并且致密沉积的电介质以改进高场下的钝化并且减少长期潮湿扩散——因此器件漂移——到钝化层中。能够重新使用操作晶圆。
在反面上形成到漏极接触的通孔以到达正面上的漏极金属化层,侧壁被钝化并且通孔被填充有诸如铜或金的金属。形成将漏极通孔连接到内外骨骼上的接合/焊料焊盘的图案化的金属化层。如果需要,则执行额外的接合/焊料焊盘金属化并且仅通过最终的钝化层暴露接合焊盘。对器件进行测试并且划片以用于下游工艺。
在一些实施中,在形成器件的工艺中,金属化较晚发生,但是能够实现更高质量的钝化,产生具有更高击穿电压和更低陷阱(因此较少的电流崩塌和特性的滞后)的器件,利用更少的工艺步骤,不要求昂贵的双面对准器并且能够实现更好的硅与III-氮化物工艺的集成。
参考图8a,通过在<111>硅母晶圆13、成核层12和应力管理层11的支撑堆叠上异质外延来生长有源层100。有源层100包括具有可接受的低缺陷密度的三个基本的层,即为间隔层、沟道层和正势垒层,加上其它可选的层,可能包括反势垒层、帽层和合金散射屏蔽层。
参考图8b,钝化堆叠105是诸如氮化铝、氮化硅和二氧化硅的一个或多个无机电介质的夹层结构。可以通过低到中等温度CVD工艺来沉积钝化堆叠105。
参考图8c,其示出了在加工反面之后的跨过有源区、内外骨骼和外外骨骼的横截面。晶圆的正面通过UV敏感粘附层(未示出)而附着到双面打磨的石英晶圆150。光致抗蚀剂图案用于保护母晶圆13的将形成外外骨骼的区域并且暴露将形成内外骨骼的区域。诸如利用深反应离子蚀刻工艺(例如Bosch工艺)来蚀刻暴露的硅直到达到内外骨骼的想要的厚度。执行第二光刻步骤以图案化有源区并且通过干法或湿法蚀刻移除剩余的硅与成核和应力管理III-氮化物层,仅留下有源层是完整的。
参考图8d,诸如通过低温远程等离子体CVD工艺在微机械加工的反面上沉积无机电介质材料的夹层结构的共形涂覆来形成钝化层51。然后分离石英操作晶圆。如果粘附剂是UV可分解的聚合物粘附剂,则将UV光穿过石英晶圆150照射在粘附剂上,使得粘附剂失去其粘附性质。这之后通过中等温度退火来致密钝化层并且减少电介质陷阱和固定氧化层电荷。
参考图8e,为了注入n+漏极52、场成形区域和到更靠近正侧的2DEG层的接触而图案化钝化层51。高温度退火被用于注入退火并且减少无机电介质中的陷阱。高温退火产生比通过低温退火可能的质量高得多的质量的电介质。高温退火、即在超过750℃的温度下的退火在金属化之后可能不能进行,这是因为高温能够引起金属化熔融或合金,并且因此不能够利用前述的较早的金属化工艺来完成。也不能够在沉积聚合物作为钝化层之后使用中等或高温度退火。
反面被图案化和金属化以形成漏极欧姆接触53,如图8e中所示。参考图8f,正面被图案化和金属化以形成源极欧姆接触54。晶圆可选地在金属化之后在高温度下短时间退火以形成到与金属化层54和53相邻的有源层100中的源极和漏极两者的良好的欧姆接触。为了肖特基栅极金属化层55而进一步图案化正面,如果需要,则通过将其沉积在薄栅极电介质上。在肖特基栅极金属化层55形成之后能够进行中等温度退火(400-600℃)以改进肖特基势垒界面。
在两个面上对晶圆进行图案化和金属化以形成总线并且通过电或无电沉积来加厚接合/焊料焊盘。诸如通过使用氮化硅的低温CVD沉积或者通过沉积一些其它的覆盖钝化电介质来钝化晶圆的两面。接触孔被打开到接合/焊料焊盘。对晶圆进行划片以用于下游封装和测试工艺。用于中等电压功率器件的工艺的修改可以包括在正面上形成栅极、源极和漏极接入。n+漏极注入和漏极金属化两者都处于正面上。在其它实施中,用于欧姆接触的金属合金以及栅极金属要求类似的后金属化退火条件并且这使得能够进一步简化工艺序列。
工艺的进一步修改可以包括如果要求硅器件则在硅外骨骼上制作控制、同步和驱动电子器件。在一些实施中,控制、同步和驱动电子器件可以是混合硅-GaN或者仅是GaN并且低电压GaN器件位于有源区中或者外骨骼区域中。器件的另一修改在反面上形成源极和漏极接入。
能够使用这里描述的方法形成具有通过一个或更多2DEG层接入的具有阻挡的横向肖特基二极管。可以在正面上接入阳极并且在反面上接入阴极。通过异质外延生长成核和应力管理层并且之后是p型/非故意掺杂GaN层、非故意掺杂的AlGaN层、非故意的或轻掺杂的GaN层和原位氮化硅层。通过注入或台面隔离描绘器件区域。可以沉积额外的电介质层。在图案化之后移除电介质层并且沉积并退火与2DEG形成欧姆接触的金属。然后为了肖特基接触而图案化正面并且通过蚀刻移除电介质层。可选地,执行另外的光刻步骤以限定保护环,之后沉积和退火用于形成肖特基势垒的金属。接下来,沉积厚的电介质并且对其图案化以暴露需要加厚金属的区域。通过镍或金的无电镀来进一步加厚阳极电极总线。平面化晶圆直到暴露金属涂覆和加厚的金属的顶部。然后使用传导中间层将该面附着到导电且导热晶圆。通过适当的涂覆来保护正面上的晶圆并且通过蚀刻移除原始衬底。
成核和应力管理层被蚀刻并且利用适当的湿法蚀刻或氮等离子体来处理反表面以移除残余的表面损坏和电荷。然后利用氮化硅或氮化铝并且如果需要则利用额外的二氧化硅层来适当地钝化反面。可以替代地或者也使用诸如BCB的其它有机电介质或诸如铝的无机电介质。穿过钝化层以及下面的III族氮化物层的一个或多个通孔被蚀刻到阴极总线。利用两步沉积和镀覆工艺来执行端子金属化。然后锯切晶圆以形成用于下游加工的单独的裸片。
对于具有在器件面上接入源极和栅极以及在反面上接入漏极的晶体管(HFET/HEMT),形成工艺可以是如下。通过异质外延生长成核和应力管理层,之后是p型/非故意掺杂的GaN层、非故意掺杂的AlGaN层、非故意的或者轻掺杂的GaN层并且最终形成原位氮化硅层。可以沉积额外的电介质层。在注入隔离之后,移除电介质层。之后进行图案化并且沉积和退火与2DEG形成欧姆源极和漏极接触的金属。然后为了源极和漏极之间的肖特基栅极接触而图案化正面并且通过蚀刻移除电介质层。这之后可以是用于限定场板的另一个光刻步骤,其后沉积和退火用于形成栅极接触的金属。然后执行电介质沉积和图案化以形成栅极互连和总线。通过镍或金或铜的无电镀来进一步加厚栅电极和源电极总线。接下来,沉积厚电介质并且平面化晶圆直到暴露架构的金属的顶部。
然后将晶圆附着到操作晶圆。通过适当的涂覆保护正面上的晶圆并且通过蚀刻移除原始衬底。蚀刻成核和应力管理层并且利用适当的湿法蚀刻或者氮等离子体处理反表面以移除残余表面损坏和电荷。接下来利用氮化硅或者氮化铝并且如果需要则利用额外的二氧化硅层来适当地钝化反面。也能够使用诸如BCB的其它有机电介质或者诸如氧化铝的其它无机电介质。穿过钝化层和下面的III族氮化物层的一个或多个通孔被蚀刻到漏极总线并且利用金属塞填充通孔。然后将反面接合到导电且导热衬底从而漏极通孔与晶圆电接触并且与电介质机械接触。然后移除操作晶圆以暴露源极和栅极金属化总线。然后利用仅在源极和栅极接合焊盘处开口的有机或无机钝化层涂覆顶部。然后锯切晶圆以形成单独的裸片用于下游加工。
这里已经描述了多个实施和技术。对于技术和器件的修改可以包括下述中的一个或多个。诸如AlGaN层的蚀刻停止层可以被插入到间隔层3中以有利于使用基于氟化物或氯化物的化学混合物的背侧选择性干法蚀刻。这能够提供对背侧加工之后的间隔层的剩余厚度的更好的控制。另一可能的修改是在栅极6下面引入绝缘电介质层以抑制栅极泄露电流。可能的栅极电介质包括但不限于氮化硅、氧化硅、氮化铝、氧化铝、氧化镓和高k电介质。外延生长的III-氮化物半导体层替代电介质层形成器件侧钝化层5。场减小板可以应用在反侧钝化层4上用于接地的栅极。场板可以连接到源极或栅极。该场板层与正面上的栅极6重叠。可以在场板层和正面上的漏极8之间维持一定的横向距离从而在高电压下没有短路。III-氮化物层可以替代III面层而被生长为氮面层,如通过引用并入这里的2008年9月12日提交的美国申请No.12/209504中所描述的。替代地,III-氮化物层可以替代c面而生长有非极性m面或a面、或者半极性面。沟道层1可以被调制掺杂在其中没有由于应变崩塌或者由于III-氮化物有源层的非极性面取向的使用而没有极化电荷的结构中。存在用于横向器件的电极结构的各种组合。具有移除有缺陷的层并且钝化的晶圆级倒装芯片工艺的优点的一些器件是:在器件面上接入栅极和源极接触并且在反面上接入漏极接触的、诸如HFET、MISFET或者JFET器件的FET,以及经由反面接入阴极并且经由器件面接入阳极的肖特基二极管。栅极、源极、漏极或阳极和阴极位置的其它组合也是可能的。例如,栅极、源极和漏极可以都位于正面上或者都位于反面上。替代地,漏极和栅极可以位于诸如反侧的一侧上,而源极可以位于诸如正侧的相反侧上,或者反之亦然。在二极管中,阴极可以位于正面上并且阳极位于反面上。对于本领域技术人员来说显而易见的是,电极接入的其它组合也是可能的,最优方案取决于器件布局、构造和应用。

Claims (29)

1.一种III族氮化物器件,包括:
III-氮化物层的堆叠,其中所述堆叠包括沟道层、与所述沟道层直接相邻的势垒层以及与所述沟道层的和所述势垒层相反的一侧直接相邻的间隔层,其中所述沟道层包括在所述沟道层中的与所述势垒层相邻的2DEG沟道;
第一钝化层,所述第一钝化层在与所述沟道层相反的一侧上直接接触所述间隔层的表面,其中所述第一钝化层是电绝缘体并且所述III-氮化物层的堆叠和所述第一钝化层形成具有邻近所述第一钝化层的反侧和邻近所述势垒层的正侧的结构;
第二钝化层,所述第二钝化层在所述结构的所述正侧上;和
一个或多个导电接触,所述一个或多个导电接触电连接到所述2DEG沟道。
2.根据权利要求1所述的器件,其中所述第一钝化层和所述第二钝化层每个均具有足够大的带隙、足够低的体缺陷密度和足够低的界面密度,从而与具有所述III-氮化物层的堆叠并且缺乏所述第一钝化层和所述第二钝化层的器件相比改进了所述器件的击穿。
3.根据权利要求1所述的器件,其中所述第一钝化层具有小于1012/cm2的起作用的界面态密度和小于1020/cm3的起作用的体陷阱密度。
4.根据权利要求1所述的器件,其中所述第一钝化层或者所述第二钝化层由无机电介质材料形成。
5.根据权利要求4所述的器件,其中所述第一钝化层或者所述第二钝化层包括氮化硅、二氧化硅、氧氮化硅、氧化铝或者氮化铝。
6.根据权利要求1所述的器件,其中所述第一钝化层或者所述第二钝化层是有机电介质材料。
7.根据权利要求6所述的器件,其中所述第一钝化层或者所述第二钝化层包括有机树脂。
8.根据权利要求7所述的器件,其中所述有机树脂包括聚酰亚胺、苯并环丁烯(BCB)或者SU8中的一种。
9.根据权利要求1所述的器件,其中所述钝化层包括至少一种有机电介质材料和至少一种无机电介质材料的堆叠。
10.根据权利要求1所述的器件,其中所述导电接触电连接到所述结构的所述反侧。
11.根据权利要求1所述器件,其中所述III-氮化物层的堆叠在0.5和30微米厚之间。
12.根据权利要求1所述的器件,进一步包括栅极接触和栅极电介质,其中所述栅极电介质在所述III-氮化物层的堆叠与所述第二钝化层之间。
13.根据权利要求1所述的器件,其中:
所述一个或多个导电接触中的一个是在所述结构的所述正侧上的栅极接触;
所述导电接触中的一个是在所述结构的所述正侧上的源极接触;
所述导电接触中的一个是在所述结构的所述反侧上的漏极接触;并且
所述第二钝化层覆盖包括所述栅极接触和所述源极接触之间的间隔的所述结构的所述正侧的整体。
14.根据权利要求1所述的器件,进一步包括:
成核和应力管理层,所述成核和应力管理层与所述间隔层接触;以及
母衬底,所述母衬底包括硅,其中所述成核和应力管理层在所述III-氮化物层的堆叠和所述母衬底之间;
其中所述器件具有包括所述III-氮化物层的堆叠的第一部分和包括所述III-氮化物层的堆叠、所述成核和应力管理层以及所述母衬底的第二部分,所述第二部分形成外骨骼并且所述第一部分没有所述母衬底以及所述成核和应力管理层。
15.根据权利要求14所述的器件,进一步包括在所述母衬底的与所述III-氮化物层的堆叠相反的一侧上的电介质层。
16.根据权利要求15所述的器件,进一步包括导电层,其中所述电介质层在金属化层与所述母衬底之间。
17.根据权利要求16所述的器件,其中所述外骨骼具有薄部分和厚部分,其中所述薄部分中的所述母衬底比所述厚部分中的所述母衬底薄并且所述导电层不延伸到所述外骨骼的所述厚部分中。
18.根据权利要求17所述的器件,其中所述薄部分中的所述母衬底在大约10和50微米之间。
19.根据权利要求14所述的器件,进一步包括连接到所述第二部分中的导电焊盘的所述正侧上的导电层。
20.根据权利要求14所述的器件,其中所述外骨骼在所述III-氮化物层的堆叠中维持足够的应变以在所述沟道层中产生2DEG。
21.根据权利要求14所述的器件,其中所述第二钝化层局限于所述第一部分。
22.根据权利要求14所述的器件,进一步包括在硅或III-氮化物有源区中在所述外骨骼上的控制、保护、同步或驱动电路中的至少一个。
23.一种形成器件的方法,包括:
在母衬底上形成成核层,其中所述成核层包括AlN;
在所述母衬底上的所述成核层上形成应力管理层,其中所述应力管理层包括III-氮化物材料;
在所述应力管理层上形成III-氮化物层的堆叠,其中形成所述堆叠包括形成在其中具有2DEG沟道的沟道层,所述堆叠具有与所述应力管理层相反的正面;
将所述堆叠的所述正面附着到载体晶圆;
移除所述母衬底、所述成核层和所述应力管理层的整体,其中移除步骤暴露所述堆叠的反表面;并且
利用电介质层钝化所述反表面。
24.根据权利要求23所述的方法,进一步包括形成与所述2DEG沟道电连接的导电接触。
25.根据权利要求23所述的方法,其中:
所述III-氮化物层的堆叠包括在所述沟道层的第一侧上的势垒层和在所述沟道层的第二侧上的间隔层;
所述间隔层包括蚀刻停止层;并且
移除步骤蚀刻到所述蚀刻停止层。
26.根据权利要求23所述的方法,进一步包括形成到所述2DEG沟道的外部接触,其中所述外部接触延伸穿过所述电介质层。
27.根据权利要求23所述的方法,进一步包括在所述III-氮化物层的堆叠中制作二极管或晶体管中的一种。
28.一种形成器件的方法,包括:
在母衬底上形成成核层,其中所述成核层包括AlN;
在所述母衬底上的所述成核层上形成应力管理层,其中所述应力管理层包括III-氮化物材料;
在所述应力管理层上形成III-氮化物层的堆叠,其中形成所述堆叠包括形成在其中具有2DEG沟道的沟道层;并且
移除至少所述母衬底的一部分、所述成核层的一部分和所述应力管理层的一部分,其中移除步骤仅移除所述母衬底的一部分并且形成薄外骨骼部分和厚外骨骼部分,其中所述母衬底在所述薄外骨骼部分中比在所述厚外骨骼部分中薄。
29.根据权利要求28所述的方法,其中:
所述III-氮化物层的堆叠包括在所述沟道层的第一侧上的势垒层和在所述沟道层的第二侧上的间隔层;
所述间隔层包括蚀刻停止层;并且
移除步骤蚀刻到所述蚀刻停止层。
CN201080056241.8A 2009-12-10 2010-12-08 反侧设计的iii-氮化物器件 Active CN102714219B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/635,405 US8389977B2 (en) 2009-12-10 2009-12-10 Reverse side engineered III-nitride devices
US12/635,405 2009-12-10
PCT/US2010/059486 WO2011072027A2 (en) 2009-12-10 2010-12-08 Reverse side engineered iii-nitride devices

Publications (2)

Publication Number Publication Date
CN102714219A true CN102714219A (zh) 2012-10-03
CN102714219B CN102714219B (zh) 2015-06-03

Family

ID=44141943

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080056241.8A Active CN102714219B (zh) 2009-12-10 2010-12-08 反侧设计的iii-氮化物器件

Country Status (3)

Country Link
US (3) US8389977B2 (zh)
CN (1) CN102714219B (zh)
WO (1) WO2011072027A2 (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104037217A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于复合偶极层的AlGaN/GaN HEMT开关器件结构及制作方法
CN104037219A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于栅结构的增强型AlGaN/GaN HEMT器件结构及其制作方法
CN104037220A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于偶级子层浮栅结构的增强型AlGaNGaNMISHEMT器件结构及其制作方法
CN104037221A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于极化效应的复合场板高性能AlGaN/GaN HEMT器件结构及制作方法
CN104037215A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于聚合物的增强型AlGaN/GaN MISHEMT器件结构及制作方法
CN104798206A (zh) * 2013-03-15 2015-07-22 Hrl实验室有限责任公司 具有工程衬底的iii族氮化物晶体管
CN104838498A (zh) * 2012-10-05 2015-08-12 美光科技公司 与去除半导体装置中寄生传导相关的装置、系统和方法
CN104851778A (zh) * 2014-02-17 2015-08-19 中芯国际集成电路制造(上海)有限公司 一种晶圆级封装工艺中致密化钝化层的方法
CN105190896A (zh) * 2013-05-03 2015-12-23 德克萨斯仪器股份有限公司 Resurf iii-n高电子迁移率晶体管
CN104037216B (zh) * 2014-07-02 2016-11-16 西安电子科技大学 一种基于偶极层的高压AlGaN/GaN MISHEMT器件结构及其制作方法
CN106920747A (zh) * 2017-02-17 2017-07-04 昆山华太电子技术有限公司 一种高可靠性hemt制作方法
CN110459610A (zh) * 2018-05-08 2019-11-15 山东浪潮华光光电子股份有限公司 一种GaN基斜型栅极HEMT器件及其制备方法
CN113659001A (zh) * 2021-09-14 2021-11-16 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN113659000A (zh) * 2021-09-14 2021-11-16 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN114709256A (zh) * 2022-05-25 2022-07-05 深圳市时代速信科技有限公司 一种半导体器件和半导体器件的制备方法
WO2023122863A1 (zh) * 2021-12-27 2023-07-06 华为技术有限公司 一种集成电路、其制备方法及电子设备

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7915643B2 (en) 2007-09-17 2011-03-29 Transphorm Inc. Enhancement mode gallium nitride power devices
US7965126B2 (en) 2008-02-12 2011-06-21 Transphorm Inc. Bridge circuits and their components
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7898004B2 (en) 2008-12-10 2011-03-01 Transphorm Inc. Semiconductor heterostructure diodes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8390000B2 (en) 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US8785973B2 (en) * 2010-04-19 2014-07-22 National Semiconductor Corporation Ultra high voltage GaN ESD protection device
US8742460B2 (en) 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US8643062B2 (en) * 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8786327B2 (en) 2011-02-28 2014-07-22 Transphorm Inc. Electronic components with reactive filters
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
US8772842B2 (en) 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10312361B2 (en) 2011-06-20 2019-06-04 The Regents Of The University Of California Trenched vertical power field-effect transistors with improved on-resistance and breakdown voltage
WO2015175915A1 (en) * 2014-05-15 2015-11-19 The Regents Of The University Of California Trenched vertical power field-effect transistors with improved on-resistance and breakdown voltage
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9496138B2 (en) * 2011-07-08 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing oxide semiconductor film, method for manufacturing semiconductor device, and semiconductor device
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
JP6017125B2 (ja) * 2011-09-16 2016-10-26 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US8643134B2 (en) * 2011-11-18 2014-02-04 Avogy, Inc. GaN-based Schottky barrier diode with field plate
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8530978B1 (en) 2011-12-06 2013-09-10 Hrl Laboratories, Llc High current high voltage GaN field effect transistors and method of fabricating same
KR101869045B1 (ko) * 2012-01-11 2018-06-19 삼성전자 주식회사 고전자이동도 트랜지스터 및 그 제조방법
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
KR101920715B1 (ko) * 2012-03-06 2018-11-21 삼성전자주식회사 고 전자 이동도 트랜지스터 및 그 제조방법
WO2013155108A1 (en) 2012-04-09 2013-10-17 Transphorm Inc. N-polar iii-nitride transistors
WO2013163137A1 (en) * 2012-04-23 2013-10-31 Massachusetts Institute Of Technology Passivation technique for wide bandgap semiconductor devices
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
US8803246B2 (en) 2012-07-16 2014-08-12 Transphorm Inc. Semiconductor electronic components with integrated current limiters
US8981432B2 (en) * 2012-08-10 2015-03-17 Avogy, Inc. Method and system for gallium nitride electronic devices using engineered substrates
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105164811B (zh) 2013-02-15 2018-08-31 创世舫电子有限公司 半导体器件的电极及其形成方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9087718B2 (en) 2013-03-13 2015-07-21 Transphorm Inc. Enhancement-mode III-nitride devices
US11721547B2 (en) * 2013-03-14 2023-08-08 Infineon Technologies Ag Method for manufacturing a silicon carbide substrate for an electrical silicon carbide device, a silicon carbide substrate and an electrical silicon carbide device
US9245992B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9059076B2 (en) 2013-04-01 2015-06-16 Transphorm Inc. Gate drivers for circuits based on semiconductor devices
US9054027B2 (en) * 2013-05-03 2015-06-09 Texas Instruments Incorporated III-nitride device and method having a gate isolating structure
US9552979B2 (en) * 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
KR101767855B1 (ko) 2013-07-02 2017-08-11 울트라테크 인크. 격자 전위들을 제거하기 위한 급속 열적 프로세싱에 의한 헤테로에피택셜 층들의 형성
WO2015006111A1 (en) 2013-07-09 2015-01-15 Transphorm Inc. Multilevel inverters and their components
US9443938B2 (en) 2013-07-19 2016-09-13 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI493617B (zh) * 2013-10-07 2015-07-21 Nat Univ Tsing Hua 部分隔離矽基板之三族氮化物半導體裝置之製作方法
KR101758082B1 (ko) * 2013-12-30 2017-07-17 한국전자통신연구원 질화물 반도체 소자의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015157845A1 (en) * 2014-04-16 2015-10-22 Gan Systems Inc. Embedded packaging for devices and systems comprising lateral gan power transistors
CN106170866A (zh) * 2014-04-25 2016-11-30 美国休斯研究所 具有基材转移的iii‑v族材料结构上的fet晶体管
DE102014107560A1 (de) * 2014-05-28 2015-12-03 Infineon Technologies Austria Ag Halbleiterbauelement und Verfahren
US10276712B2 (en) 2014-05-29 2019-04-30 Hrl Laboratories, Llc III-nitride field-effect transistor with dual gates
US9543940B2 (en) 2014-07-03 2017-01-10 Transphorm Inc. Switching circuits having ferrite beads
US9590494B1 (en) 2014-07-17 2017-03-07 Transphorm Inc. Bridgeless power factor correction circuits
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104332498B (zh) * 2014-09-01 2018-01-05 苏州捷芯威半导体有限公司 一种斜场板功率器件及斜场板功率器件的制备方法
CN104241400B (zh) * 2014-09-05 2017-03-08 苏州捷芯威半导体有限公司 场效应二极管及其制备方法
US9859732B2 (en) 2014-09-16 2018-01-02 Navitas Semiconductor, Inc. Half bridge power conversion circuits using GaN devices
US9571093B2 (en) 2014-09-16 2017-02-14 Navitas Semiconductor, Inc. Half bridge driver circuits
US9960154B2 (en) 2014-09-19 2018-05-01 Navitas Semiconductor, Inc. GaN structures
US10312358B2 (en) * 2014-10-02 2019-06-04 University Of Florida Research Foundation, Incorporated High electron mobility transistors with improved heat dissipation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9812532B1 (en) 2015-08-28 2017-11-07 Hrl Laboratories, Llc III-nitride P-channel transistor
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
WO2017087197A1 (en) 2015-11-19 2017-05-26 Hrl Laboratories, Llc Iii-nitride field-effect transistor with dual gates
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108604597B (zh) 2016-01-15 2021-09-17 创世舫电子有限公司 具有al(1-x)sixo栅极绝缘体的增强模式iii-氮化物器件
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10038051B2 (en) * 2016-02-19 2018-07-31 Infineon Technologies Austria Ag Vertical potential short in the periphery region of a III-nitride stack for preventing lateral leakage
US9831867B1 (en) 2016-02-22 2017-11-28 Navitas Semiconductor, Inc. Half bridge driver circuits
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10217827B2 (en) * 2016-05-11 2019-02-26 Rfhic Corporation High electron mobility transistor (HEMT)
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI762486B (zh) 2016-05-31 2022-05-01 美商創世舫科技有限公司 包含漸變空乏層的三族氮化物裝置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) * 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2019005031A1 (en) * 2017-06-28 2019-01-03 Intel Corporation GROUP III POLAR NITRIDE HETERONJUNCTION DIODES
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10332876B2 (en) * 2017-09-14 2019-06-25 Infineon Technologies Austria Ag Method of forming compound semiconductor body
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10840264B2 (en) 2017-09-28 2020-11-17 International Business Machines Corporation Ultra-thin-body GaN on insulator device
DE112017007912T5 (de) 2017-09-29 2020-07-02 Intel Corporation Gruppe-iii-nitrid-antennendiode
US11545586B2 (en) 2017-09-29 2023-01-03 Intel Corporation Group III-nitride Schottky diode
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10630285B1 (en) 2017-11-21 2020-04-21 Transphorm Technology, Inc. Switching circuits having drain connected ferrite beads
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109950323B (zh) * 2017-12-20 2022-04-08 中国科学院苏州纳米技术与纳米仿生研究所 极化超结的ⅲ族氮化物二极管器件及其制作方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10756207B2 (en) 2018-10-12 2020-08-25 Transphorm Technology, Inc. Lateral III-nitride devices including a vertical gate module
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11810971B2 (en) 2019-03-21 2023-11-07 Transphorm Technology, Inc. Integrated design for III-Nitride devices
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
EP3783663A1 (en) 2019-08-21 2021-02-24 Infineon Technologies AG Semiconductor device and method
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112993005B (zh) 2019-12-02 2024-01-09 联华电子股份有限公司 具有平台结构的半导体元件及其制作方法
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111129122B (zh) * 2019-12-13 2022-05-06 合肥中科微电子创新中心有限公司 基于氧化镓的异质结半导体结构及其器件
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11749656B2 (en) 2020-06-16 2023-09-05 Transphorm Technology, Inc. Module configurations for integrated III-Nitride devices
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11342248B2 (en) 2020-07-14 2022-05-24 Gan Systems Inc. Embedded die packaging for power semiconductor devices
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN116325158A (zh) 2020-08-05 2023-06-23 创世舫科技有限公司 包含耗尽层的iii族氮化物器件
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11682721B2 (en) * 2021-01-20 2023-06-20 Raytheon Company Asymmetrically angled gate structure and method for making same
CN115249741A (zh) * 2021-04-25 2022-10-28 联华电子股份有限公司 超晶格结构
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024055276A1 (en) * 2022-09-16 2024-03-21 Innoscience (suzhou) Semiconductor Co., Ltd. Nitride-based semiconductor device and method for manufacturing thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1551373A (zh) * 2003-05-15 2004-12-01 松下电器产业株式会社 半导体装置
CN1722465A (zh) * 2004-07-14 2006-01-18 株式会社东芝 氮化物半导体器件
CN1748320A (zh) * 2002-12-16 2006-03-15 日本电气株式会社 场效应晶体管
US7126212B2 (en) * 1999-10-01 2006-10-24 Ziptronix, Inc. Three dimensional device integration method and integrated device
US20070131968A1 (en) * 2005-11-25 2007-06-14 Matsushita Electric Industrial Co., Ltd. Field effect transistor
US20070145417A1 (en) * 2005-03-29 2007-06-28 Brar Berinder P S High voltage semiconductor device having a lateral channel and enhanced gate-to-drain separation
US20070295985A1 (en) * 2001-02-23 2007-12-27 Nitronex Corporation Gallium nitride material devices and methods of forming the same
CN101185158A (zh) * 2005-07-08 2008-05-21 松下电器产业株式会社 晶体管及其驱动方法
CN101308796A (zh) * 2007-05-16 2008-11-19 冲电气工业株式会社 场效应晶体管及其制造方法

Family Cites Families (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102929A (en) * 1870-05-10 emery
US4155769A (en) * 1977-11-14 1979-05-22 J. M. Huber Corporation Non-settling coating composition and flatting pigment
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
DE3571220D1 (en) * 1985-04-17 1989-08-03 Ibm Remote centre compliance system
US4645562A (en) * 1985-04-29 1987-02-24 Hughes Aircraft Company Double layer photoresist technique for side-wall profile control in plasma etching processes
US4674351A (en) * 1985-12-23 1987-06-23 Sundstrand Corporation Compliant gear
US4728826A (en) * 1986-03-19 1988-03-01 Siemens Aktiengesellschaft MOSFET switch with inductive load
US4821093A (en) * 1986-08-18 1989-04-11 The United States Of America As Represented By The Secretary Of The Army Dual channel high electron mobility field effect transistor
JPH07120807B2 (ja) * 1986-12-20 1995-12-20 富士通株式会社 定電流半導体装置
US4896239A (en) * 1987-03-30 1990-01-23 Seagate Technology, Inc. Bi-compliant rotor stepper motor for an actuator in a disc drive
US4831897A (en) * 1987-10-05 1989-05-23 Sundstrand Corporation Torsionally compliant gear for use in multiple load path transmissions
US4903536A (en) * 1988-04-21 1990-02-27 Massachusetts Institute Of Technology Compact cable transmission with cable differential
US5501498A (en) * 1988-08-31 1996-03-26 The Trustees Of The University Of Pennsylvania Methods and apparatus for mechanically intelligent grasping
JP2825623B2 (ja) * 1990-07-23 1998-11-18 富士重工業株式会社 組立ロボット用ドリリングユニット
US5329147A (en) 1993-01-04 1994-07-12 Xerox Corporation High voltage integrated flyback circuit in 2 μm CMOS
US6097046A (en) 1993-04-30 2000-08-01 Texas Instruments Incorporated Vertical field effect transistor and diode
US5420489A (en) * 1993-11-12 1995-05-30 Rockwell International Corporation Robotic end-effector with active system compliance and micro-positioning capability
US5740192A (en) 1994-12-19 1998-04-14 Kabushiki Kaisha Toshiba Semiconductor laser
US5646069A (en) 1995-06-07 1997-07-08 Hughes Aircraft Company Fabrication process for Alx In1-x As/Gay In1-y As power HFET ohmic contacts
US5650704A (en) * 1995-06-29 1997-07-22 Massachusetts Institute Of Technology Elastic actuator for precise force control
JP3677350B2 (ja) * 1996-06-10 2005-07-27 三菱電機株式会社 半導体装置、及び半導体装置の製造方法
US6008684A (en) 1996-10-23 1999-12-28 Industrial Technology Research Institute CMOS output buffer with CMOS-controlled lateral SCR devices
US5714393A (en) * 1996-12-09 1998-02-03 Motorola, Inc. Diode-connected semiconductor device and method of manufacture
JP3222847B2 (ja) 1997-11-14 2001-10-29 松下電工株式会社 双方向形半導体装置
JP2000012950A (ja) 1998-04-23 2000-01-14 Matsushita Electron Corp 半導体レ―ザ装置
US6316793B1 (en) 1998-06-12 2001-11-13 Cree, Inc. Nitride based transistors on semi-insulating silicon carbide substrates
JP3180776B2 (ja) * 1998-09-22 2001-06-25 日本電気株式会社 電界効果型トランジスタ
US6344062B1 (en) * 1999-03-18 2002-02-05 The State University Rutgers Biomimetic controller for a multi-finger prosthesis
JP2000058871A (ja) 1999-07-02 2000-02-25 Citizen Watch Co Ltd 電子機器の集積回路
US6586781B2 (en) 2000-02-04 2003-07-01 Cree Lighting Company Group III nitride based FETs and HEMTs with reduced trapping and method for producing the same
JP3751791B2 (ja) 2000-03-28 2006-03-01 日本電気株式会社 ヘテロ接合電界効果トランジスタ
JP5130641B2 (ja) 2006-03-31 2013-01-30 サンケン電気株式会社 複合半導体装置
US7125786B2 (en) 2000-04-11 2006-10-24 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6475889B1 (en) 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US7892974B2 (en) * 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6580101B2 (en) 2000-04-25 2003-06-17 The Furukawa Electric Co., Ltd. GaN-based compound semiconductor device
US6624452B2 (en) * 2000-07-28 2003-09-23 The Regents Of The University Of California Gallium nitride-based HFET and a method for fabricating a gallium nitride-based HFET
US6727531B1 (en) * 2000-08-07 2004-04-27 Advanced Technology Materials, Inc. Indium gallium nitride channel high electron mobility transistors, and method of making the same
US6548333B2 (en) 2000-12-01 2003-04-15 Cree, Inc. Aluminum gallium nitride/gallium nitride high electron mobility transistors having a gate contact on a gallium nitride based cap segment
TW466768B (en) 2000-12-30 2001-12-01 Nat Science Council An In0.34Al0.66As0.85Sb0.15/InP HFET utilizing InP channels
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6849882B2 (en) * 2001-05-11 2005-02-01 Cree Inc. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer
CN1557024B (zh) 2001-07-24 2010-04-07 美商克立股份有限公司 绝缘栅铝镓氮化物/氮化钾高电子迁移率晶体管(hemt)
JP4177048B2 (ja) 2001-11-27 2008-11-05 古河電気工業株式会社 電力変換装置及びそれに用いるGaN系半導体装置
US7030428B2 (en) * 2001-12-03 2006-04-18 Cree, Inc. Strain balanced nitride heterojunction transistors
JP2003244943A (ja) 2002-02-13 2003-08-29 Honda Motor Co Ltd 電源装置の昇圧装置
US6876213B2 (en) * 2002-02-22 2005-04-05 Johnstech International Corporation Compliant actuator for IC test fixtures
US7919791B2 (en) * 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
US6982204B2 (en) 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
JP4990497B2 (ja) * 2002-08-22 2012-08-01 ヴィクソム ヒューマン バイオニクス インコーポレーテッド 下肢人工固有受容体の位置決め
US6914273B2 (en) * 2002-08-26 2005-07-05 University Of Florida Research Foundation, Inc. GaN-type enhancement MOSFET using hetero structure
US7199640B2 (en) * 2002-10-29 2007-04-03 Dxp B.V. Bi-directional double NMOS switch
JP3994956B2 (ja) * 2002-12-18 2007-10-24 ソニー株式会社 ロボット装置、並びに負荷吸収装置及び負荷吸収方法
US7169634B2 (en) 2003-01-15 2007-01-30 Advanced Power Technology, Inc. Design and fabrication of rugged FRED
US20060118811A1 (en) 2003-02-04 2006-06-08 Shen Zheng Bi-directional power switch
JP2004260114A (ja) 2003-02-27 2004-09-16 Shin Etsu Handotai Co Ltd 化合物半導体素子
US7112860B2 (en) 2003-03-03 2006-09-26 Cree, Inc. Integrated nitride-based acoustic wave devices and methods of fabricating integrated nitride-based acoustic wave devices
US6979863B2 (en) 2003-04-24 2005-12-27 Cree, Inc. Silicon carbide MOSFETs with integrated antiparallel junction barrier Schottky free wheeling diodes and methods of fabricating the same
CA2427039C (en) 2003-04-29 2013-08-13 Kinectrics Inc. High speed bi-directional solid state switch
US7036769B2 (en) * 2003-05-14 2006-05-02 The Regents Of The University Of California Microstructures using carbon fiber composite honeycomb beams
US7033961B1 (en) * 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
US7274840B2 (en) * 2003-07-23 2007-09-25 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Clean and test for fluid within a reflection optical switch system
EP1665358B1 (en) 2003-09-09 2020-07-01 The Regents of The University of California Fabrication of single or multiple gate field plates
US7501669B2 (en) * 2003-09-09 2009-03-10 Cree, Inc. Wide bandgap transistor devices with field plates
WO2005062745A2 (en) * 2003-10-10 2005-07-14 The Regents Of The University Of California GaN/AlGaN/GaN DISPERSION-FREE HIGH ELECTRON MOBILITY TRANSISTORS
US7268375B2 (en) 2003-10-27 2007-09-11 Sensor Electronic Technology, Inc. Inverted nitride-based semiconductor structure
US6867078B1 (en) * 2003-11-19 2005-03-15 Freescale Semiconductor, Inc. Method for forming a microwave field effect transistor with high operating voltage
US7071498B2 (en) 2003-12-17 2006-07-04 Nitronex Corporation Gallium nitride material devices including an electrode-defining layer and methods of forming the same
US20050133816A1 (en) * 2003-12-19 2005-06-23 Zhaoyang Fan III-nitride quantum-well field effect transistors
US7901994B2 (en) * 2004-01-16 2011-03-08 Cree, Inc. Methods of manufacturing group III nitride semiconductor devices with silicon nitride layers
US7045404B2 (en) * 2004-01-16 2006-05-16 Cree, Inc. Nitride-based transistors with a protective layer and a low-damage recess and methods of fabrication thereof
US8174048B2 (en) 2004-01-23 2012-05-08 International Rectifier Corporation III-nitride current control device and method of manufacture
US7382001B2 (en) * 2004-01-23 2008-06-03 International Rectifier Corporation Enhancement mode III-nitride FET
US7170111B2 (en) * 2004-02-05 2007-01-30 Cree, Inc. Nitride heterojunction transistors having charge-transfer induced energy barriers and methods of fabricating the same
US7612390B2 (en) 2004-02-05 2009-11-03 Cree, Inc. Heterojunction transistors including energy barriers
US7550781B2 (en) 2004-02-12 2009-06-23 International Rectifier Corporation Integrated III-nitride power devices
US7465997B2 (en) 2004-02-12 2008-12-16 International Rectifier Corporation III-nitride bidirectional switch
US7084475B2 (en) 2004-02-17 2006-08-01 Velox Semiconductor Corporation Lateral conduction Schottky diode with plural mesas
US7550783B2 (en) * 2004-05-11 2009-06-23 Cree, Inc. Wide bandgap HEMTs with source connected field plates
US7573078B2 (en) 2004-05-11 2009-08-11 Cree, Inc. Wide bandgap transistors with multiple field plates
US7432142B2 (en) 2004-05-20 2008-10-07 Cree, Inc. Methods of fabricating nitride-based transistors having regrown ohmic contact regions
US7332795B2 (en) * 2004-05-22 2008-02-19 Cree, Inc. Dielectric passivation for semiconductor devices
WO2006001369A1 (ja) 2004-06-24 2006-01-05 Nec Corporation 半導体装置
JP4744109B2 (ja) 2004-07-20 2011-08-10 トヨタ自動車株式会社 半導体装置とその製造方法
JP2006033723A (ja) 2004-07-21 2006-02-02 Sharp Corp 電力制御用光結合素子およびこの電力制御用光結合素子を用いた電子機器
US7238560B2 (en) * 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
JP2006114886A (ja) 2004-09-14 2006-04-27 Showa Denko Kk n型III族窒化物半導体積層構造体
US7155786B2 (en) * 2004-10-15 2007-01-02 Illinois Tool Works Inc Quick release buckle
US7108428B2 (en) * 2004-10-19 2006-09-19 American Axle & Manufacturing, Inc. Axle assembly with bearing adjustment mechanism
US7265399B2 (en) 2004-10-29 2007-09-04 Cree, Inc. Asymetric layout structures for transistors and methods of fabricating the same
FR2877227B1 (fr) * 2004-11-03 2008-01-04 Braun Medical Soc Par Actions Systeme medical d'injection a optimisation de therapie
JP4650224B2 (ja) * 2004-11-19 2011-03-16 日亜化学工業株式会社 電界効果トランジスタ
JP4637553B2 (ja) * 2004-11-22 2011-02-23 パナソニック株式会社 ショットキーバリアダイオード及びそれを用いた集積回路
US7709859B2 (en) * 2004-11-23 2010-05-04 Cree, Inc. Cap layers including aluminum nitride for nitride-based transistors
US7456443B2 (en) 2004-11-23 2008-11-25 Cree, Inc. Transistors having buried n-type and p-type regions beneath the source region
US7161194B2 (en) * 2004-12-06 2007-01-09 Cree, Inc. High power density and/or linearity transistors
US7834380B2 (en) * 2004-12-09 2010-11-16 Panasonic Corporation Field effect transistor and method for fabricating the same
US7217960B2 (en) 2005-01-14 2007-05-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US7429534B2 (en) 2005-02-22 2008-09-30 Sensor Electronic Technology, Inc. Etching a nitride-based heterostructure
US7253454B2 (en) 2005-03-03 2007-08-07 Cree, Inc. High electron mobility transistor
US11791385B2 (en) 2005-03-11 2023-10-17 Wolfspeed, Inc. Wide bandgap transistors with gate-source field plates
US7321132B2 (en) * 2005-03-15 2008-01-22 Lockheed Martin Corporation Multi-layer structure for use in the fabrication of integrated circuit devices and methods for fabrication of same
US7465967B2 (en) 2005-03-15 2008-12-16 Cree, Inc. Group III nitride field effect transistors (FETS) capable of withstanding high temperature reverse bias test conditions
JP4912604B2 (ja) 2005-03-30 2012-04-11 住友電工デバイス・イノベーション株式会社 窒化物半導体hemtおよびその製造方法。
JP4756557B2 (ja) 2005-04-22 2011-08-24 ルネサスエレクトロニクス株式会社 半導体装置
US7544963B2 (en) 2005-04-29 2009-06-09 Cree, Inc. Binary group III-nitride based high electron mobility transistors
US7615774B2 (en) 2005-04-29 2009-11-10 Cree.Inc. Aluminum free group III-nitride based high electron mobility transistors
US7364988B2 (en) * 2005-06-08 2008-04-29 Cree, Inc. Method of manufacturing gallium nitride based high-electron mobility devices
US7326971B2 (en) * 2005-06-08 2008-02-05 Cree, Inc. Gallium nitride based high-electron mobility devices
US7408399B2 (en) 2005-06-27 2008-08-05 International Rectifier Corporation Active driving of normally on, normally off cascoded configuration devices through asymmetrical CMOS
US7855401B2 (en) 2005-06-29 2010-12-21 Cree, Inc. Passivation of wide band-gap based semiconductor devices with hydrogen-free sputtered nitrides
EP1899592A1 (de) * 2005-07-06 2008-03-19 Team Orion Europe SA Zweitaktmotor, insbesondere für modelle von land-, wasser oder luftfahrzeugen
WO2007006001A2 (en) * 2005-07-06 2007-01-11 International Rectifier Corporation Iii-nitride enhancement mode devices
JP4730529B2 (ja) * 2005-07-13 2011-07-20 サンケン電気株式会社 電界効果トランジスタ
US20070018199A1 (en) * 2005-07-20 2007-01-25 Cree, Inc. Nitride-based transistors and fabrication methods with an etch stop layer
US7548112B2 (en) * 2005-07-21 2009-06-16 Cree, Inc. Switch mode power amplifier using MIS-HEMT with field plate extension
KR100610639B1 (ko) * 2005-07-22 2006-08-09 삼성전기주식회사 수직 구조 질화갈륨계 발광다이오드 소자 및 그 제조방법
JP4751150B2 (ja) * 2005-08-31 2011-08-17 株式会社東芝 窒化物系半導体装置
EP2312635B1 (en) 2005-09-07 2020-04-01 Cree, Inc. Transistors with fluorine treatment
US7948011B2 (en) * 2005-09-16 2011-05-24 The Regents Of The University Of California N-polar aluminum gallium nitride/gallium nitride enhancement-mode field effect transistor
US7482788B2 (en) * 2005-10-12 2009-01-27 System General Corp. Buck converter for both full load and light load operations
US8114717B2 (en) 2005-11-15 2012-02-14 The Regents Of The University Of California Methods to shape the electric field in electron devices, passivate dislocations and point defects, and enhance the luminescence efficiency of optical devices
US7932539B2 (en) 2005-11-29 2011-04-26 The Hong Kong University Of Science And Technology Enhancement-mode III-N devices, circuits, and methods
JP2007150074A (ja) 2005-11-29 2007-06-14 Rohm Co Ltd 窒化物半導体発光素子
TW200723624A (en) * 2005-12-05 2007-06-16 Univ Nat Chiao Tung Process of producing group III nitride based reflectors
KR100661602B1 (ko) 2005-12-09 2006-12-26 삼성전기주식회사 수직 구조 질화갈륨계 led 소자의 제조방법
JP2007165446A (ja) 2005-12-12 2007-06-28 Oki Electric Ind Co Ltd 半導体素子のオーミックコンタクト構造
US7419892B2 (en) 2005-12-13 2008-09-02 Cree, Inc. Semiconductor devices including implanted regions and protective layers and methods of forming the same
JP5065595B2 (ja) 2005-12-28 2012-11-07 株式会社東芝 窒化物系半導体装置
WO2007077666A1 (ja) 2005-12-28 2007-07-12 Nec Corporation 電界効果トランジスタ、ならびに、該電界効果トランジスタの作製に供される多層エピタキシャル膜
US7592211B2 (en) 2006-01-17 2009-09-22 Cree, Inc. Methods of fabricating transistors including supported gate electrodes
US7709269B2 (en) 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
JP2007215331A (ja) 2006-02-10 2007-08-23 Hitachi Ltd 昇圧回路
US7566918B2 (en) 2006-02-23 2009-07-28 Cree, Inc. Nitride based transistors for millimeter wave operation
JP2007242853A (ja) 2006-03-08 2007-09-20 Sanken Electric Co Ltd 半導体基体及びこれを使用した半導体装置
EP2677544B1 (en) 2006-03-16 2015-04-22 Fujitsu Limited Compound Semiconductor Device and Manufacturing Method of the Same
TW200742076A (en) * 2006-03-17 2007-11-01 Sumitomo Chemical Co Semiconductor field effect transistor and method of manufacturing the same
DE112007000667T5 (de) 2006-03-20 2009-01-29 International Rectifier Corp., El Segundo Vereinigter Gate-Kaskoden-Transistor
US7388236B2 (en) 2006-03-29 2008-06-17 Cree, Inc. High efficiency and/or high power density wide bandgap transistors
US7745851B2 (en) 2006-04-13 2010-06-29 Cree, Inc. Polytype hetero-interface high electron mobility device and method of making
US7629627B2 (en) 2006-04-18 2009-12-08 University Of Massachusetts Field effect transistor with independently biased gates
EP1883141B1 (de) 2006-07-27 2017-05-24 OSRAM Opto Semiconductors GmbH LD oder LED mit Übergitter-Mantelschicht
TW200830550A (en) 2006-08-18 2008-07-16 Univ California High breakdown enhancement mode gallium nitride based high electron mobility transistors with integrated slant field plate
KR100782430B1 (ko) * 2006-09-22 2007-12-05 한국과학기술원 고전력을 위한 내부전계전극을 갖는 갈륨나이트라이드기반의 고전자 이동도 트랜지스터 구조
JP4282708B2 (ja) * 2006-10-20 2009-06-24 株式会社東芝 窒化物系半導体装置
US7692263B2 (en) * 2006-11-21 2010-04-06 Cree, Inc. High voltage GaN transistors
JP5114947B2 (ja) 2006-12-28 2013-01-09 富士通株式会社 窒化物半導体装置とその製造方法
JP2008199771A (ja) 2007-02-13 2008-08-28 Fujitsu Ten Ltd 昇圧回路制御装置、及び昇圧回路
US7655962B2 (en) 2007-02-23 2010-02-02 Sensor Electronic Technology, Inc. Enhancement mode insulated gate heterostructure field-effect transistor with electrically isolated RF-enhanced source contact
US8110425B2 (en) 2007-03-20 2012-02-07 Luminus Devices, Inc. Laser liftoff structure and related methods
US7501670B2 (en) 2007-03-20 2009-03-10 Velox Semiconductor Corporation Cascode circuit employing a depletion-mode, GaN-based FET
US20090085065A1 (en) * 2007-03-29 2009-04-02 The Regents Of The University Of California Method to fabricate iii-n semiconductor devices on the n-face of layers which are grown in the iii-face direction using wafer bonding and substrate removal
US7935985B2 (en) 2007-03-29 2011-05-03 The Regents Of The University Of Califonia N-face high electron mobility transistors with low buffer leakage and low parasitic resistance
FR2914500B1 (fr) 2007-03-30 2009-11-20 Picogiga Internat Dispositif electronique a contact ohmique ameliore
JP5292716B2 (ja) 2007-03-30 2013-09-18 富士通株式会社 化合物半導体装置
CN101312207B (zh) 2007-05-21 2011-01-05 西安捷威半导体有限公司 增强型hemt器件及其制造方法
JP4478175B2 (ja) * 2007-06-26 2010-06-09 株式会社東芝 半導体装置
US8003525B2 (en) * 2007-06-29 2011-08-23 Fujitsu Limited Semiconductor device and method of manufacturing the same
CN101359686B (zh) * 2007-08-03 2013-01-02 香港科技大学 可靠的常关型ⅲ-氮化物有源器件结构及相关方法和系统
JP4775859B2 (ja) * 2007-08-24 2011-09-21 シャープ株式会社 窒化物半導体装置とそれを含む電力変換装置
US7875537B2 (en) * 2007-08-29 2011-01-25 Cree, Inc. High temperature ion implantation of nitride based HEMTs
EP2887402B1 (en) 2007-09-12 2019-06-12 Transphorm Inc. III-nitride bidirectional switches
US7795642B2 (en) 2007-09-14 2010-09-14 Transphorm, Inc. III-nitride devices with recessed gates
US20090075455A1 (en) * 2007-09-14 2009-03-19 Umesh Mishra Growing N-polar III-nitride Structures
US7915643B2 (en) * 2007-09-17 2011-03-29 Transphorm Inc. Enhancement mode gallium nitride power devices
US20090072269A1 (en) * 2007-09-17 2009-03-19 Chang Soo Suh Gallium nitride diodes and integrated components
CN101897029B (zh) 2007-12-10 2015-08-12 特兰斯夫公司 绝缘栅e模式晶体管
US7965126B2 (en) 2008-02-12 2011-06-21 Transphorm Inc. Bridge circuits and their components
WO2009113612A1 (ja) * 2008-03-12 2009-09-17 日本電気株式会社 半導体装置
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
US7985986B2 (en) 2008-07-31 2011-07-26 Cree, Inc. Normally-off semiconductor devices
TWI371163B (en) * 2008-09-12 2012-08-21 Glacialtech Inc Unidirectional mosfet and applications thereof
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
JP2010087076A (ja) 2008-09-30 2010-04-15 Oki Electric Ind Co Ltd 半導体装置
US7898004B2 (en) 2008-12-10 2011-03-01 Transphorm Inc. Semiconductor heterostructure diodes
US7884394B2 (en) 2009-02-09 2011-02-08 Transphorm Inc. III-nitride devices and circuits
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US8390000B2 (en) 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US8138529B2 (en) 2009-11-02 2012-03-20 Transphorm Inc. Package configurations for low EMI circuits
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US8624662B2 (en) 2010-02-05 2014-01-07 Transphorm Inc. Semiconductor electronic components and circuits
US8643062B2 (en) 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8786327B2 (en) 2011-02-28 2014-07-22 Transphorm Inc. Electronic components with reactive filters
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US8648643B2 (en) 2012-02-24 2014-02-11 Transphorm Inc. Semiconductor power modules and devices

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7126212B2 (en) * 1999-10-01 2006-10-24 Ziptronix, Inc. Three dimensional device integration method and integrated device
US20070295985A1 (en) * 2001-02-23 2007-12-27 Nitronex Corporation Gallium nitride material devices and methods of forming the same
CN1748320A (zh) * 2002-12-16 2006-03-15 日本电气株式会社 场效应晶体管
CN1551373A (zh) * 2003-05-15 2004-12-01 松下电器产业株式会社 半导体装置
CN1722465A (zh) * 2004-07-14 2006-01-18 株式会社东芝 氮化物半导体器件
US20070145417A1 (en) * 2005-03-29 2007-06-28 Brar Berinder P S High voltage semiconductor device having a lateral channel and enhanced gate-to-drain separation
CN101185158A (zh) * 2005-07-08 2008-05-21 松下电器产业株式会社 晶体管及其驱动方法
US20070131968A1 (en) * 2005-11-25 2007-06-14 Matsushita Electric Industrial Co., Ltd. Field effect transistor
CN101308796A (zh) * 2007-05-16 2008-11-19 冲电气工业株式会社 场效应晶体管及其制造方法

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104838498B (zh) * 2012-10-05 2018-10-09 美光科技公司 与去除半导体装置中寄生传导相关的装置、系统和方法
CN104838498A (zh) * 2012-10-05 2015-08-12 美光科技公司 与去除半导体装置中寄生传导相关的装置、系统和方法
CN104798206B (zh) * 2013-03-15 2018-02-27 Hrl实验室有限责任公司 具有工程衬底的iii族氮化物晶体管
US9773884B2 (en) 2013-03-15 2017-09-26 Hrl Laboratories, Llc III-nitride transistor with engineered substrate
CN104798206A (zh) * 2013-03-15 2015-07-22 Hrl实验室有限责任公司 具有工程衬底的iii族氮化物晶体管
CN105190896A (zh) * 2013-05-03 2015-12-23 德克萨斯仪器股份有限公司 Resurf iii-n高电子迁移率晶体管
CN104851778B (zh) * 2014-02-17 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种晶圆级封装工艺中致密化钝化层的方法
CN104851778A (zh) * 2014-02-17 2015-08-19 中芯国际集成电路制造(上海)有限公司 一种晶圆级封装工艺中致密化钝化层的方法
CN104037221B (zh) * 2014-07-02 2017-01-25 西安电子科技大学 一种基于极化效应的复合场板高性能AlGaN/GaN HEMT器件结构及制作方法
CN104037221A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于极化效应的复合场板高性能AlGaN/GaN HEMT器件结构及制作方法
CN104037215B (zh) * 2014-07-02 2017-01-18 西安电子科技大学 一种基于聚合物的增强型AlGaN/GaN MISHEMT器件结构及其制作方法
CN104037219B (zh) * 2014-07-02 2017-01-18 西安电子科技大学 一种基于栅结构的增强型AlGaN/GaN HEMT器件结构及其制作方法
CN104037220B (zh) * 2014-07-02 2017-01-25 西安电子科技大学 一种基于偶极子层浮栅结构的增强型AlGaN/GaN MISHEMT器件结构及其制作方法
CN104037217B (zh) * 2014-07-02 2017-01-25 西安电子科技大学 一种基于复合偶极层的AlGaN/GaN HEMT开关器件结构及制作方法
CN104037217A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于复合偶极层的AlGaN/GaN HEMT开关器件结构及制作方法
CN104037219A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于栅结构的增强型AlGaN/GaN HEMT器件结构及其制作方法
CN104037215A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于聚合物的增强型AlGaN/GaN MISHEMT器件结构及制作方法
CN104037216B (zh) * 2014-07-02 2016-11-16 西安电子科技大学 一种基于偶极层的高压AlGaN/GaN MISHEMT器件结构及其制作方法
CN104037220A (zh) * 2014-07-02 2014-09-10 西安电子科技大学 一种基于偶级子层浮栅结构的增强型AlGaNGaNMISHEMT器件结构及其制作方法
WO2018149029A1 (zh) * 2017-02-17 2018-08-23 昆山华太电子技术有限公司 一种高可靠性hemt制作方法
CN106920747A (zh) * 2017-02-17 2017-07-04 昆山华太电子技术有限公司 一种高可靠性hemt制作方法
CN110459610A (zh) * 2018-05-08 2019-11-15 山东浪潮华光光电子股份有限公司 一种GaN基斜型栅极HEMT器件及其制备方法
CN113659001A (zh) * 2021-09-14 2021-11-16 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN113659000A (zh) * 2021-09-14 2021-11-16 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN113659000B (zh) * 2021-09-14 2024-04-30 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
WO2023122863A1 (zh) * 2021-12-27 2023-07-06 华为技术有限公司 一种集成电路、其制备方法及电子设备
CN114709256A (zh) * 2022-05-25 2022-07-05 深圳市时代速信科技有限公司 一种半导体器件和半导体器件的制备方法
CN114709256B (zh) * 2022-05-25 2022-08-23 深圳市时代速信科技有限公司 一种半导体器件和半导体器件的制备方法

Also Published As

Publication number Publication date
WO2011072027A2 (en) 2011-06-16
US10199217B2 (en) 2019-02-05
US9496137B2 (en) 2016-11-15
US20110140172A1 (en) 2011-06-16
CN102714219B (zh) 2015-06-03
US20130210220A1 (en) 2013-08-15
US8389977B2 (en) 2013-03-05
US20170025267A1 (en) 2017-01-26
WO2011072027A3 (en) 2011-09-22

Similar Documents

Publication Publication Date Title
CN102714219B (zh) 反侧设计的iii-氮化物器件
TWI538199B (zh) 三族氮化物元件結構與形成方法
KR102403038B1 (ko) 가공된 기판과 통합된 전자 전력 디바이스
US11271101B2 (en) RF device integrated on an engineered substrate
CN103765592B (zh) 用于生长iii‑v外延层的方法
US10734486B2 (en) Lateral high electron mobility transistor with integrated clamp diode
TW200537562A (en) Ⅲ-Nitride device passivation and method
CN111512415B (zh) 用于工程化衬底上的集成式器件的系统和方法
US20220149034A1 (en) Microelectronic device and method for making the same
TW201222677A (en) Group III-N HEMT with an increased buffer breakdown voltage
US20210057528A1 (en) Semiconductor Device and Method
US20110156052A1 (en) Semiconductor device having JFET and method for manufacturing the same
US8546207B2 (en) Method for fabricating semiconductor wafers for the integration of silicon components with HEMTs, and appropriate semiconductor layer arrangement
US11342451B2 (en) Semiconductor device and method of fabricating a semiconductor device
CN112420827A (zh) N面GaN HEMT器件及其制作方法
US20230420542A1 (en) Method for producing a transistor with a high degree of electron mobility, and produced transistor
US20190288099A1 (en) Semiconductor structures and method for fabricating the same
WO2013007705A1 (en) Electronic device based on a gallium compound over a silicon substrate, and manufacturing method thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant