CN102034760B - 三维半导体存储器器件及其制造方法 - Google Patents

三维半导体存储器器件及其制造方法 Download PDF

Info

Publication number
CN102034760B
CN102034760B CN201010505766.7A CN201010505766A CN102034760B CN 102034760 B CN102034760 B CN 102034760B CN 201010505766 A CN201010505766 A CN 201010505766A CN 102034760 B CN102034760 B CN 102034760B
Authority
CN
China
Prior art keywords
gate electrode
substrate
active patterns
groove
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010505766.7A
Other languages
English (en)
Other versions
CN102034760A (zh
Inventor
金镇瑚
孙丙根
金汉洙
李源俊
张大铉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN102034760A publication Critical patent/CN102034760A/zh
Application granted granted Critical
Publication of CN102034760B publication Critical patent/CN102034760B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0688Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42336Gate electrodes for transistors with a floating gate with one gate at least partly formed in a trench
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42352Gate electrodes for transistors with charge trapping gate insulator with the gate at least partly formed in a trench
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors

Abstract

本发明提供了一种三维半导体存储器器件及其制造方法。制造半导体存储器器件的方法包括:在衬底上,交替并重复地堆叠牺牲层和绝缘层;形成穿过所述牺牲层和所述绝缘层的有源图案;对所述绝缘层和所述牺牲层连续构图,以形成沟槽;去除所述沟槽中暴露的牺牲层以形成凹进区,暴露所述有源图案的侧壁;在所述衬底上形成信息储存层;在所述信息储存层上形成栅传导层,使得所述栅传导层填充所述凹进区并且限定所述沟槽中的空区,所述空区由所述栅传导层环绕;以及对所述栅传导层执行各向同性蚀刻工艺,以在所述凹进区中形成栅电极,使得所述栅电极彼此分开。

Description

三维半导体存储器器件及其制造方法
技术领域
本公开在此涉及一种半导体器件及其制造方法,更具体而言,涉及三维半导体存储器器件及其制造方法。
背景技术
随着电子工业发展到高水平,半导体器件的集成度得以提高。半导体器件的较高集成度是决定产品价格的重要因素。换言之,随着半导体器件的集成度增大,半导体器件的产品价格会降低。因此,对半导体器件的较高集成度的需求越来越大。通常,由于半导体器件的集成度主要由单位存储器单元所占的面积来确定,因此集成度会受图案小型化程度,即精细图案形成技术的水平的很大影响。然而,由于半导体设备非常昂贵和/或半导体制造工艺的困难,导致图案小型化会受到限制。
为了克服这种限制,近来已经提出了三维半导体存储器器件。然而,传统的三维半导体存储器器件会需要不稳定的处理和/或会表现出低产品可靠性。
发明内容
因此,实施例涉及三维半导体存储器器件及其制造方法,其基本上克服了由于现有技术的限制和缺陷导致的一个或多个问题。
因此,实施例的特征在于提供为了更高的集成度而最优化的三维半导体存储器器件。
因此,实施例的另一个特征在于提供具有优良可靠性的三维半导体存储器器件。
因此,实施例的又一个特征在于提供可以增强制造工艺中的工艺余量的三维半导体存储器器件。
因此,实施例的再一个特征在于提供制造具有一个或多个以上特征的三维半导体存储器器件的方法。
以上和其他特征以及优点中的至少一个可以通过提供一种制造半导体器件的方法来实现,该方法包括:在衬底上,交替并重复地堆叠牺牲层和绝缘层;形成穿过牺牲层和绝缘层的有源图案;对绝缘层和牺牲层连续构图,以形成沟槽;去除沟槽中暴露的牺牲层以形成暴露有源图案的侧壁的凹进区;在衬底上形成信息储存层;在具有信息储存层的衬底上形成填充凹进区的栅传导层,使得在沟槽中限定通过栅传导层环绕的空区(empty region);以及对具有空区的栅传导层执行各向同性蚀刻工艺,以形成栅电极,所述栅电极分别设置在凹进区中并且彼此分开。
在一些实施例中,空区的底表面可以由栅传导层构成,以及空区的底表面可以低于填充凹进区之中最下面的凹进区的栅传导层的一部分的顶表面。
在其他实施例中,通过各向同性蚀刻工艺,使与沟槽相邻的栅电极的侧壁可以比与沟槽相邻的构图的绝缘层的侧壁横向更多地凹进。
在另外其他的实施例中,以上方法还可以包括形成器件隔离图案,所述器件隔离图案填充沟槽和与栅电极相邻的部分凹进区。
在其他实施例中,可以形成具有倾斜侧壁的沟槽,所述沟槽的下端的宽度可以小于沟槽的上端的宽度。在这种情况下,栅电极之中最上面的栅电极的宽度可以小于最下面的栅电极的宽度。
在另外的实施例中,在堆叠牺牲层和绝缘层之前,以上方法还可以包括在衬底上形成缓冲电介质。最下面的牺牲层可以直接形成在缓冲电介质上。
以上和其他特征以及优点中的至少一个还可以通过提供一种半导体存储器器件来实现,该半导体存储器器件包括:栅电极和绝缘图案,所述栅电极和所述绝缘图案交替并重复地堆叠在衬底上;有源图案,所述有源图案穿过绝缘图案和栅电极;信息储存层,所述信息储存层设置在有源图案和栅电极之间;以及器件隔离图案,所述器件隔离图案被设置在堆叠的绝缘图案和栅电极的一侧处的衬底上。栅电极和绝缘图案可以具有与器件隔离图案相邻的外侧壁,以及与直接设置在栅电极中的每个栅电极上的绝缘图案的外侧壁相比,栅电极中的每个栅电极的外侧壁可以向着有源图案横向更多地凹进。
在另外的实施例中,可以通过凹进的栅电极中的每个栅电极的外侧壁来限定底切(undercut)区,并且器件隔离图案可以延伸,以填充底切区。
在另外的实施例中,栅电极的顶表面可以具有平行于衬底的顶表面.在第一方向上的宽度。栅电极和绝缘图案可以在第二方向上延伸,所述第二方向垂直于第一方向并且平行于衬底的顶表面。此时,栅电极之中最下面的栅电极的宽度可以大于最上面的栅电极的宽度。在这种情况下,绝缘图案的外侧壁可以是倾斜的。
在另外的实施例中,栅电极之中最下面的栅电极可以控制最下面的栅电极下方的衬底中限定的第一沟道区,以及控制与最下面的栅电极相邻的有源图案中的第二沟道区。此时,第一沟道区的阈值电压可以不同于第二沟道区的阈值电压。
附图说明
通过参照附图详细描述示例性实施例,对于本领域的普通技术人员来说,以上和其他特征和优点将变得更清楚,在附图中:
图1至图8示出根据一个实施例的制造三维半导体存储器器件的方法中的阶段的透视图;
图9示出图6的A部分的详细横截面图;
图10示出根据一个实施例的三维半导体存储器器件的透视图;
图11示出图10的B部分的详细横截面图;
图12示出图10的C部分的详细横截面图;
图13示出根据一个实施例的三维半导体存储器器件的更改实例的透视图;
图14至图17示出在根据另一个实施例的制造三维半导体存储器器件的方法中的阶段的透视图;
图18示出根据另一个实施例的三维半导体存储器器件的透视图;
图19示出根据一个实施例的包括半导体存储器器件的电子系统的示例性框图;以及
图20示出根据一个实施例的包括半导体存储器器件的存储器卡的框图。
具体实施方式
2009年9月29日在韩国知识产权局提交的标题为“Three-Dimensional Semiconductor Memory Device and Method ofFabricating the Same”的韩国专利申请No.10-2009-0092452的全部内容通过引用结合于此。
以下将参照附图更详细地描述本发明构思的示例性实施例。然而,本发明构思可以按不同形式实施,并且不应该被理解为限于本文所述的实施例。更确切地说,提供这些实施例,使得本发明对本领域的技术人员来说将是彻底和完全的,并且将把本发明构思的范围充分传达给本领域的技术人员。
在全文中,还将理解的是,当层(或元件)被称作在另一个层或衬底上时,它可以直接在另一个层或衬底上,或者还会存在中间层。另外,还将理解的是,当层被称作在两个层之间时,它可以是这两层之间的唯一层,或者还可以存在一个或多个中间层。另外,在附图中,为了图示的清晰起见,夸大了层和区域的尺寸。另外,尽管比如“第一”.“第二”和“第三”等术语用于描述本发明构思的各种实施例中的各种区域和层,但是区域和层不限于这些术语。这些术语只是用来将一个区域或层与另一个区域或层区分开。因此,在一个实施例中被称作第一层的层在另一个实施例中可以被称作第二层。本文描述和作为实例的实施例包括其互补的实施例。词语“和/或”意味着可能是相关组成元件中的一个或多个或组合。类似的附图标记始终表示类似的元件。
<实施例1>
图1至图8示出根据一个实施例的制造三维半导体存储器器件的方法中的阶段的透视图。图9示出图6中的A部分的详细横截面图。
参照图1,在衬底100上可以交替并且重复地堆叠牺牲层110L.110.110U和绝缘层120.120U。衬底100可以是半导体衬底。例如,衬底100可以是硅衬底.锗衬底.硅-锗衬底.化合物半导体衬底等。可以用第一传导类型掺杂剂来掺杂衬底100。牺牲层110L.110.110U可以优选地由相对于绝缘层120.120U具有蚀刻选择性的材料形成。例如,绝缘层120.120U可以由氧化物形成,并且牺牲层110L.110.110U可以包含氮化物和/或氧氮化物。本发明构思不限于此。绝缘层120.120U可以由其他绝缘材料形成,并且牺牲层110L.110.110U可以由相对于绝缘层120.120U具有蚀刻选择性的其他材料形成。牺牲层110L.110.110U可以由相同的材料形成。同样地,绝缘层120.120U可以由相同的材料形成。
例如,牺牲层110L.110.110U可以被形成为具有基本相同的厚度。在另一个实例中,在牺牲层110L.110.110U之中,最下面的牺牲层110L和最上面的牺牲层110U可以比在最下面的牺牲层110L与最上面的牺牲层110U之间的牺牲层110厚。在这种情况下,在最下面的牺牲层110L与最上面的牺牲层110U之间的牺牲层110可以具有基本相同的厚度。在又一个实例中,在绝缘层120.120U之中,最上面的绝缘层120U可以比下面的绝缘层120厚。在最上面的绝缘层120U下方的绝缘层120可以具有基本相同的厚度。
在形成牺牲层110L.110.110U和绝缘层120.120U之前,可以在衬底100上形成缓冲电介质105。最下面的牺牲层110L可以例如直接形成在缓冲电介质105上。缓冲电介质105可以由相对于牺牲层110L.110.110U具有蚀刻选择性的电介质材料形成。例如,缓冲电介质105可以由诸如热氧化物的氧化物形成。
在形成牺牲层110L.110.110U和绝缘层120.120U之前,可以将用于控制阈值电压的掺杂剂注入到衬底100的顶表面中。以下将给出关于注入掺杂剂的说明。
参照图2,绝缘层120.120U和牺牲层110L.110.110U可以被连续地构图,以形成暴露衬底100的沟道开口125。沟道开口125可以具有孔的形状。沟道开口125可以彼此分隔开。沟道开口125可以在第一方向和第二方向上二维地布置,第二方向垂直于第一方向。第一方向和第二方向平行于衬底100的顶表面。在附图中,x轴方向可以对应于第一方向,以及y轴方向可以对应于第二方向。从平面图来看,沟道开口125可以具有圆形.椭圆形或多边形的形状。然而,本发明构思不限于此。沟道开口125可以被提供成除了上述孔的形状之外的其他形状。根据一个实施例,沟道开口125可以具有在第一方向(即,x轴方向)上延伸的凹槽形状。
参照图3,在沟道开口125中可以形成有源图案130。有源图案130可以接触沟道开口125中暴露的衬底100。有源图案130可以在与第一方向和第二方向垂直的第三方向上.在沟道开口125的侧壁上延伸。第三方向可以对应于附图中的z轴方向。第三方向可以垂直于衬底100的顶表面。有源图案130可以由半导体材料形成。有源图案130可以掺杂有第一传导类型的掺杂剂或可以不被掺杂。现在将描述形成有源图案130的方法。
有源层(未示出)可以保形地形成在具有沟道开口125的衬底100上。通过使用化学气相沉积(CVD)法.原子层沉积(ALD)法等,可以形成有源层。有源层可以由硅.锗.硅-锗和/或化合物半导体材料形成。根据一个实施例,有源层可以由与衬底100相同的材料形成。有源层可以以多晶态存在。填充沟道开口125的层间电介质可以形成在有源层上。所填充的层间电介质可以由相对于牺牲层110L.110.110U具有蚀刻选择性的电介质材料形成。例如,所填充的层间电介质可以由氧化物等形成。可以将所填充的层间电介质和有源层平坦化,直到暴露绝缘层120U以形成沟道开口125中的有源图案130和电介质图案135。如图3中所示,有源图案130可以形成为中空管形状.中空圆柱形状.中空通心粉形状等,并且有源图案130的内部可以填充有电介质图案135。
同时,根据一个实施例,可以省略电介质图案135,所以有源图案(图13中的130a)可以完全填充沟道开口125,如图13中所示。在这种情况下,在有源层被形成为完全填充沟道开口125之后,可以将有源层平坦化,直到暴露最上面的绝缘层120U以形成有源图案。可替选地,可以通过使用沟道开口125中暴露的衬底作为种子层执行选择性外延生长工艺,以形成完全填充沟道开口125的有源图案(图13中的130a)。当通过选择性外延生长工艺形成有源图案时,有源图案可以以单晶态存在。
参照图4,绝缘层120U.120和牺牲层110L.110.110U可以连续地被构图,以形成沟槽140。沟槽140可以限定交替布置并重复堆叠的牺牲图案110La.110a.110Ua和绝缘图案120a.120Ua。沟槽140可以沿着第三方向延伸预定深度,并且可以沿着第二方向(y轴方向)彼此平行地延伸。由此,牺牲图案110La.110a.110Ua和绝缘图案120a.120Ua可以具有沿着第二方向(y轴方向)平行延伸的线形状。在第一方向(x轴方向)上布置的有源图案130可以限定一行,在第二方向(y轴方向)布置的有源图案130可以限定一列。在衬底100上可以布置两行或更多行以及两列或更多列。沟槽140中的每个可以设置在彼此相邻的一对列之间。一列中包括的两个或更多个有源图案130可以穿透包括交替并重复堆叠的牺牲图案110La.110a.110Ua和绝缘图案120a.120Ua的单个堆叠结构。
牺牲图案110La.110a.110Ua和绝缘图案120a.120Ua可以暴露在沟槽140的侧壁中。缓冲电介质105可以暴露在沟槽140的底部中。可替选地,当形成沟槽140时,可以蚀刻缓冲电介质105和衬底100,使得衬底100可以暴露在沟槽140的底部中。下文中,为了便于说明,将描述其中沟槽140的底表面对应于缓冲电介质105的实施例。
参照图5,沟槽140中暴露的牺牲图案110La.110a.110Ua可以被去除,以形成凹进区145L.145.145U。可以通过使用湿法蚀刻,去除所暴露的牺牲图案110La.110a.110Ua。凹进区145L.145.145U可以暴露有源图案130的侧壁中的一些部分。在凹进区145L.145.145U之中,可以通过去除最下面的牺牲图案110La形成最下面的凹进区145L,并且可以通过去除最上面的牺牲图案110Ua来形成最上面的凹进区145U。可以通过去除最下面的牺牲图案110La与最上面的牺牲图案110Ua之间的牺牲图案110a,形成最下面的凹进区145L与最上面的凹进区145U之间的凹进区145。最下面的凹进区145L的底表面可以由缓冲电介质105限定。在省略了缓冲电介质105的情况下,可以由衬底100限定最下面的凹进区145L的底表面。
参照图6,可以在具有凹进区145L.145.145U的衬底100上,形成信息储存层150。可以通过使用具有优良阶梯覆盖性的例如CVD或ALD的沉积技术,形成信息储存层150。由此,可以保形地形成信息储存层150。根据一个实施例,信息储存层150可以沿着凹进区145L.145.145U的内表面.以基本均匀的厚度形成。信息储存层150可以不完全填充凹进区145L.145.145U。
信息储存层150可以包括电荷储存层。例如,信息储存层150可以包括绝缘层等,所述绝缘层包括捕获绝缘层.浮置栅和/或传导纳米点。另外,信息储存层150还可以包括隧道绝缘层和阻挡绝缘层。隧道绝缘层可以形成为单层或多层结构。阻挡绝缘层也可以形成为单层或多层结构。阻挡绝缘层可以包含高k电介质,所述高k电介质具有的介电常数高于隧道绝缘层的介电常数。首先可以形成隧道绝缘层,其次可以形成电荷储存层,并且最后可以形成阻挡绝缘层。
在包括信息储存层150的衬底100上,可以形成栅传导层155,例如,栅传导层155可以直接形成在信息储存层150上。栅传导层155可以填充,例如,完全填充凹进区145L.145.145U。将参照图6和图9更详细地描述栅传导层155。
参照图6和图9,如上所述,栅传导层155可以填充凹进区145L.145.145U。此时,由栅传导层155环绕的空区160可以限定在沟槽140中。即,栅传导层155可以部分地填充沟槽140,并且沟槽140的剩余部分可以是空的。
空区160的底表面161可以由栅传导层155限定。同样,空区160的侧壁可以由栅传导层155限定。空区160可以向上开口。如图9中所示,空区160的底表面161可以被设置成距离衬底100的顶表面第一高度H1。栅传导层155的一部分155a可以填充最下面的凹进区145L,并且可以具有顶表面154。栅传导层155的一部分155a的顶表面154可以接触最下面凹进区145L的顶表面与所述部分155a之间设置的信息储存层150。栅传导层155的一部分155a的顶表面154可以设置成距离衬底100的底表面第二高度H2。此时,第一高度H1可以小于第二高度H2。换言之,空区160的底表面161可以低于栅传导层155的一部分155a的顶表面154。
可以通过使用具有优良阶梯覆盖性的例如CVD或ALD的沉积工艺形成栅传导层155。栅传导层155可以由传导材料形成。例如,栅传导层155可以包含下述内容中的至少一个:金属(例如钨.铝.钛.钽等中的一种或多种).传导金属氮化物(例如氮化钛.氮化钽等中的一种或多种)以及掺杂的半导体材料(例如掺杂的硅.掺杂的锗.掺杂的硅-锗等中的一种或多种)。
参照图7,可以通过空区160对栅传导层155执行各向同性蚀刻工艺,例如,可以去除栅传导层155的一部分,以在相应凹进区145L.145.145U中形成栅电极157L.157.157U。栅电极157L.157.157U可以分别对应于凹进区145L.145.145U中设置的栅传导层155的预定部分。由于通过使用各向同性蚀刻工艺来蚀刻栅传导层155,因此栅电极157L.157.157U可以相互分离。在栅电极157L.157.157U之中,最下面的栅电极157L可以对应于下选择晶体管的栅,并且最上面的栅电极157U可以对应于上选择晶体管的栅。最下面的栅电极157L与最上面的栅电极157U之间的栅电极157可以分别对应于存储器单元的控制栅。
可以通过空区160执行各向同性蚀刻工艺。因此,可以基本上同时蚀刻空区160的侧壁和底表面。换言之,可以基本上同时蚀刻设置在绝缘图案120a.120Ua的外壁上用于连接栅电极157L.157.157U的栅传导层155中的部分。由此,各向同性蚀刻工艺中栅电极157L.157.157U的暴露时间基本上会是均匀的。结果,可以再现地形成栅电极157L.157.157U。另外,例如,由于暴露时间减小,导致对例如信息储存层150和/或沟槽结构的其他结构的蚀刻损害可以被最小化。
相比之下,在传统方法中,在完全填充沟槽之后,通过使用各向异性蚀刻工艺来蚀刻栅传导层,并且可以由于深沟槽导致栅传导层的蚀刻深度会非常深。因此,具有沟槽的衬底可以长时间地暴露于各向异性蚀刻工艺,使得沟槽的上拐角可以发生变形并且设置在高处的至少一些栅电极的形状也会受到损坏。因此,根据一个实施例通过各向同性蚀刻工艺.通过空区160对栅传导层155的蚀刻可以提供对(即,由栅传导层155限定的)空区160的侧壁和底表面的同时蚀刻。结果,可以缩短各向同性蚀刻工艺的处理时间,可以将沟槽140的变形最小化并且可以再现地制造栅电极157L.157.157U。
如上所述,空区160的底表面161可以被形成为比填充最下面的凹进区145L的栅传导层155的部分155a的顶表面154低。由此,最下面的栅电极157L可以与设置在不同高度的栅电极157.157U基本上同时地分开。
栅电极157L.157.157U可以具有与沟槽140相邻的外侧壁和与有源图案130相邻的内侧壁。另外,绝缘图案120a.120Ua可以具有与沟槽140相邻的外侧壁以及与有源图案130相邻的内侧壁。通过各向同性蚀刻工艺,栅电极157L.157.157U的外侧壁可以比绝缘图案120a.120Ua的外侧壁横向(即沿着x轴向着有源图案130)更多地凹进。栅电极157L.157.157U的外侧壁可以向着有源图案130凹进。由此,与栅电极157L.157.157U的外侧壁相邻的凹进区145L.145.145U的一些部分可以是空的。凹进区145L.145.145U的一些空部分可以具有底切区的形式。
通过各向同性蚀刻工艺,还可以去除绝缘图案120a.120Ua之中的最上面的绝缘图案120Ua上设置的栅传导层155。通过使用蚀刻剂进行湿法蚀刻,可以执行各向同性蚀刻工艺。可替选地,通过使用蚀刻气体进行干法蚀刻,可以执行各向同性蚀刻工艺。在通过使用干法蚀刻工艺执行各向同性蚀刻工艺的情况下,可以将游离基态(radical state)和/或离子态的蚀刻气体通过蚀刻气体的扩散提供到空区160中。由此,蚀刻气体可以执行各向同性蚀刻。
参照图8,公共源区170可以形成在沟槽140下方的衬底100中。公共源区170可以具有在第二方向(即,y轴方向)上延伸的线形状。公共源区170可以是掺杂有第二传导类型的掺杂剂的区域。可以通过将第二传导类型的掺杂剂离子注入到沟槽140下方的衬底100中,形成公共源区170。此时,最上面的绝缘图案120Ua可以用作离子注入掩模。在这种情况下,沟槽140或缓冲电介质105的底表面上设置的信息储存层150/沟槽140的底表面上设置的信息储存层150可以用作离子注入缓冲层。
可以在有源图案130的上部中形成漏区165。漏区165可以掺杂有第二传导类型的掺杂剂。可以通过将第二传导类型的掺杂剂提供到有源图案130的上部中来形成漏区165。漏区165的底表面可以高于最上面的栅电极157U的顶表面。可替选地,漏区165的底表面可以具有接近最上面栅电极157U的顶表面的高度。漏区165可以与公共源区170同时形成。可替选地,可以在形成公共源区170之前形成漏区165。在这种情况下,在形成沟槽之前并且在形成有源图案130之后,可以形成漏区165。根据一个实施例,在形成公共源区170之后,可以形成漏区165。
可以形成填充沟槽140的器件隔离图案175。器件隔离图案175可以填充凹进区145L.145.145U的空部分(即,由于栅电极157L.157.157U的外侧壁横向凹进而导致变空的部分)。现在,将描述形成器件隔离图案175的方法。可以在衬底100上形成填充沟槽140的器件隔离层和凹进区145L.145.145U的空部分。可以将器件隔离层平坦化,直到暴露出在最上面的绝缘图案120Ua上的信息储存层150,以形成器件隔离图案175。器件隔离图案175可以由具有优良间隙填充能力的绝缘材料形成。例如,器件隔离图案175可以由高密度等离子体氧化物层.旋涂玻璃(SOG)层和/或CVD氧化物层形成。在形成器件隔离图案175之后,可以蚀刻暴露的信息储存层150,以暴露最上面的绝缘图案120Ua。此时,可以暴露漏区165。
可以形成与漏区165电连接的位线(图10中的180)。由此,可以制造图10所示的三维半导体存储器器件。位线180可以在第一方向(即,x轴方向)上延伸。如图10中所示,位线180可以直接形成在最上面的绝缘图案120Ua和器件隔离图案175上。可替选地,在形成覆盖最上面的绝缘图案120Ua和器件隔离图案175的层间电介质之后,可以在层间电介质上形成位线180。在这种情况下,位线180可以经由穿透层间电介质的接触插塞与漏区165电连接。
图10示出根据一个实施例的三维半导体存储器器件的透视图,图11示出图10的B部分的详细横截面图,并且图12示出图10的C部分的详细横截面图。
参照图10,栅电极157L.157.157U和绝缘图案120a.120Ua可以交替并重复地堆叠在衬底100上。交替堆叠的栅电极157L.157.157U和绝缘图案120a.120Ua可以组成单个堆叠结构。在衬底100上可以设置多个堆叠结构。多个堆叠结构可以在与衬底100的顶表面平行的第一方向上相互分隔开。栅电极157L.157.157U和绝缘图案120a.120Ua可以在与衬底100的顶表面平行并且与第一方向垂直的第二方向上平行延伸。即,堆叠结构可以在第二方向上相互平行地延伸。第一方向可以对应于图10中的x轴方向,并且第二方向可以对应于图10中的y轴方向。
器件隔离图案175可以设置在彼此相邻的堆叠结构之间。换言之,器件隔离图案175可以设置在交替并重复堆叠的栅电极157L.157.157U和绝缘图案120a.120Ua的一侧的衬底100上。有源图案130可以穿透交替并重复堆叠的栅电极157L.157.157U和绝缘图案120a.120Ua。有源图案130可以在与第一方向和第二方向垂直的第三方向上延伸。第三方向可以是与衬底100的顶表面垂直的方向。第三方向可以对应于图10中的z轴方向。有源图案130可以接触衬底100。多个有源图案130中的每个可以穿透堆叠结构中的每个。信息储存层150可以设置在有源图案130的侧壁与栅电极157L.157.157U之间。穿透相应堆叠结构的多个有源图案130可以在第二方向上布置并且可以相互分隔开。衬底100上的有源图案130可以在第一方向和第二方向上二维地布置。如图10中所示,有源图案130可以具有中空管形状.中空圆柱形状.中空通心粉形状等。有源图案130的内部可以填充有电介质图案135。
有源图案130中的每个.环绕有源图案130中的每个的栅电极157L.157.157U以及在有源图案130中的每个与栅电极157L.157.157U之间的信息储存层150可以包括在单个垂直型单元串中。垂直型单元串可以包括串联连接并且堆叠的下选择晶体管.多个存储器单元和上选择晶体管。在栅电极157L.157.157U之中,最下面的栅电极157L对应于下选择晶体管的栅,并且最上面的栅电极157U对应于上选择晶体管的栅。在最下面的栅电极157L与最上面的栅电极157U之间的栅电极157分别对应于存储器单元的栅。栅电极157和有源图案130之间的信息储存层150对应于存储器单元的数据储存元件。最下面的栅电极157L和有源图案130之间的信息储存层150可以包括在下选择晶体管的栅电介质中,并且最上面的栅电极157U和有源图案130之间的信息储存层150可以包括在上选择晶体管的栅电介质中。
参照图10.图11和图12,栅电极157L.157.157U可以具有与有源图案130相邻的内侧壁以及与器件隔离图案175相邻的外侧壁158。同样,绝缘图案120a.120Ua可以具有与有源图案130相邻的内侧壁以及与器件隔离图案175相邻的外侧壁122。与例如直接在栅电极157L.157.157U中的每个上设置的绝缘图案120a.120Ua中的每个的外侧壁122相比,栅电极157L.157.157U中的每个栅电极的外侧壁158可以向着有源图案130更多地横向凹进,即,可以更靠近有源图案130。即,外侧壁158与有源图案130之间的距离可以小于外侧壁122和有源图案130之间的距离。换言之,绝缘图案120a.120Ua中每个绝缘图案的外侧壁122可以比每个相应的下面的栅电极157L.157.157U的外侧壁158相比更多地横向突出。可以由凹进的栅电极157L.157.157U中的每个的外侧壁158限定底切区。可以由栅电极157L.157.157U中的每个的外侧壁158以及下面和/或上面的绝缘图案120a.120Ua的突出部限定底切区。器件隔离图案175可以向着外侧壁158延伸,以填充底切区。
公共源区170可以设置在器件隔离图案175下方的衬底100中。公共源区170可以具有在第二方向(即,y轴方向)上延伸的线形状。漏区165可以设置在有源图案130的上部中。漏区165和公共源区170可以掺杂有相同传导类型的掺杂剂。位线180可以与漏区165电连接。位线180可以在第一方向(即,x轴方向)上延伸。即,位线180可以与栅电极157L.157.157U交叉。多个位线180可以设置在衬底100上。多个位线180可以彼此平行。一个位线180可以与多个漏区165电连接,所述多个漏区165在第一方向上布置并且形成在组成一列的多个有源图案130中。位线180可以设置在最上面的绝缘图案120Ua和器件隔离图案175上。位线180可以设置在最上面的绝缘图案120Ua和器件隔离图案175上设置的层间电介质上。在这种情况下,位线180可以经由穿透层间电介质的接触插塞而与漏区165电连接。
存储器单元的沟道区可以被限定在与存储器单元的栅相对应的并且与栅电极157相邻的有源图案130中。同样地,上选择晶体管的沟道区可以被限定在与最上面的栅电极157U相邻的有源图案中。下选择晶体管可以包括第一沟道区和第二沟道区。图12示出图10中的C部分(即,下选择晶体管)的详细视图。
参照图10和图12,下选择晶体管可以包括:第一沟道区CH1,所述第一沟道区CH1限定在最下面的栅电极157L下方的衬底100中;以及第二沟道区CH2,所述第二沟道区CH2限定在与最下面的栅电极157L相邻的有源图案130中。最下面的栅电极157L可以限定第一沟道区CH1和第二沟道区CH2。第一沟道区CH1的阈值电压可以与第二沟道区CH2的阈值电压不同。第一沟道区CH1的阈值电压的绝对值可以大于第二沟道区CH2的阈值电压的绝对值。
缓冲电介质105可以设置在最下面的栅电极157L和衬底100之间。另外,信息储存层150可以延伸成设置在缓冲电介质105和最下面的栅电极157L之间。最下面的栅电极157L与衬底100之间的信息储存层150和缓冲电介质105可以被包括在下选择晶体管的第一栅电介质中。最下面的栅电极157L与有源图案130之间的信息储存层150可以被包括在下选择晶体管的第二栅电介质中。由于下选择晶体管的第一栅电介质可以形成得比第二栅电介质厚,因此第一沟道区CH1的阈值电压的绝对值可以大于第二沟道区CH2的阈值电压的绝对值。
可替选地,可以省略缓冲电介质105。在这种情况下,通过使第一沟道区CH1的掺杂剂浓度与第二沟道区CH2的掺杂剂浓度不同,可以将第一沟道区CH1的阈值电压与第二沟道区CH2的阈值电压不同地控制。例如,通过使用参照图1的注入工艺描述的将用于控制阈值电压的掺杂剂注入到衬底100中的工艺,可以将第一沟道区CH1的掺杂剂浓度与第二沟道区CH2的掺杂剂浓度不同地控制。在三维半导体存储器器件的操作过程中,第一沟道区CH1和第二沟道区CH2中形成的沟道彼此连接,并且第一沟道区CH1中形成的沟道可以与公共源区170连接。
由于下选择晶体管包括具有彼此不同的阈值电压的第一沟道区CH1和第二沟道区CH2,因此可以使三维半导体存储器器件的编程操作中的误差最小化。例如,在下选择晶体管截止的状态下,可以使有源图案130和公共源区170的存储器单元的沟道之间的穿通现象最小化。
同时,有源图案130可以设置为中空圆柱形状.管形状或通心粉形状。可替选地,有源图案130可以具有不同的形状,将参照图13对其进行说明。图13示出根据一个实施例的三维半导体存储器器件的修改实例的透视图。
参照图13,除了有源图案130a之外,三维半导体存储器器件可以与之前参照图10至图12描述的器件基本上相同。有源图案130a可以穿透交替并重复堆叠的栅电极157L.157.157U和绝缘图案120a.120Ua。有源图案130a可以接触衬底100。有源图案130a可以具有从衬底100的顶表面向上延伸的柱形状。在这种情况下,不需要图10所示的电介质图案135。漏区165a可以设置在有源图案130a的上部中。漏区165a可以掺杂有与公共源区170具有相同传导类型的掺杂剂。
<实施例2>
根据另一个实施例的三维半导体存储器器件可以与之前参照图1至图13描述的三维半导体存储器器件类似。因此,将不再详细重复对相同元件的说明。
图14至图17示出在根据另一个实施例的制造三维半导体存储器器件的方法中的阶段的透视图。根据本实施例的制造三维半导体存储器器件的方法可以包括参照图1至图3描述的制造阶段。
参照图3和图14,绝缘层120U.120和牺牲层110U.110.110L可以连续地构图,以形成沟槽140a。沟槽140a可以与沟道开口125横向分隔开。有源图案130可以在第一方向和第二方向上二维地布置,所述第一方向和第二方向可以平行衬底100的顶表面并且彼此垂直。第一方向和第二方向可以分别对应于图14中所示的x轴方向和y轴方向。在第一方向上布置的有源图案130可以形成一行,以及在第二方向上布置的有源图案130可以形成一列。衬底100上可以布置两行或更多行以及两列或更多列。沟槽140a中的每个可以设置在彼此相邻的一对列之间。沟槽140a可以在第二方向上延伸。沟槽140a可以暴露缓冲电介质105或衬底100。
根据本实施例,可以倾斜地形成沟槽140a的内侧壁。即,沟槽140a的下端(例如,底部)可以在第一方向上具有第一宽度W1,并且沟槽140a的上端(例如,顶部)可以在第一方向上具有第二宽度W2。沟槽140a的下端的第一宽度W1可以小于沟槽140a的上端的第二宽度W2,即,W2>W1。因此,沟槽140a在第一方向上的宽度可以随着距底部的距离(即,沿着第三方向)增大而逐渐增大。沟槽140a可以限定交替并重复地堆叠的牺牲图案110Lb.110b.110Ub和绝缘图案120b.120Ub。由于沟槽140a的形状,导致最下面的牺牲图案110Lb的宽度可以大于最上面的牺牲图案110Ub的宽度。在最下面的牺牲图案110Lb与最上面的牺牲图案110Ub之间的牺牲图案110b之中,设置在相对低的高度的牺牲图案的宽度可以大于设置在相对高的高度的牺牲图案的宽度。牺牲图案110Lb.110b.110Ub的宽度可以对应于在牺牲图案110Lb.110b或110Ub的外侧壁之间的沿着第一方向的水平距离。牺牲图案110Lb.110b或110Ub的外侧壁可以组成沟槽140a的内侧壁。同样地,在绝缘图案120b.120Ub之中,设置在相对低的高度的绝缘图案的宽度可以大于设置在相对高的高度的绝缘图案的宽度。在绝缘图案120b.120Ub之中,最上面的绝缘图案120Ub可以具有最小的宽度。
参照图15,可以去除沟槽140a中暴露的牺牲图案110Lb.110b.110Ub,以形成凹进区145La.145a.145Ua。可以通过使用诸如湿法蚀刻等的各向同性蚀刻,去除所暴露的牺牲图案110Lb.110b.110Ub。凹进区145La.145a.145Ua可以暴露有源图案130的侧壁。可以通过去除最下面的牺牲图案110Lb来形成最下面的凹进区145La,以及可以通过去除最上面的牺牲图案110Ub来形成最上面的凹进区145Ua。可以通过去除最下面的牺牲图案110Lb与最上面的牺牲图案110Ub之间的牺牲图案110b,形成最下面的凹进区145La与最上面的凹进区145Ua之间的凹进区145a。
参照图16,可以在具有凹进区145La.145a.145Ua的衬底100上,形成信息储存层150,以及可以在具有信息储存层150的衬底100上,形成栅传导层155。栅传导层155可以填充凹进区145La.145a.145Ua。此时,由栅传导层155环绕的空区160a可以限定在沟槽140a中。空区160a的底表面161a和侧壁可以通过栅传导层155来限定,以及空区可以具有向上开口的形状。由于沟槽140a的形状,空区160a的侧壁也可以倾斜地形成。空区160a的上端的宽度可以大于空区160a的下端的宽度。空区160a的底表面161a可以低于填充最下面凹进区145La的栅传导层155的一部分155a的顶表面154。
沟槽140a的上端的第二宽度W2可以大于沟槽140a的下端的第一宽度W1。由此,用于沉积栅传导层155的气体可以容易地供应到沟槽140a中。结果,栅传导层155可以更容易地填充凹进区145La.145a.145Ua,并且可以进一步增强凹进区145La.145a.145Ua外部以及沟槽140a内部形成的栅传导层155的厚度均匀性。
参照图17,可以对具有空区160a的栅传导层155执行各向同性蚀刻工艺,以分别在凹进区145La.145a.145Ua中形成栅电极157La.157a.157Ua。栅电极157La.157a.157Ua可以分别与设置于凹进区145La.145a.145Ua中的栅传导层155的部分相对应。还可以通过各向同性蚀刻工艺,去除在绝缘图案120b.120Ub之中的最上面的绝缘图案120Ub上的栅传导层155。可以如之前参照图7描述地执行各向同性蚀刻工艺。
可以通过空区160a执行各向同性蚀刻工艺。由此,可以基本上同时蚀刻空区160a的侧壁和底表面161a。具体来讲,由于空区160a的上端的宽度比空区160a的底表面161a的宽度更宽,因此用于执行各向同性蚀刻工艺的蚀刻剂或蚀刻气体可以更容易地供应到空区160a的内部。由此,空区160a的侧壁和底表面161a的蚀刻均匀性可以进一步增强。结果,可以增强栅电极157La.157a.157Ua的再现性,并且可以使例如沟槽形状和/或信息储存层150等的其他结构的损害和/或变形最小化。
由于空区160a的底表面161a被形成为低于填充最下面的凹进区145La的栅传导层155的一部分155a的顶表面154,因此最下面的栅电极157La也可以与设置在不同高度的栅电极157a.157Ua基本上同时分离。在栅电极157La.157a.157Ua之中,最下面的栅电极157La可以对应于下选择晶体管的栅,以及最上面的栅电极157Ua可以对应于上选择晶体管的栅。最下面的栅电极157La与最上面的栅电极157Ua之间的栅电极157a可以分别对应于存储器单元的控制栅。
栅电极157La.157a.157Ua可以具有与沟槽140a相邻的外侧壁以及与有源图案130相邻的内侧壁。另外,绝缘图案120a.120Ua可以具有与沟槽140a相邻的外侧壁以及与有源图案130相邻的内侧壁。通过各向同性蚀刻工艺,栅电极157La.157a.157Ua的外侧壁可以比绝缘图案120a.120Ua的外侧壁横向更多地凹进。栅电极157La.157a.157Ua的外侧壁可以向着有源图案130凹进。由此,与栅电极157L.157.157U的外侧壁相邻的凹进区145La.145a.145Ua的一些部分可以变成空的。凹进区145La.145a.145Ua的一些空部分可以具有底切区的形式。
由于凹进区145La.145a.145Ua的形状和通过空区160a的各向蚀刻工艺,栅电极157La.157a.157Ua可以具有不同的宽度。换言之,最下面的栅电极157La的宽度可以大于最上面的栅电极157Ua的宽度。另外,在栅电极157La.157a.157Ua之中设置在相对低高度的栅电极的宽度可以大于设置在高的高度的栅电极的宽度。
公共源区170可以形成在沟槽140a下方的衬底100中。漏区165可以形成在有源图案130的上部中。通过使用与之前参照图8描述的方法相同的方法,可以形成公共源区170和漏区165。可以形成填充沟槽140a的器件隔离图案175。该器件隔离图案175可以填充凹进区145La.145a.145Ua的空部分,即,由于栅电极157La.157a.157Ua的外壁横向凹进而导致的空部分。可以形成与漏区165电连接的位线180(图18)。由此,可以制造图18所示的三维半导体存储器器件。图18示出根据另一个实施例的三维半导体存储器器件的透视图。
参照图18,栅电极157La.157a.157Ua和绝缘图案120b.120Ub可以交替并重复地堆叠在衬底100上。交替并重复地堆叠的栅电极157La.157a.157Ua和绝缘图案120b.120Ub可以组成单个堆叠结构。堆叠结构可以在衬底100上设置成多个,并且所述多个堆叠结构可以在与衬底100的顶表面平行的第一方向(即,x轴方向)上分开。栅电极157La.157a.157Ua和绝缘图案120b.120Ub可以在与衬底100的顶表面平行并且垂直于第一方向的第二方向(即,y轴方向)上平行延伸。
器件隔离图案175可以设置在彼此相邻的堆叠结构之间。换言之,器件隔离图案175可以设置在堆叠结构一侧的衬底100上。有源图案130可以穿透交替并重复地堆叠的栅电极157La.157a.157Ua和绝缘图案120b.120Ub。有源图案130中的每个可以穿透堆叠结构中的每个。信息储存层150可以设置在有源图案130的侧壁与栅电极157La.157a.157Ua之间。如图10中所示,有源图案130以具有中空管形状.中空圆柱形状.中空通心粉形状等。有源图案130的内部可以填充有电介质图案135。可替选地,图18中的有源图案130可以替代图13中的有源图案130a。在这种情况下,可以省略电介质图案135。
有源图案130中的每个.环绕有源图案130中的每个的栅电极157La.157a.157Ua以及在有源图案130中的每个与栅电极157La.157a.157Ua之间的信息储存层150可以被包括在单个垂直型单元串中。最下面的栅电极157La对应于下选择晶体管的栅,以及最上面的栅电极157Ua对应于上选择晶体管的栅。在最下面的栅电极157La与最上面的栅电极157Ua之间的栅电极157a分别对应于存储器单元的栅。栅电极157和有源图案130之间的信息储存层150对应于存储器单元的数据储存元件。
栅电极157La.157a.157Ua可以具有与有源图案130相邻的内侧壁以及与器件隔离图案175相邻的外侧壁158。同样,绝缘图案120a.120Ua可以具有与有源图案130相邻的内侧壁以及与器件隔离图案175相邻的外侧壁122。与例如直接在栅电极157La.157a.157aU中的每个栅电极上设置的绝缘图案120a.120Ua中的每个绝缘图案的外侧壁相比,栅电极157La.157a.157Ua中的每个栅电极的外侧壁158可以向着有源图案130横向更多地凹进。可以由凹进的栅电极157La.157a.157Ua中的每个栅电极的外侧壁158限定底切区,并且器件隔离图案175可以延伸以填充底切区。
最下面的栅电极157a的顶表面可以在第一方向上具有第一宽度Wa。第一宽度Wa可以对应于最下面的栅电极157La的两个外侧壁的最上端之间的水平距离。最上面的栅电极157Ua的顶表面可以在第一方向上具有第二宽度Wb。第二宽度Wb对应于最上面的栅电极157Ua的两个外侧壁的最上端之间的水平距离。根据该实施例,第一宽度Wa可以大于第二宽度Wb,即,Wa>Wb。绝缘图案120b.120Ub的外壁可以具有倾斜的形状。
公共源区175可以设置在器件隔离图案175下方的衬底100中,以及漏区165可以设置在有源图案130的上部中。位线180可以与漏区165电连接。位线180可以在第一方向(即,x轴方向)上延伸。多个位线180可以平行地设置在衬底100上。一个位线180可以与多个漏区165电连接,所述多个漏区165在第一方向上布置并且在组成一列的多个有源图案130中形成。
包括最下面的栅电极157La的下选择晶体管可以包括图12中公开的第一沟道区和第二沟道区。缓冲电介质105可以设置在最下面的栅电极157La和衬底100之间。信息储存层150可以延伸成设置在缓冲电介质105和最下面的栅电极157La之间。信息储存层150和缓冲电介质105可以设置在最下面的栅电极157La和衬底100之间,或者只有信息储存层150可以设置在最下面的栅电极157La和衬底100之间。
根据一个实施例的三维半导体存储器器件可以安装在各种类型的半导体封装中。三维半导体存储器器件的封装实例可以包括层叠封装(PoP).球栅阵列(BGA).芯片级封装(CSP).塑料引线芯片载体(PLCC).塑料双列直插封装(PDIP).华夫封装中管芯(die in waffle pack).晶片形式的管芯(die in wafer form).板上芯片(COB).陶瓷双列直插封装(CERDIP).塑料公制方型扁平封装(MQFP).薄四方扁平封装(TQFP).小外形集成电路(SOIC).收缩型小外形封装(SSOP).薄小外形封装(TSOP).薄四方扁平封装(TQFP).系统级封装(SIP).多芯片封装(MCP).晶片级制造封装(WFP)和晶片级处理堆叠封装(WSP)。其中安装有根据一个实施例的三维半导体存储器的封装还可以包括用于控制半导体存储器器件的控制器和/或逻辑器件。
图19示出包括根据一个实施例的半导体存储器器件的电子系统的示例性框图。参照图19,电子系统1100可以包括控制器1110.输入/输出设备(I/O)1120.存储器器件1130.接口1140和总线1150。控制器1110.输入/输出设备1120.存储器器件1130和/或接口1140可以通过总线1150彼此耦合。总线1150对应于数据移动所通过的路径。
控制器1110可以包括微处理器.数字信号处理器.微控制器和能够执行与以上元件相类似的功能的逻辑器件中的至少一个。输入/输出设备1120可以包括键区.键盘.显示器设备等。存储器器件1130可以存储数据和/或命令。存储器器件1130可以包括根据示例性实施例的三维半导体存储器器件。另外,存储器器件1130还可以包括其他类型的半导体器件,例如,DRAM器件和/或SRAM器件。接口1140可以用于向通信网络发送数据/从通信网络接收数据。接口1140可以包括有线和/或无线接口。例如,接口1140可以包括天线和/或有线/无线收发器。虽然在附图中没有示出,但是电子系统1100还可以包括高速DRAM和/或SRAM作为工作存储器,用于增强控制器1110的操作。
电子系统1100可以应用于个人数字助理(PDA).便携式计算机.网络本(web tablet).无线电话.移动电话.数字音乐播放器或能够在无线环境中发送/接收信息的所有电子产品。
图20示出包括根据示例性实施例的半导体存储器器件的存储器卡的框图。参照图20,根据一个实施例的存储器卡1200可以包括存储器器件1210。存储器器件1210可以包括根据示例性实施例的三维半导体存储器器件。另外,存储器器件1210还可以包括其他类型的半导体存储器器件,例如DRAM器件和/或SRAM器件。存储器卡1200可以包括存储器控制器,用于控制主机与存储器器件之间的数据交换。
存储器控制器1220可以包括处理单元(CPU)1222,所述处理单元(CPU)1222控制存储器卡1200的整体操作。另外,存储器控制器1220可以包括用作处理单元1222的工作存储器的SRAM 1221。另外,存储器控制器1220还可以包括主机接口1223和存储器接口1225。主机接口1223可以提供有存储器卡1200与主机之间的数据交换协议。存储器接口1225可以连接存储器控制器1220和存储器器件1210。另外,存储器控制器1220还可以包括误差校正块(ECC)1224。ECC 1224可以检测和校正从存储器器件1210读取的数据的误差。虽然在图20中没有示出,但是存储器卡1200还可以包括ROM器件,其存储用于与主机接口的代码数据。存储器卡1200可以用作便携式数据存储器卡。可替选地,存储器卡1200可以设置成能够替代计算机系统的硬盘的固态盘(SSD)的形式。
如上所述,根据一个实施例,当形成填充凹进区的栅传导层时,由栅传导层环绕的空区可以限定在沟槽中。可以通过使用各向同性蚀刻工艺来蚀刻具有空区的栅传导层,以形成栅电极。由于通过空区执行各向同性蚀刻工艺,因此可以基本上同时蚀刻组成空区的底表面和侧壁的栅传导层。结果,栅电极可以基本上同时分离。由此,对于其他结构的损害(例如,对信息储存层的损害和/或沟槽的变形)可以被最小化,使得可以制造对于较高集成度最佳的并且具有优良可靠性的三维半导体存储器器件。
本文已经公开了示例性实施例,并且尽管采用了特定术语,但是这些术语是只是用于或者将以通用和描述性的含义解释,而不是出于限制的目的。因此,本领域的普通技术人员将理解的是,在不脱离下面的权利要求阐述的本发明的精神和范围的情况下,可以进行各种形式和细节上的变化。

Claims (18)

1.一种制造半导体存储器器件的方法,包括:
在衬底(100)上,交替并重复地堆叠牺牲层(110)和绝缘层(120);
在所述衬底上形成有源图案(130),使得所述有源图案穿过所述牺牲层和所述绝缘层;
对所述绝缘层和所述牺牲层连续构图,以形成沟槽(140);
去除所述沟槽(140)中暴露的牺牲层以形成凹进区(145),使得所述凹进区(145)暴露所述有源图案(130)的侧壁;
在所述衬底上形成信息储存层(150);
在所述信息储存层(150)上沉积栅传导层(155),使得所沉积的栅传导层(155)完全填充所述凹进区(145)并且限定所述沟槽(140)中的空区(160),所述空区(160)由所述栅传导层(155)环绕;以及
针对所述栅传导层(155)执行各向同性蚀刻工艺,以在所述凹进区(145)中形成栅电极(157),使得所述栅电极(157)彼此分离。
2.根据权利要求1所述的方法,其中,沉积所述栅传导层以在所述沟槽中限定所述空区的步骤包括:通过所述栅传导层限定所述空区的底表面,使得所述空区的底表面低于填充所述凹进区之中最下面凹进区的部分所述栅传导层的顶表面。
3.根据权利要求1所述的方法,其中,在所述凹进区中形成所述栅电极的步骤包括:通过各向同性蚀刻工艺,使与所述沟槽相邻的所述栅电极的侧壁比与所述沟槽相邻的构图绝缘层的侧壁横向更多地凹进。
4.根据权利要求3所述的方法,还包括:形成器件隔离图案,所述器件隔离图案填充所述沟槽以及与所述栅电极相邻的部分凹进区。
5.根据权利要求1所述的方法,其中,将所述绝缘层和所述牺牲层构图以形成沟槽的步骤包括:形成具有倾斜侧壁的沟槽,使得所述沟槽的下端的宽度小于所述沟槽的上端的宽度。
6.根据权利要求5所述的方法,其中,形成所述栅电极的步骤包括:将所述栅电极之中最上面栅电极的宽度形成为小于最下面栅电极的宽度。
7.根据权利要求1所述的方法,其中,形成所述有源图案的步骤包括:
形成穿透所述绝缘层和所述牺牲层的沟道开口,以暴露所述衬底;以及
在所述沟道开口中形成所述有源图案,使得所述沟槽与所述沟道开口横向地分隔开。
8.根据权利要求7所述的方法,其中,在所述沟道开口中形成所述有源图案的步骤包括:
在具有所述沟道开口的衬底上,保形地形成有源层;
在所述有源层上形成电介质,以填充所述沟道开口;以及
去除所述沟道开口外部的所述有源层和所述电介质的一部分。
9.根据权利要求1所述的方法,还包括:在所述沟槽下方的所述衬底中形成公共源区。
10.根据权利要求1所述的方法,还包括:
在所述有源图案的上部中形成漏区;以及
形成与所述漏区电连接的位线。
11.根据权利要求1所述的方法,还包括:在堆叠所述牺牲层和所述绝缘层之前,在所述衬底上形成缓冲电介质,使得所述牺牲层之中最下面牺牲层直接形成在所述缓冲电介质上。
12.一种半导体存储器器件,包括:
栅电极和绝缘图案,所述栅电极和所述绝缘图案交替并重复地堆叠在衬底上;
有源图案,所述有源图案穿过所述绝缘图案和所述栅电极;
信息储存层,所述信息储存层设置在所述有源图案和所述栅电极之间;
器件隔离图案,所述器件隔离图案设置在堆叠的所述绝缘图案和所述栅电极的一侧的衬底上,所述栅电极和所述绝缘图案具有与所述器件隔离图案相邻的外侧壁,以及与所述栅电极上的相应绝缘图案的外侧壁相比,所述栅电极中每个栅电极的外侧壁向着所述有源图案横向更多地凹进;以及
公共源区,所述公共源区在所述器件隔离图案、所述栅电极、所述绝缘图案下方的所述衬底中,以及所述公共源区彼此平行地延伸,
其中,所述栅电极之中最下面栅电极被构造成控制所述最下面栅电极下方的衬底中限定的第一沟道区,以及控制与所述最下面栅电极相邻的所述有源图案中的第二沟道区,所述第一沟道区的阈值电压不同于所述第二沟道区的阈值电压。
13.根据权利要求12所述的半导体存储器器件,其中,通过凹进的栅电极中每个栅电极的外侧壁来限定底切区,所述器件隔离图案延伸,以填充所述底切区。
14.根据权利要求12所述的半导体存储器器件,其中:
所述栅电极的顶表面在与所述衬底顶表面平行的第一方向上具有宽度,
所述栅电极和所述绝缘图案在第二方向上延伸,所述第二方向垂直于所述第一方向并且平行于所述衬底的顶表面,以及
所述栅电极之中最下面栅电极的宽度大于最上面栅电极的宽度。
15.根据权利要求14所述的半导体存储器器件,其中,所述绝缘图案的所述外侧壁是倾斜的。
16.根据权利要求12所述的半导体存储器器件,还包括:缓冲电介质,所述缓冲电介质设置在所述最下面栅电极和所述衬底之间,所述信息储存层在所述最下面栅电极和所述缓冲电介质之间延伸。
17.根据权利要求12所述的半导体存储器器件,其中,所述第二沟道区的掺杂剂浓度不同于所述第一沟道区的掺杂剂浓度。
18.根据权利要求12所述的半导体存储器器件,还包括:
漏区,所述漏区在所述有源图案的上部中;以及
位线,所述位线电连接到所述漏区。
CN201010505766.7A 2009-09-29 2010-09-29 三维半导体存储器器件及其制造方法 Active CN102034760B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2009-0092452 2009-09-29
KR1020090092452A KR101584113B1 (ko) 2009-09-29 2009-09-29 3차원 반도체 메모리 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
CN102034760A CN102034760A (zh) 2011-04-27
CN102034760B true CN102034760B (zh) 2014-11-19

Family

ID=43780843

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010505766.7A Active CN102034760B (zh) 2009-09-29 2010-09-29 三维半导体存储器器件及其制造方法

Country Status (3)

Country Link
US (2) US8383482B2 (zh)
KR (1) KR101584113B1 (zh)
CN (1) CN102034760B (zh)

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
KR101584113B1 (ko) * 2009-09-29 2016-01-13 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101624975B1 (ko) 2009-11-17 2016-05-30 삼성전자주식회사 3차원 반도체 기억 소자
KR101559958B1 (ko) 2009-12-18 2015-10-13 삼성전자주식회사 3차원 반도체 장치의 제조 방법 및 이에 따라 제조된 3차원 반도체 장치
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
KR101763420B1 (ko) 2010-09-16 2017-08-01 삼성전자주식회사 3차원 반도체 기억 소자 및 그 제조 방법
KR101825539B1 (ko) * 2010-10-05 2018-03-22 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8963231B2 (en) * 2011-03-29 2015-02-24 Samsung Electronics Co., Ltd. Three dimensional semiconductor memory devices and methods of fabricating the same
KR101807252B1 (ko) 2011-03-29 2017-12-11 삼성전자주식회사 3차원 반도체 기억 소자 및 그 제조 방법
US8445347B2 (en) * 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
KR101907446B1 (ko) * 2011-04-27 2018-10-12 삼성전자주식회사 3차원 반도체 기억 소자 및 그 제조 방법
US8593869B2 (en) 2011-07-27 2013-11-26 Micron Technology, Inc. Apparatuses and methods including memory array and data line architecture
JP2013030557A (ja) * 2011-07-27 2013-02-07 Elpida Memory Inc 半導体装置の製造方法
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
CN103050445B (zh) * 2011-10-13 2015-09-09 旺宏电子股份有限公司 记忆体及其制作方法
KR20130070930A (ko) 2011-12-20 2013-06-28 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 소자와, 이를 포함하는 메모리 시스템과, 그 제조방법
US8792263B2 (en) 2011-12-22 2014-07-29 Micron Technology, Inc. Apparatuses and methods including memory with top and bottom data lines
KR20130095499A (ko) * 2012-02-20 2013-08-28 에스케이하이닉스 주식회사 비휘발성 메모리 장치, 그 동작 방법 및 그 제조 방법
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
KR20130116607A (ko) * 2012-04-16 2013-10-24 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR20130123165A (ko) * 2012-05-02 2013-11-12 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US9214435B2 (en) * 2012-05-21 2015-12-15 Globalfoundries Inc. Via structure for three-dimensional circuit integration
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
US8780631B2 (en) 2012-08-21 2014-07-15 Micron Technology, Inc. Memory devices having data lines included in top and bottom conductive lines
KR102005533B1 (ko) 2012-10-22 2019-07-31 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
KR101934426B1 (ko) * 2012-11-26 2019-01-03 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR20140069925A (ko) * 2012-11-30 2014-06-10 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
CN104051331B (zh) * 2013-03-13 2016-10-19 旺宏电子股份有限公司 3d阵列的大马士革半导体装置及其形成方法
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9437606B2 (en) 2013-07-02 2016-09-06 Sandisk Technologies Llc Method of making a three-dimensional memory array with etch stop
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9496274B2 (en) 2013-09-17 2016-11-15 Sandisk Technologies Llc Three-dimensional non-volatile memory device
US9023719B2 (en) 2013-09-17 2015-05-05 Sandisk Technologies Inc. High aspect ratio memory hole channel contact formation
US9508736B2 (en) * 2013-10-17 2016-11-29 Cypress Semiconductor Corporation Three-dimensional charge trapping NAND cell with discrete charge trapping film
KR20150047823A (ko) * 2013-10-25 2015-05-06 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
CN103594475B (zh) * 2013-11-18 2016-08-24 唐棕 半导体器件及其制造方法
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
JP2015167200A (ja) * 2014-03-04 2015-09-24 株式会社東芝 不揮発性半導体記憶装置
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
US9389786B2 (en) * 2014-03-31 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device with tracking mechanism
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9552991B2 (en) 2014-04-30 2017-01-24 Sandisk Technologies Llc Trench vertical NAND and method of making thereof
KR102190350B1 (ko) * 2014-05-02 2020-12-11 삼성전자주식회사 반도체 메모리 장치 및 그 제조 방법
US9548313B2 (en) * 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
US9553146B2 (en) * 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
CN105185748B (zh) * 2014-06-10 2018-05-08 旺宏电子股份有限公司 多层存储器阵列及其制作方法
CN104037175B (zh) * 2014-06-23 2018-03-30 中国科学院微电子研究所 三维半导体器件及其制造方法
CN104022120B (zh) * 2014-06-23 2018-03-30 中国科学院微电子研究所 三维半导体器件及其制造方法
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
KR102234799B1 (ko) * 2014-08-14 2021-04-02 삼성전자주식회사 반도체 장치
US9673054B2 (en) * 2014-08-18 2017-06-06 Micron Technology, Inc. Array of gated devices and methods of forming an array of gated devices
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9601502B2 (en) 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
CN104241294B (zh) * 2014-09-16 2017-04-26 华中科技大学 一种非易失性三维半导体存储器及其制备方法
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US9368509B2 (en) 2014-10-15 2016-06-14 Sandisk Technologies Inc. Three-dimensional memory structure having self-aligned drain regions and methods of making thereof
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
KR20160094785A (ko) * 2015-02-02 2016-08-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9356034B1 (en) 2015-02-05 2016-05-31 Sandisk Technologies Inc. Multilevel interconnect structure and methods of manufacturing the same
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US10074661B2 (en) 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9449987B1 (en) 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9842907B2 (en) 2015-09-29 2017-12-12 Sandisk Technologies Llc Memory device containing cobalt silicide control gate electrodes and method of making thereof
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
CN106571368B (zh) * 2015-10-08 2022-01-25 三星电子株式会社 半导体装置
KR102381046B1 (ko) * 2015-10-26 2022-03-31 에스케이하이닉스 주식회사 비휘발성 메모리 장치
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9853037B2 (en) * 2015-11-23 2017-12-26 Micron Technology, Inc. Integrated assemblies
US9935123B2 (en) * 2015-11-25 2018-04-03 Sandisk Technologies Llc Within array replacement openings for a three-dimensional memory device
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
US9679907B1 (en) 2016-02-29 2017-06-13 Sandisk Technologies Llc Three-dimensional memory device with charge-trapping-free gate dielectric for top select gate electrode and method of making thereof
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US10529620B2 (en) * 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
JP6656104B2 (ja) * 2016-07-15 2020-03-04 キオクシア株式会社 半導体記憶装置
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US10008570B2 (en) 2016-11-03 2018-06-26 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
CN108807411B (zh) * 2017-04-28 2023-06-27 三星电子株式会社 三维半导体存储器装置
KR102411067B1 (ko) * 2017-05-10 2022-06-21 삼성전자주식회사 3차원 반도체 장치의 제조 방법
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
KR102356741B1 (ko) * 2017-05-31 2022-01-28 삼성전자주식회사 절연층들을 갖는 반도체 소자 및 그 제조 방법
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
US10403734B2 (en) * 2017-07-21 2019-09-03 Globalfoundries Inc. Semiconductor device with reduced gate height budget
US10461125B2 (en) * 2017-08-29 2019-10-29 Micron Technology, Inc. Three dimensional memory arrays
CN107731833B (zh) * 2017-08-31 2018-12-14 长江存储科技有限责任公司 一种阵列共源极填充结构及其制备方法
KR102401177B1 (ko) * 2017-08-31 2022-05-24 삼성전자주식회사 반도체 장치
KR102452612B1 (ko) * 2017-09-11 2022-10-11 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
US11750191B2 (en) * 2017-10-10 2023-09-05 Tacho Holdings, Llc Three-dimensional logic circuit
US10727835B2 (en) * 2017-10-10 2020-07-28 Tacho Holdings, Llc Three-dimensional logic circuit
US10943916B2 (en) * 2017-11-23 2021-03-09 Yangtze Memory Technologies Co., Ltd. Method for manufacturing three-dimensional memory structure
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
CN108511449B (zh) * 2018-03-14 2020-11-10 成都信息工程大学 一种三维nand型存储器下选择管的实现方法
US11217532B2 (en) 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
CN108511358B (zh) * 2018-03-29 2019-03-29 长江存储科技有限责任公司 3d nand检测结构及其形成方法
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
KR20190132834A (ko) * 2018-05-21 2019-11-29 삼성전자주식회사 3차원 반도체 메모리 장치 및 이의 제조 방법
US10741581B2 (en) 2018-07-12 2020-08-11 Sunrise Memory Corporation Fabrication method for a 3-dimensional NOR memory array
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
US11121258B2 (en) * 2018-08-27 2021-09-14 Micron Technology, Inc. Transistors comprising two-dimensional materials and related semiconductor devices, systems, and methods
CN109216367B (zh) * 2018-08-27 2021-07-02 长江存储科技有限责任公司 半导体结构的形成方法
KR102618907B1 (ko) * 2018-11-22 2023-12-27 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 메모리 디바이스 및 그 제조 방법
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
EP3709779A1 (en) * 2019-03-12 2020-09-16 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier and method of manufacturing the same
CN112289801B (zh) * 2019-06-28 2024-02-23 长江存储科技有限责任公司 用于三维存储器的叠层结构、三维存储器及其制备方法
KR20210072276A (ko) * 2019-12-09 2021-06-17 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그의 제조 방법
WO2021127218A1 (en) 2019-12-19 2021-06-24 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor
US11937424B2 (en) 2020-08-31 2024-03-19 Sunrise Memory Corporation Thin-film storage transistors in a 3-dimensional array of nor memory strings and process for fabricating the same
WO2022108848A1 (en) 2020-11-17 2022-05-27 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
EP4020563A1 (en) 2020-12-23 2022-06-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Three-dimensional semiconductor memory device
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101165919A (zh) * 2006-10-19 2008-04-23 三星电子株式会社 具有凹进式控制栅极的半导体存储器装置的操作方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1093083A (ja) 1996-09-18 1998-04-10 Toshiba Corp 半導体装置の製造方法
JP4822841B2 (ja) * 2005-12-28 2011-11-24 株式会社東芝 半導体記憶装置及びその製造方法
JP2007317874A (ja) 2006-05-25 2007-12-06 Toshiba Corp 不揮発性半導体記憶装置
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
KR101196392B1 (ko) 2006-11-28 2012-11-02 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
JP4772656B2 (ja) 2006-12-21 2011-09-14 株式会社東芝 不揮発性半導体メモリ
JP5118347B2 (ja) 2007-01-05 2013-01-16 株式会社東芝 半導体装置
US7723851B2 (en) 2007-09-11 2010-05-25 International Business Machines Corporation Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
KR101226685B1 (ko) * 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
KR101559868B1 (ko) 2008-02-29 2015-10-14 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법.
KR101584113B1 (ko) * 2009-09-29 2016-01-13 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101165919A (zh) * 2006-10-19 2008-04-23 三星电子株式会社 具有凹进式控制栅极的半导体存储器装置的操作方法

Also Published As

Publication number Publication date
US8383482B2 (en) 2013-02-26
CN102034760A (zh) 2011-04-27
KR20110034946A (ko) 2011-04-06
US20110076819A1 (en) 2011-03-31
KR101584113B1 (ko) 2016-01-13
US20130164894A1 (en) 2013-06-27
US8728893B2 (en) 2014-05-20

Similar Documents

Publication Publication Date Title
CN102034760B (zh) 三维半导体存储器器件及其制造方法
US9899411B2 (en) Three-dimensional semiconductor memory device and method for fabricating the same
US9466612B2 (en) Semiconductor memory devices and methods of forming the same
US9379134B2 (en) Semiconductor memory devices having increased distance between gate electrodes and epitaxial patterns and methods of fabricating the same
KR101692446B1 (ko) 3차원 반도체 장치 및 그 제조 방법
US8796091B2 (en) Three-dimensional semiconductor memory devices
US9048138B2 (en) Methods of fabricating three dimensional semiconductor memory devices
US8969162B2 (en) Three-dimensional semiconductor device and method for fabricating the same
US8592912B2 (en) Semiconductor device and method of fabricating the same
US8921918B2 (en) Three-dimensional semiconductor devices
KR101892245B1 (ko) 3차원 반도체 기억 소자
US20120068242A1 (en) Semiconductor devices and methods of fabricating the same
US20130313629A1 (en) Three-dimensional semiconductor memory device and a method of fabricating the same
US8952448B2 (en) Three-dimensional semiconductor memory devices and methods for manufacturing same
US20230157023A1 (en) Semiconductor device including data storage pattern
KR101774508B1 (ko) 3차원 반도체 메모리 장치 및 그 제조 방법
US8445343B2 (en) Methods of fabricating semiconductor devices including semiconductor layers formed in stacked insulating layers
KR101774506B1 (ko) 3차원 반도체 메모리 장치 및 그 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant