WO2002001597A1 - Appareil d'inspection a faisceau de particules chargees et procede de fabrication d'un dispositif utilisant cet appareil d'inspection - Google Patents

Appareil d'inspection a faisceau de particules chargees et procede de fabrication d'un dispositif utilisant cet appareil d'inspection Download PDF

Info

Publication number
WO2002001597A1
WO2002001597A1 PCT/JP2001/005496 JP0105496W WO0201597A1 WO 2002001597 A1 WO2002001597 A1 WO 2002001597A1 JP 0105496 W JP0105496 W JP 0105496W WO 0201597 A1 WO0201597 A1 WO 0201597A1
Authority
WO
WIPO (PCT)
Prior art keywords
sample
optical system
inspection
charged particles
primary
Prior art date
Application number
PCT/JP2001/005496
Other languages
English (en)
French (fr)
Inventor
Mamoru Nakasuji
Nobuharu Noji
Tohru Satake
Toshifumi Kimba
Hirosi Sobukawa
Shoji Yoshikawa
Tsutomu Karimata
Shin Oowada
Mutsumi Saito
Muneki Hamashima
Toru Takagi
Original Assignee
Ebara Corporation
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corporation, Nikon Corporation filed Critical Ebara Corporation
Priority to JP2002505648A priority Critical patent/JPWO2002001597A1/ja
Priority to KR1020027014575A priority patent/KR100885940B1/ko
Priority to EP01943833A priority patent/EP1296351A4/en
Publication of WO2002001597A1 publication Critical patent/WO2002001597A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/29Reflection microscopes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/05Electron or ion-optical arrangements for separating electrons or ions according to their energy or mass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/073Electron guns using field emission, photo emission, or secondary emission electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/075Electron guns using thermionic emission from cathodes heated by particle bombardment or by irradiation, e.g. by laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • H01J37/185Means for transferring objects between different enclosures of different pressure or atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/226Optical arrangements for illuminating the object; optical arrangements for collecting light from the object
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/05Arrangements for energy or mass analysis
    • H01J2237/057Energy or mass filtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06308Thermionic sources
    • H01J2237/06316Schottky emission
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20228Mechanical X-Y scanning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/204Means for introducing and/or outputting objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2446Position sensitive detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24485Energy spectrometers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/248Components associated with the control of the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/248Components associated with the control of the tube
    • H01J2237/2482Optical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2803Scanning microscopes characterised by the imaging method
    • H01J2237/2806Secondary charged particle
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • H01J2237/2816Length
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Definitions

  • the present invention relates to an inspection apparatus for inspecting a defect or the like of a pattern formed on a surface of an inspection object using a plurality of electron beams, and more particularly, to an inspection apparatus for detecting a defect of a wafer in a semiconductor manufacturing process. Irradiates the inspection target with secondary electrons that change according to the surface properties of the inspection target to form image data.Based on the image data, patterns and the like formed on the inspection target surface can be processed at high throughput.
  • the present invention relates to an inspection apparatus to be inspected, and a device manufacturing method for manufacturing a device with a high yield using such an inspection apparatus.
  • the present invention relates to a charged particle beam apparatus for irradiating a sample with a charged particle beam and detecting secondary charged particles generated from an irradiation point of the sample, and a device manufacturing method for inspecting a device for defects using the apparatus.
  • the present invention relates to an apparatus for irradiating a sample mounted on an XY stage with a charged beam, a defect inspection apparatus or an exposure apparatus using the apparatus, and further relates to a semiconductor manufacturing method using the apparatus.
  • the present invention provides a defect inspection device and method for inspecting a defect of a sample such as a semiconductor wafer by comparing the image of the sample with a reference image prepared in advance, and a method of using such a defect inspection device. And a method for manufacturing a semiconductor device.
  • the present invention relates to an electron beam apparatus for performing various inspections on a sample by irradiating the sample with electrons and measuring a secondary electron beam from the irradiation point, and in particular, is formed on a semiconductor wafer.
  • the present invention relates to an electron beam apparatus for performing defect inspection, CD (critical dimension) measurement, alignment accuracy measurement, electric potential measurement, and the like of an integrated circuit pattern having a minimum line width of 0.1 xm or less at a high throughput.
  • a plurality of aperture images obtained by irradiating an electron beam emitted from an electron gun onto an aperture plate having a plurality of apertures are incident on a sample, and secondary electrons emitted from the sample are converted into a primary optical system.
  • the electron beam device that separates the laser beam from the light source and enters the secondary optical system, magnifies the secondary optical system and projects the image onto the detector surface, and evaluates the wafer during the manufacturing process using the electron beam device And a device manufacturing method.
  • the present invention relates to an electron beam apparatus and a high-throughput electron beam apparatus for performing defect inspection, pattern width measurement, alignment accuracy measurement, potential measurement, high-speed operation analysis during device operation, and the like of a pattern having a minimum line width of 0.1 ⁇ m or less.
  • the present invention relates to a device manufacturing method for improving the yield by performing an evaluation of a method in the middle of a process using the method.
  • the present invention relates to an electron beam apparatus and a method of manufacturing a device using the electron beam apparatus.
  • the present invention relates to a defect inspection, a line width measurement, an alignment accuracy measurement of a sample having a device pattern having a minimum line width of 0.1 zm or less.
  • Electron beam equipment that can perform surface potential measurement or high-precision time resolution measurement with high throughput and high reliability, and devices that can improve the yield by evaluating wafers in the process using the electron beam equipment It relates to a manufacturing method.
  • An object of the present invention is to provide an electron beam apparatus capable of performing electro-optical focusing in a short time, and a semiconductor device manufacturing method using the apparatus.
  • the present invention relates to an electron beam apparatus and a method of manufacturing a device using the electron beam apparatus. More specifically, the present invention can perform defect inspection of a sample having a device pattern having a minimum line width of 0.1 or less with high throughput and high reliability.
  • the present invention relates to an electron beam apparatus and a method of manufacturing a device capable of improving a yield by evaluating a wafer in the process using the electron beam apparatus.
  • the present invention relates to an electron beam apparatus for evaluating a pattern or the like formed on the surface of a sample and a device manufacturing method for evaluating a sample during or after a process using the electron beam apparatus. Electrons that have a pattern of 0.1 im or less and can be evaluated with high throughput and high reliability, such as defect inspection of devices on the sample, CD measurement, potential contrast measurement, and high-time resolution potential measurement.
  • the present invention relates to a device for manufacturing a device for evaluating a sample during or after a process using such an electron beam device.
  • the present invention relates to an EXB separator and an inspection device for a semiconductor device using the EXB separator. More specifically, an EXB separator capable of increasing the area around the optical axis where a uniform magnetic field strength and a uniform electric field strength can be obtained, and a defect inspection of a semiconductor wafer using the EXB separator , Pattern line width measurement, pattern overlay accuracy measurement
  • the present invention relates to an inspection apparatus capable of performing high-throughput and high-reliability electric potential measurement or the like with high time resolution.
  • the present invention relates to an apparatus for irradiating a sample placed on an XY stage with a charged beam, and more specifically, a differential exhaust mechanism is provided around a lens barrel without providing a differential exhaust mechanism on the XY stage.
  • the present invention relates to a charged beam apparatus and a defect inspection apparatus or an exposure apparatus using the apparatus, and further relates to a semiconductor manufacturing method using the apparatus.
  • the present invention relates to an apparatus for evaluating wafers and the like having a pattern with a minimum line width of 0.1 zm or less with high throughput and high reliability, and manufactures devices with high yield using such an apparatus. About the method.
  • the present invention relates to an apparatus used for inspection after each step in a semiconductor process, an inspection method and an apparatus using an electron beam, and a device manufacturing method using the same.
  • an apparatus using a scanning electron microscope is already on the market.
  • This equipment scans a narrowly focused electron beam in a raster with a very small gap, and forms a SEM image by detecting secondary electrons emitted from the inspection object with the secondary electron detector. Then, the SEM image is compared between the same locations on different dies to extract defects.
  • SEM scanning electron microscope
  • Scanning electron microscopes are used to detect defects in mask patterns for manufacturing semiconductor devices or patterns formed on semiconductor wafers.
  • Scanning electron microscope The mirror scans the sample surface with one finely focused electron beam and detects secondary electrons emitted from the sample, requiring a long time to inspect the entire sample.
  • electrons from multiple electron sources are focused on the sample surface through a deceleration field lens, scanned, and secondary electrons emitted from the sample surface are deflected by the Wien filter.
  • there has been proposed an idea that leads to multiple detectors see Japanese Journal of Applied Physics, Vol. 28, No. 10, October, 1989, pp. 2058-2064).
  • a structure in which the stage is supported in a non-contact manner by a hydrostatic bearing is employed.
  • a differential exhaust mechanism that exhausts the high-pressure gas is formed in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not exhausted directly to the vacuum chamber. Degree is maintained.
  • FIG. 18 AB An example of the stage of the prior art is shown in Fig. 18 AB.
  • the housing 208 of the vacuum chamber C generates a charged beam and irradiates the sample with the housing 200.
  • 0 2 is installed.
  • the inside of the lens barrel is evacuated by a vacuum pipe 210, and the chamber C is evacuated by a vacuum pipe 210.
  • the charged beam is at the tip of the barrel 2001
  • the sample S such as a wafer placed thereunder is irradiated.
  • the sample S is detachably held on the sample stage 204.
  • the sample stage 204 is mounted on the upper surface of the Y-direction movable portion 205 of the XY stage (hereinafter simply referred to as stage) 203.
  • stage XY stage
  • the Y-direction movable section 2000 is slidably disposed on the X-direction movable section 2000, and the X-direction movable section 2000 is slidably disposed on the stage base 2000.
  • a static pressure bearing 20009a is provided on the surface (the left and right sides and the lower surface in FIG. 18A) facing the guide surface 6a of the X-direction movable section 2000. Multiple take It can be moved in the Y direction (left and right in Fig. 18B) while maintaining a small gap between the guide surface and the guide surface 200a by the action of the static pressure bearing 200a.
  • a plurality of static pressure bearings 2 009 b are attached to the X-direction movable portion 2 0 6, while maintaining a small gap between the static pressure bearing 2 0 9 b and the guide surface 2 0 7 a. It can be moved in the X direction (left and right in Fig. 18A).
  • Double grooves 210 and 210 are formed around the static pressure bearing 200, and these grooves are constantly evacuated by a vacuum pipe and a vacuum pump (not shown). With such a structure, the Y-direction movable section 205 is supported in a non-contact state in a vacuum and can move freely in the Y-direction. These double grooves 210 and 210 are formed on the surface of the movable portion 205 on which the static pressure bearings 209 are provided so as to surround the static pressure bearing. Since the structure of the hydrostatic bearing may be a known structure, a detailed description thereof will be omitted.
  • the X-direction movable part 200 6 on which the Y-direction movable part 205 is mounted has a concave shape that opens upward, as is clear from FIG. It has the same static pressure bearings and grooves as the part 205, is supported in a non-contact manner with the stage table 2007, and can move freely in the X direction.
  • the sample S is moved to an arbitrary position in the horizontal direction with respect to the tip of the lens barrel, that is, the charged beam irradiation section 2000. A desired position on the sample can be irradiated with the charged beam.
  • a defect inspection apparatus for inspecting a defect of a sample by irradiating primary electrons to a sample such as a semiconductor AA8 to detect a secondary electron has been used in a semiconductor manufacturing process or the like.
  • a defect inspection apparatus there is a technique that applies image recognition technology to automate and improve the efficiency of the defect inspection.
  • a computer performs a matching operation between pattern image data of a region to be inspected on a sample surface obtained by detecting secondary electrons and reference image data of a sample surface stored in advance, and the calculation result is obtained.
  • an electron beam emitted from a single electron gun is applied to an aperture plate with multiple openings.
  • a plurality of aperture images obtained from the sample into a sample, and secondary electrons emitted from the sample are projected onto a detector surface using a secondary optical system to inspect a pattern for defects on the sample.
  • Electron beam devices are known.
  • the above conventional device does not consider the angle dependence of the electron beam emitted from the electron gun, and treats the intensity of the electron beam as being uniform regardless of the irradiation angle.
  • the electron beam emitted from the electron gun emits a high-brightness electron beam in the direction of the optical axis, but the problem that the brightness (intensity) of the electron beam gradually decreases as the distance from the optical axis increases is considered. Absent.
  • the detection rate of secondary electrons emitted from the sample is high for secondary electrons emitted near the optical axis, but low for secondary electrons emitted from a position away from the optical axis.
  • the above-mentioned conventional electron beam apparatus did not consider such a problem.
  • An electron beam apparatus that uses a plurality of electron beams for performing defect inspection and line width measurement on a circuit having a fine circuit pattern such as an VLSI circuit is known.
  • the use of a single electron beam for creating and inspecting fine circuit patterns requires a lot of time, and solves the conventional drawbacks that did not provide satisfactory throughput. Suggested for.
  • An electron beam device that forms a plurality of electron beams by irradiating a mask having a plurality of openings with an electron beam emitted from a single electron gun is also known.
  • An electron beam device using a multi-beam to improve productivity that is, irradiating an electron beam emitted from a single electron gun to a plurality of openings, and passing the electron beam through those openings to the surface of the sample (
  • An electron beam device that scans a sample surface and guides secondary electrons from each image to a plurality of detectors to inspect the sample is already known.
  • the focusing of the electron optical system must be performed taking into account not only the distance between the sample surface and the lower surface of the electron optical system, but also the charged state on the sample surface and the space charge effect of the electron beam. Therefore, errors may occur if parameters related to focusing of the electron optical system are not measured optically.
  • the present invention has been proposed to solve the above-mentioned various problems, and an object of the present invention is to provide an electron beam apparatus capable of performing electro-optical focusing of an electron optical system in a short time, and
  • the purpose of the present invention is to provide a method for manufacturing a semiconductor device using the apparatus.
  • the optical method In the case of performing a defect inspection or the like of a sample having a device pattern with a minimum line width of 0.1 m or less, the optical method has a limit in terms of resolution due to light diffraction. Therefore, inspection / evaluation devices using electron beams have been proposed. Using an electron beam improves the resolution, but the throughput is extremely small, so there is a problem from the viewpoint of productivity.
  • An electron beam device that uses a multi-beam to improve productivity, i.e., irradiates the electron beam emitted from a single electron gun to multiple openings and scans the sample with the electron beam passing through those openings
  • the secondary electrons from each image can be detected by multiple detectors without crosstalk.
  • An electron beam device for guiding a sample to an ejector has been filed.
  • Various techniques have been reported for devices for observing and evaluating samples containing insulating materials. Among these technologies, regarding the scanning electron microscope, the charge-up state is measured by measuring the beam current of the primary beam, the absorption current to the sample, the amount of reflected electrons from the irradiation device, and the amount of secondary electrons emitted.
  • a device having a function of detecting a charge to be evaluated is known.
  • an EXB type energy filter that performs energy analysis by causing charged particles to travel straight in a direction orthogonal to each of an electric field and a magnetic field in an orthogonal field in which an electric field and a magnetic field are orthogonal to each other.
  • This filter cancels out the deflecting action of the electron beam by the electric field by the deflecting action of the electron beam by the magnetic field, so that only the charged electrons having a specific energy in the electron beam travel straight.
  • FIG. 4 the configuration shown in FIG. 4 has been proposed.
  • 1 and 1 ' are pole pieces kept at the ground potential, and 2 and 2' are electrodes.
  • Electrode + V is applied to electrode 2 and a voltage of 1 V is applied to electrode 2 ′. These voltages have the same absolute value and are variable. The charged electrons travel in a direction perpendicular to both the electric and magnetic fields, that is, perpendicular to the surface of the figure.
  • a structure is employed in which the stage is supported in a non-contact manner by a hydrostatic bearing.
  • a differential exhaust mechanism that exhausts the high-pressure gas in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not exhausted directly to the vacuum chamber, the degree of vacuum in the vacuum chamber is reduced. Has been maintained.
  • FIG. 18 AB An example of such a prior art stage is shown in FIG. 18 AB.
  • a housing 208 constituting the vacuum chamber C is provided with a charged beam beam at a tip portion of a lens barrel 2001 for generating a charged beam and irradiating the sample, that is, a charged beam irradiation.
  • the projection part 200 is attached.
  • the sample S is detachably held on the sample stage 204.
  • Other structures of the stage in Fig. 18 AB will be described later.
  • a differential pumping mechanism is provided around the static pressure bearing 200b to prevent the high-pressure gas supplied to the static pressure bearing from leaking into the vacuum chamber C.
  • This is shown in Figure 19.
  • Double grooves 201 and 18 are formed around the static pressure bearing 200b and these grooves are constantly evacuated by vacuum piping and a vacuum pump (not shown). .
  • the Y-direction movable portion 205 is supported in a vacuum in a non-contact state and can move freely in the Y-direction.
  • These double grooves 201 and 210 are formed on the surface of the movable portion 205 on which the static pressure bearing 200b is provided so as to surround the static pressure bearing.
  • the sample S can be moved horizontally with respect to the tip of the lens barrel, that is, the charged beam irradiation part 2002. And the charged beam can be applied to the desired position of the sample.
  • the stage combining the above-described hydrostatic bearing and differential pumping mechanism has a differential pumping mechanism, which makes the structure more complicated and larger than a hydrostatic bearing stage used in the atmosphere. There was a problem that the reliability was low and the cost was high.
  • optical defect inspection equipment is mainly used, but in terms of resolution and contact failure inspection, defect inspection equipment that uses electron beams instead of optical defect inspection equipment will become the mainstream of inspection equipment in the future. It is expected to be.
  • electron beam type defect inspection The probe also has a weakness, which is inferior to the optical method in terms of throughput. For this reason, there is a demand for the development of a high-resolution, high-throughput inspection apparatus capable of detecting electrical defects. It is said that the resolution in the optical system is limited to 1/2 of the wavelength of the light used, and is about 0.2 m in the case of practically used visible light.
  • a normal scanning electron beam method (SEM method) has been put into practical use, with a resolution of 0.1 lm and an inspection time of 8 hours Z sheets (20 cm wafer).
  • the major feature of the electron beam method is that it can also inspect electrical defects (such as disconnection of wiring, poor conduction, and poor conduction of vias).
  • electrical defects such as disconnection of wiring, poor conduction, and poor conduction of vias.
  • the inspection time is very slow, development of a defect inspection device with a high inspection speed is expected.
  • inspection equipment is expensive and throughput is lower than other processing equipment, so it is used after important processes at present, for example, after etching, film formation, or CMP (chemical mechanical polishing) planarization processing. Have been.
  • CMP chemical mechanical polishing
  • a scanning (SEM) type detection device using an electron beam will be described.
  • the SEM-type inspection device narrows down the electron beam (this beam diameter corresponds to the resolution) and scans it to irradiate the sample in a line.
  • the scanning width of the electron beam is generally several hundred.
  • the electron beam (referred to as primary electron beam), which has been narrowed down, is used to detect secondary electrons from the sample generated by irradiation (Scintille + Photomultiplier (photomultiplier tube)) or semiconductor type detector ( PIN diode type) etc.).
  • the coordinates of the irradiation position and the amount of secondary electrons (signal intensity) are combined to form an image, which is stored in a storage device or output to a CRT (CRT).
  • CRT CRT
  • the above is the principle of scanning electron microscope (SEM), which detects defects in semiconductor (usually Si) wafers in the process from images obtained by this method.
  • SEM scanning electron microscope
  • the inspection speed is determined by the amount of primary electron beam (current value), beam diameter, and the response speed of the detector. Beam diameter
  • the inspection speed is said to be about 8 hours per 20 cm diameter wafer. In this case, the inspection speed is said to be about 8 hours per wafer of 20 cm diameter. This inspection speed is extremely slow compared to light (1/20 This is a major problem (disadvantage).
  • SEM using multiple electron beams is known as a method to improve the inspection speed, which is a drawback of the SEM method.
  • This method can increase the inspection speed by the number of electron beams, but it obliquely enters multiple electron beams and extracts multiple secondary electron beams from the sample in an oblique direction.
  • the detector picks up only those emitted in the oblique direction, shadows are formed on the image, and it is difficult to separate each secondary electron from multiple electron beams. There is a problem that signals are mixed with each other.
  • the beam size is small, the pixel size is naturally small, and the raster width is also small, so a lot of time was required for defect inspection.
  • the beam current is increased to increase the throughput, there is a problem that a wafer having an insulator on the surface cannot be charged and a good SEM image cannot be obtained.
  • the present invention has been made in view of the above problems, and one problem to be solved by the present invention is to use an electron optical system using a multi-beam, and to use the electron optical system and an inspection device. It is an object of the present invention to provide an inspection apparatus in which the throughput is improved by harmonizing with other constituent devices to be configured.
  • Another object to be solved by the present invention is to provide an inspection device capable of accurately inspecting an inspection object by solving the problem of electrification that has been a problem in SEM.
  • Still another problem to be solved by the present invention is to provide a device manufacturing method with a high yield by inspecting an inspection object such as a wafer using the inspection apparatus as described above.
  • the present invention provides an apparatus that irradiates an inspection target on which a pattern is formed with an electron beam and inspects the pattern of the inspection target.
  • the inspection system consists of an electron source, objective lens, E
  • Shapes multiple primary electron beams including XB separator and at least one stage magnifying lens And irradiates the object to be inspected, secondary electrons emitted by the irradiation of the primary electron beam are accelerated by the objective lens and separated by the EXB separator, and a secondary electron image is formed by the at least one stage of the magnifying lens. Is projected.
  • the inspection apparatus further includes: a plurality of detectors for detecting a secondary electron image projected by the electron optical system; a stage device for holding the inspection target and relatively moving with respect to the electron optical system; A working chamber accommodating a stage device and controlled to a vacuum atmosphere; a loader for supplying an inspection target onto the stage device in the ⁇ ⁇ ⁇ -king chamber; and a loader arranged in the working chamber.
  • a potential application mechanism for applying a potential to the inspection target; and an alignment control device for controlling alignment by observing the surface of the inspection target for positioning the inspection target with respect to the electron optical system.
  • the vacuum chamber is supported via a vibration isolation device that isolates vibration from the floor.
  • the loader may be configured to independently control an atmosphere, a first opening chamber and a second opening chamber, and the inspection object may be in a first loading chamber.
  • a first transport unit that transports the test object to and from the outside, and a first transport unit that is provided in the second loading chamber and transports the inspection target between the first loading chamber and the stage device.
  • a second transport unit, and the inspection apparatus may further include a partitioned mini-environment space for supplying an inspection target to the loader.
  • the apparatus may further include a laser interferometer for detecting coordinates of the inspection target on the stage device, and the alignment control device may determine coordinates of the inspection target using a pattern present on the inspection target,
  • the alignment of the inspection target may include a coarse alignment performed in the mini-environment space, an XY alignment, and a rotation alignment performed on the stage device.
  • Another invention of the present application is a device manufacturing method for detecting a defect in a wafer during or after a process using an inspection apparatus.
  • An object of the present invention is to provide a charged particle beam device that can prevent crosstalk and efficiently guide emitted secondary electrons to a detector.
  • the charged particle beam device 100 of the present invention includes at least one or more primary optical systems for irradiating a sample with a plurality of primary charged particle beams, and at least one or more primary optical systems for guiding secondary charged particles to at least one or more detectors. It has the above-mentioned secondary optical system, and the plurality of primary charged particle beams are applied to positions separated from each other by a distance resolution of the secondary optical system. Further, the primary optical system is provided with a function of running the primary particle beam at intervals wider than the irradiation interval of the primary charged particle beam.
  • One problem to be solved by the present invention is to provide a charged beam apparatus capable of preventing a decrease in the degree of vacuum and stably performing inspection and processing using a charged beam.
  • Another problem to be solved by the present invention is that it has a non-contact support mechanism using a static pressure bearing and a vacuum seal mechanism using a differential exhaust, and the pressure between the irradiation area of the charged beam and the support section of the static pressure bearing
  • An object of the present invention is to provide a charged beam device that generates a difference.
  • Still another object to be solved by the present invention is to provide a semiconductor manufacturing method for manufacturing a semiconductor device using the above charged beam device.
  • the present invention provides an apparatus 200 for placing a sample on an XY stage, moving the sample to an arbitrary position in a vacuum, and irradiating the sample surface with a charged beam.
  • the XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum seal mechanism using automatic exhaust, and a portion on the sample surface where the charged beam is irradiated,
  • a non-contact support mechanism using a static pressure bearing is applied to the support mechanism of the XY stage on which the sample is placed, and high-pressure gas used for the static pressure bearing is prevented from entering the vacuum chamber.
  • the stage device By providing a vacuum seal mechanism by operating exhaust around the hydrostatic bearing, the stage device can exhibit high-precision positioning performance in vacuum, and the conductance between the stage and the charged beam irradiation position 210
  • the stage device By forming a partition, every time the slide part of the stage moves from the high-pressure gas part to the vacuum environment, even if the gas adsorbed on the slide part surface is released, it is released to the charged beam irradiation position. Since the gas has become difficult to reach, the pressure at the charged beam irradiation position does not easily rise.
  • the above configuration can stabilize the degree of vacuum at the charged beam irradiation position on the sample surface and drive the stage with high accuracy, so that the charged beam on the sample is not contaminated without contaminating the sample surface. Can be performed with high accuracy.
  • the present invention is characterized in that, in the charged beam device 220, the partition has a differential pumping structure.
  • a partition is provided between the static pressure bearing support portion and the charged beam irradiation area, and a vacuum exhaust path is arranged inside the partition to have a differential exhaust function. It is almost impossible for the gas released from the part to pass through the partition to the charged beam irradiation area side. As a result, the degree of vacuum at the charged beam irradiation position can be further stabilized.
  • the present invention is characterized in that, in the charged beam device 230, the partition has a cold trap function.
  • the major components of the gas discharged from the residual gas and the surface of the material in a vacuum is water molecules. Therefore, if water molecules can be efficiently discharged, it is easy to stably maintain a high vacuum degree.Therefore, a cold trap cooled to about -100 ° C to -200 ° C should be used. If it is provided in the partition, the released gas generated on the static pressure bearing side can be frozen and collected by a cold trap, so that the released gas can pass through the charged beam irradiation area side. This makes it difficult to stably maintain the degree of vacuum in the charged beam irradiation area. It goes without saying that this cold trap is not only effective for water molecules, but also effective for removing organic gas molecules such as oils, which are factors that hinder clean vacuum.
  • the present invention is characterized in that, in the charged beam device 240, the partition is provided in two places near a charged beam irradiation position and near a static pressure bearing.
  • the inside of the vacuum chamber is divided into the charged beam irradiation chamber and the static pressure bearing. It is divided into three rooms, a room and its intermediate room, through a small conductance.
  • the vacuum evacuation system is configured so that the pressure in each chamber becomes the charged beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in order of decreasing pressure.
  • the pressure fluctuation rate can be suppressed to a low level because the pressure is originally set to be high. Therefore, the pressure fluctuations in the intermediate chamber can be further reduced by the partition, and the pressure fluctuations in the charged beam irradiation chamber can be further reduced by another partition, and the pressure fluctuations can be reduced to a level that does not cause any problem.
  • the present invention enables the charged beam apparatus, wherein the gas supplied to the hydrostatic bearing of the XY stage is dry nitrogen or a high-purity inert gas. Further, the XY stage is characterized in that at least the surface of the part facing the hydrostatic bearing is subjected to a surface treatment for reducing the emission gas.
  • the high-pressure gas supplied to the hydrostatic bearing is converted to dry nitrogen from which moisture is sufficiently removed or a high-purity inert gas (for example, high-purity nitrogen gas), and a gas component which is easily adsorbed on the surface and hardly desorbed ( It is effective to remove organic substances, moisture, etc.) from the high pressure gas.
  • a high-purity inert gas for example, high-purity nitrogen gas
  • Inert gases such as nitrogen have a higher adsorption rate on the surface than moisture or organic matter Is much lower, and the desorption rate from the surface is much higher.
  • the high-pressure gas even if a high-purity inert gas from which water and organic components are removed as much as possible is used as the high-pressure gas, even if the slide moves from the hydrostatic bearing to the vacuum environment, the amount of released gas is small and the amount of released gas is small. Since the decay is fast, the deterioration of the degree of vacuum can be reduced. Therefore, it is possible to suppress the pressure rise when the stage moves.
  • the base material is metal, TiC (titanium carbide), TiN (titanium nitride), nickel plating, passivation treatment, electrolytic polishing, composite electrolytic polishing, glass bead shot
  • the base material is a SiC ceramic, it is considered that the SiC layer is densely coated by CVD or the like. Therefore, it is possible to further suppress the rise in pressure when the stage moves.
  • the present invention resides in a wafer defect inspection apparatus for inspecting a defect on a semiconductor wafer surface using the above-described apparatus.
  • an inspection device with high stage positioning performance and a stable vacuum degree in the irradiation area of the charged beam can be realized, so that the inspection device has high inspection performance and does not contaminate the sample. Can be provided.
  • the present invention resides in an exposure apparatus for drawing a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the above-described apparatus.
  • an exposure apparatus with high stage positioning performance and stable vacuum degree in the charged beam irradiation area can be realized, so that an exposure apparatus with high exposure precision and no risk of contaminating the sample is provided. Can be offered.
  • the present invention resides in a semiconductor manufacturing method for manufacturing a semiconductor using the above-described apparatus.
  • a fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has high precision stage positioning performance and a stable vacuum degree in the charged beam irradiation area.
  • the present invention has been made in view of the above-described circumstances, and has as its object to provide a defect inspection apparatus that prevents a decrease in defect inspection accuracy due to a positional shift between an image to be inspected and a reference image. Further, the present invention provides a semiconductor device manufacturing process which improves the yield of device products and prevents the shipment of defective products by performing a defect inspection of a sample using the above-described defect inspection apparatus in a semiconductor device manufacturing process. Another purpose is to provide a method.
  • a defect inspection apparatus 300 of the present invention is a defect inspection apparatus for inspecting a defect of a sample, and includes a plurality of inspection objects displaced from each other while partially overlapping on the sample.
  • Image acquisition means for acquiring an image of each area
  • storage means for storing a reference image
  • a defect judging means for judging a defect of the sample by performing the method.
  • the sample to be inspected any one that can detect a defect can be selected.
  • the present invention has an excellent effect when it is applied to a semiconductor device. it can.
  • the image acquiring means acquires images of a plurality of inspection areas displaced from each other while partially overlapping each other on the sample
  • the defect judging means acquires the images of the plurality of inspection areas acquired.
  • the defect of the sample is determined by comparing the image with a reference image stored in advance.
  • the comparing means performs, for example, a so-called matching operation between the acquired images of the plurality of inspection areas and the reference image, and at least one image of the plurality of inspection areas substantially differs from the reference image. If no sample is found, it is determined that the sample has no defect. Conversely, when there is a substantial difference between the images of all the inspected areas and the reference image, the defect is detected with high accuracy by determining that the sample has a defect.
  • the preferred embodiment of the present invention further includes charged particle irradiation means 3100 for irradiating each of the plurality of inspection areas with the primary charged particle beam and emitting a secondary charged particle beam from the sample.
  • charged particle irradiation means 3100 for irradiating each of the plurality of inspection areas with the primary charged particle beam and emitting a secondary charged particle beam from the sample.
  • the charged particle beam is preferably an electron beam.
  • the charged particle irradiation means includes a particle source for emitting primary charged particles, and a deflecting means for deflecting the primary charged particles, and deflects the primary charged particles emitted from the particle source by the deflecting means.
  • the plurality of regions to be inspected are sequentially irradiated with the primary charged particles.
  • a further aspect of the present invention is characterized by having a primary optical system for irradiating a sample with a primary charged particle beam and a secondary optical system for guiding secondary charged particles to a detector.
  • a semiconductor manufacturing method includes a step of using a defect inspection apparatus according to each of the above-described aspects to inspect a defect during processing or a finished product.
  • the beam device 400 is a primary electron beam irradiation device that irradiates a plurality of primary electron beams onto a sample surface, and a secondary electron beam from each of a plurality of primary electron beam irradiation points formed on the sample surface.
  • An electron beam device having a secondary electron detector for detecting an electron beam and detecting a secondary electron beam from a predetermined region of a sample surface while moving the sample, wherein the primary electron beam irradiation device is a sample
  • the primary electron beam irradiating points to be formed on the surface are arranged in N rows in the moving direction of the sample and M columns in a direction perpendicular thereto, and the first to Nth rows of the primary ningko beam irradiating points Each line is sequentially shifted by a fixed amount in the direction perpendicular to the sample moving direction.
  • the primary electron beam irradiation apparatus forms an electron gun and a plurality of electron beams that form the N rows and M columns of primary electron beam irradiation points by receiving electrons emitted from the electron gun. And an aperture plate having a plurality of apertures, wherein the apertures are located within a predetermined electron density range of electrons emitted from the electron gun.
  • each of the primary electron beam irradiation points runs in a direction perpendicular to the moving direction of the sample by (interval between the columns) / (number of rows N) +0: (Where ⁇ is the width over which the overlap scan is performed with the primary electron beam irradiation point in the next row, and may be from —1% to + 20%, but it is usually about 1% of the scan width. 0% or less).
  • ⁇ and ⁇ are independent integers of 1 or more.
  • the secondary electron beam detected by the secondary electron detector is used for required measurements such as measurement of defects on the sample surface, measurement of wiring width of integrated circuits formed on the sample surface, measurement of potential contrast, measurement of alignment accuracy, etc. It can be used.
  • the primary electron beam irradiation apparatus includes a plurality of the electron guns and a plurality of the corresponding aperture plates, and each of the electron guns and the corresponding aperture plate includes: A plurality of primary electron beam irradiation systems configured to form the plurality of primary electron beams for irradiation on the sample surface, wherein the primary electron beam of each primary electron beam irradiation system is It does not interfere with the primary electron beam of the primary electron beam irradiation system, and
  • a plurality of the secondary electron detectors may be provided corresponding to each of the primary electron beam irradiation systems. In this way, the specimen can be moved and inspected with a wider scanning width, so that the inspection efficiency can be further improved.
  • the present invention relates to an electron beam apparatus that irradiates a sample with a multi-beam and detects secondary electrons from the sample with a multi-detector. It is an object of the present invention to provide an electron beam apparatus that solves the problems different from each other and that makes each beam of primary electrons have substantially the same beam intensity.
  • the present invention provides an electron beam apparatus 410 that irradiates a sample with a multi-beam and detects secondary electrons from the sample with a multi-detector.
  • an electron beam apparatus 410 that irradiates a sample with a multi-beam and detects secondary electrons from the sample with a multi-detector.
  • the purpose is to:
  • Still another object of the present invention is to provide a method for evaluating a device during a manufacturing process using the above-described apparatus.
  • a plurality of aperture images obtained by irradiating an electron beam emitted from an electron beam source onto an aperture plate having a plurality of apertures are incident on a sample, and a secondary beam emitted from the sample is emitted.
  • an electron beam device that separates electrons from the primary optical system and makes them incident on the secondary optical system, expands the secondary optical system and projects it on the detector surface, the position of the image of the electron beam source created by the lens of the primary optical system
  • a single aperture plate is provided at a position shifted toward the electron beam source, and the position of the aperture plate in the optical axis direction is set so that the difference in beam intensity from each aperture incident on the sample surface is minimized.
  • the difference in beam intensity between each of the multi-beams incident on the sample surface is reduced.
  • the light can be uniformly incident on the sample surface, so that the inspection and measurement accuracy can be improved.
  • a plurality of aperture images obtained by irradiating an electron beam emitted from an electron beam source onto an aperture plate having a plurality of apertures are made incident on a sample, and secondary electrons emitted from the sample are emitted from the sample.
  • a single aperture plate is provided at a position shifted from the position to the electron beam source side, and the amount of the shift is such that the detection amount of secondary electrons obtained when a sample without a pattern is placed on the sample surface is a plurality of the plurality of plates. The difference between the openings is minimized.
  • the present invention is characterized in that a wafer in the middle of a manufacturing process is evaluated using the above-mentioned electron beam apparatus.
  • An electron beam emitted from one electron gun irradiates an aperture plate having a plurality of apertures to create a plurality of electron beams, and the electron beams from each of the apertures are reduced by a primary optical system to be applied to a sample surface.
  • the projection and scanning device has a problem that each electron beam is not projected to a desired position due to distortion of the primary optical system.
  • the primary optical system that reduces the electron beam and projects it on the sample surface has visual field astigmatism, the size and shape of the electron beam are different between near and off the optical axis of the primary optical system. is there.
  • the present invention has been proposed to solve the above-mentioned problems of the conventional electron beam apparatus.
  • One object of the present invention is to correct distortion of a primary optical system and aberration of a secondary optical system
  • An object of the present invention is to provide an electron beam device capable of reducing astigmatism of a primary optical system.
  • Another object of the present invention is to perform various evaluations of a device during a process using such an electron beam device. Accordingly, it is an object of the present invention to provide a device manufacturing method for improving the yield of devices.
  • the present invention is directed to irradiating an aperture plate having a plurality of openings with an electron beam emitted from an electron gun, and converting a reduced image of the primary electron beam passing through the plurality of openings into a primary optical beam.
  • the positions of the plurality of openings are set.
  • the present invention provides a method for irradiating a first multi-opening plate having a plurality of openings with an electron beam emitted from an electron gun, and using a primary optical system to reduce a reduced image of the primary electron beam passing through the plurality of openings.
  • an opening formed in the second multi-aperture is corrected so as to correct distortion of the secondary optical system. Set the position.
  • an aperture plate having a plurality of openings is irradiated with an electron beam emitted from an electron gun, and a reduced image of the primary electron beam passing through the plurality of openings is projected onto a sample using a primary optical system.
  • an electron beam emitted from an electron gun irradiates an aperture plate having a plurality of apertures, and a reduced image of a primary electron beam passing through the aperture is reduced using a primary optical system including an EXB separator.
  • the aforementioned electron beam device may be one of a group consisting of a defect inspection device, a line width measurement device, an alignment accuracy measurement device, an electric potential contrast measurement device, a defect review device, and a strobe SEM device.
  • the electron beam apparatus includes: irradiating the sample with electron beams from a plurality of the electron guns; and irradiating a secondary electron beam emitted from the sample with a plurality of electron beams provided in correspondence with the plurality of electron guns.
  • the detection may be performed by the detector.
  • the electron beam apparatus of the present invention can be used to evaluate the size of the device during the process.
  • the specific method of detecting secondary electrons with multiple detectors is not clear, and it is not clear whether a sample can be inspected and evaluated with high resolution.
  • the electron beam in the primary optical system is irradiated from an oblique direction to the sample surface.
  • the electron beam does not have an axially symmetric structure between the electrostatic objective lens and the sample, so that the electron beam cannot be narrowed down.
  • an electron beam device of a projection optical system is provided with an EXB separator, and the sample is inspected and evaluated by using a plurality of electron beams to inspect the sample. It is an object of the present invention to provide a specific electron beam apparatus capable of performing high-throughput and high reliability. Another problem to be solved by the present invention is to provide an electron beam apparatus capable of narrowing an electron beam. Another problem to be solved by the present invention is to provide an electron beam device that can correct chromatic aberration caused by using an EXB separator.
  • one of the inventions of the present application includes a single electron gun that emits an electron beam, an aperture plate having a plurality of holes, a plurality of lenses, and at least two EXB separators that are spaced apart from each other.
  • a secondary optical system that separates from the primary optical system and makes it incident on a secondary electron detection device for detection, and irradiates an electron beam from the electron gun to the aperture plate to form images of a plurality of holes.
  • Forming the position of the image of the plurality of holes are matched with the respective positions of the EXB separator, and the directions of the electron beams deflected by the electric fields of the respective EXB separators are opposite to each other when viewed on the sample surface.
  • inspection / evaluation of a sample using a plurality of electron beams can be performed with high throughput and with high reliability.
  • chromatic aberration caused by the EXB separator can be corrected, and the electron beam can be narrowed down, so that high inspection accuracy can be secured.
  • the deflection amount of the electron beam deflected by the electric field of the EXB separator is opposite to the deflection amount due to the magnetic field and the absolute value thereof when viewed on the sample surface. May be configured to be equal.
  • the electron beam devices as described above may be arranged in two rows and plural columns so that the paths of the secondary electrons deflected by the EXB separator do not interfere with each other. As a result, sample inspection and evaluation can be performed with high throughput and with high reliability.
  • a single electron gun for emitting an electron beam
  • an aperture plate provided with a plurality of holes, a plurality of lenses, and an EXB separator
  • the electron beam from the electron gun is inspected.
  • the primary optical system that irradiates the surface of the sample to be irradiated, and the secondary electrons emitted from the sample are separated from the primary optical system by the EXB separator and made to enter the secondary electron detector.
  • the electron beam deflecting operation is performed by matching the position and by superimposing a scanning voltage on the electric field of the EXB separator.
  • the EXB separator and the deflector can be shared, and both can be arranged at the optimum positions.
  • the electron beam device may be any one of a defect inspection device, a line width measurement device, a defect review device, an EB tester device, and a potential contrast measurement device.
  • Still another invention of the present application is to manufacture a device by evaluating a wafer in the process using the electron beam apparatus.
  • An object of the present invention is to provide an electron beam apparatus capable of performing electro-optical focusing in a short time, and a semiconductor device manufacturing method using the apparatus.
  • the present invention provides a method for producing a plurality of primary electrons by using a primary optical system. After irradiating the sample with a secondary electron beam emitted from the sample, the secondary electron beam is injected into the secondary optical system by an EXB separator after passing through the objective lens.
  • an electron beam apparatus characterized by measuring at least three pieces of data representing a rising width of an electric signal corresponding to the intensity of a secondary electron beam, which is obtained when scanning is performed. Thereby, focusing of the electron optical system can be executed in a short time.
  • the electron beam apparatus described above is disposed as a lens barrel so as to face a plurality of samples, and the primary optics of each lens barrel irradiates a plurality of primary electron beams onto the sample at a position different from the other lens barrels. You may comprise. Thereby, the throughput can be improved.
  • the electron beam apparatus is configured to obtain the excitation condition of the objective lens in a state where the pattern on the wafer is charged.
  • the primary optical system irradiates the sample with a plurality of primary electron beams, and the secondary electron beam emitted from the sample is injected into the secondary optical system by the EXB separator after passing through the objective lens.
  • the present invention provides an electron beam device in which the interval between a plurality of secondary electron beams is enlarged by at least one stage lens and detected by a plurality of detectors.
  • the objective lens includes: a first electrode to which a first voltage close to the ground is applied; and a second electrode to which a second voltage higher than the first voltage is applied.
  • the focal length of the objective lens is changed by changing the first voltage applied to the one electrode, and the excitation means for exciting the objective lens greatly changes the focal length of the objective lens.
  • the present invention further provides a method for manufacturing a semiconductor device, wherein a wafer is evaluated during or after a process using the above-described electron beam apparatus.
  • an electron beam device capable of actually detecting secondary electrons with multiple detectors and inspecting and evaluating samples with high resolution can be put into practical use.
  • such an electron beam apparatus has a high throughput but a high resolution.
  • a mode that can detect only relatively large defects with a relatively low degree hereinafter referred to as a standard mode
  • a mode that can detect even very small defects with low throughput but high resolution hereinafter referred to as a high-resolution mode
  • one of the inventions of the present application is to form an electron beam emitted from a single electron gun into a multi-beam by an aperture plate having a plurality of holes, and to convert the multi-beam into at least two stages.
  • a primary optical system that scans a sample to be inspected by reducing it with an electrostatic lens, and secondary electrons emitted from the sample are separated from the primary optical system by an EXB separator after passing through the electrostatic objective lens,
  • a secondary optical system that enlarges the image with at least one stage of electrostatic lens and makes it incident on multiple detectors is provided.
  • the mode has a large throughput but a relatively low resolution, and a mode with a small throughput but a high resolution.
  • the sample is evaluated with at least two types of pixel dimensions.
  • two modes a standard mode and a high-resolution mode, can be used in one device.
  • the reduction ratio of the multi-beam in the primary optical system is related to the magnification ratio of the electrostatic lens in the secondary optical system.
  • the crossover image in the primary optical system is formed on the main surface of the electrostatic objective lens in the mode in which the throughput is large but the resolution is relatively low. I have to.
  • the magnification of the secondary optical system is adjusted by an electrostatic lens provided closer to the detector than the aperture aperture arranged in the secondary optical system.
  • the device is manufactured by evaluating the wafer in the process using the electron beam apparatus as described above.
  • the conventional scanning electron microscope scans the sample surface with a thin electron beam, that is, a beam. Therefore, when a sample having a large area is evaluated, there is a problem that the throughput is significantly reduced.
  • the above-described known charge-up detection function requires that various currents be measured with a high time resolution, and the charge-up state cannot always be detected correctly.
  • the present invention has been made in view of the above problems, and one problem to be solved by the present invention is to provide an electron beam apparatus capable of improving the throughput and evaluating a sample with higher reliability. That is. Another problem to be solved by the present invention is to improve the reliability of evaluation by improving the charge-up detection function while improving the throughput by simultaneously irradiating the sample with a plurality of electron beams. To provide. Still another object of the present invention is to provide a method for manufacturing a device that can evaluate a sample during or after a process with a high manufacturing yield by using the above-described electron beam apparatus.
  • One invention of the present application is directed to a primary optical system that generates, focuses, scans, and irradiates a sample on a primary electron beam, and receives secondary electrons emitted from an electron beam irradiated portion of the sample.
  • a secondary optical system having a single-stage lens, and a detector for detecting the secondary electrons, accelerating the secondary electrons emitted from the electron beam irradiation unit, and exchanging the secondary electrons from the primary optical system with an EXB separator.
  • the primary optical system In an electron beam device that separates and feeds the secondary electron into the secondary optical system, the secondary electron image is enlarged by the lens and detected by a detector, the primary optical system generates a plurality of primary electron beams.
  • a plurality of the detectors are provided in correspondence with the number of the primary electron beams, a retarding voltage applying device for applying a retarding voltage to the sample, Chas for adjusting the charge-up state of the sample And a backup adjustment function.
  • the electron beam apparatus has a function of determining an optimum retarding voltage based on information on a charge-up state from the charge-up investigation function and applying the same to the sample, or primary electron beam irradiation. A function to change the amount may be further provided.
  • An electron beam apparatus according to another aspect of the present invention has an optical system that irradiates a sample with a plurality of electron beams, and a charge-up investigation function. When an image is formed by detecting the generated secondary electrons with a plurality of detectors, the pattern distortion or pattern blur of a specific portion of the sample is evaluated. As a result, when the pattern distortion or pattern blur is large, the charge-up is performed. It is configured to evaluate as large.
  • the charge-up investigation function can apply a variable retarding voltage to the sample, and increase the pattern density of the sample when at least two retarding voltages are applied.
  • An apparatus may be provided which forms an image near a changing boundary and displays the image so that an operator can evaluate pattern distortion or pattern blur.
  • Still another object of the present invention is to provide a method for manufacturing a device, characterized in that a defect of a wafer during or after a process is detected using the above-mentioned electron beam apparatus.
  • the conventional EXB-type energy filter having the configuration shown in Fig. 54 can be used as an EXB separator in an inspection system that evaluates a semiconductor wafer by obtaining image data using an electron beam,
  • the area around the optical axis where the beam travels substantially without aberration is not very large.
  • the present invention has been made in view of such problems of the conventional example, and a first object of the present invention is to simplify the structure and facilitate the calculation of aberrations, and furthermore, to make the strength of the magnetic field and electric field uniform.
  • An object of the present invention is to provide an EXB separator having a large area around the optical axis.
  • the second object is to provide an electron beam device using an EXB separator that achieves the first object, and It is an object of the present invention to provide a method for manufacturing a semiconductor device including evaluating a semiconductor wafer using a slave device.
  • an EXB separator for generating an electric field and a magnetic field orthogonal to the optical axis and separating at least two electron beams traveling in different directions is an electric field.
  • An electrostatic deflector provided with a pair of parallel plate-like electrodes for generating an electric field, wherein the distance between the electrodes is set shorter than the length of the electrode orthogonal to the electric field; and And a toroidal or saddle-type electromagnetic deflector for deflecting the electron beam in the opposite direction to the device.
  • the electrostatic deflector may be configured to have at least six electrodes for generating an electric field and to generate a rotatable electric field.
  • the toroidal or saddle type electromagnetic deflector has two sets of electromagnetic coils for generating magnetic fields in both directions of an electric field and a magnetic field. It is preferable that the ratio is adjusted so that the direction of deflection by the electromagnetic deflector can be adjusted so as to be opposite to the direction of deflection by the electrostatic deflector.
  • an electrostatic deflector inside the saddle type or toroidal type electromagnetic deflector, thereby forming the electromagnetic deflector into two divided states, These can be mounted on the outer periphery of the electrostatic deflector and integrated, thereby facilitating the manufacture of the EXB separator.
  • the present invention also provides a semiconductor wafer having a plurality of primary electron beams, irradiating the semiconductor wafer with the plurality of primary electron beams, detecting the secondary electron beams from the wafer with a plurality of detectors, and obtaining image data.
  • an inspection equipment using the above-mentioned EXB separator for separating the primary electron beam and the secondary electron beam is also provided.
  • the problem to be solved by the present invention is to provide a charged beam device that can be simplified in structure and compact in size without a differential pumping mechanism of the XY stage.
  • Another problem to be solved by the present invention is that the housing containing the XY stage is evacuated to a vacuum and a charged pump provided with a differential pumping mechanism for evacuating the area irradiated with the charged beam on the sample surface. It is to provide a beam device.
  • Still another problem to be solved by the present invention is a defect inspection for inspecting a sample surface using the above charged beam apparatus.
  • An object of the present invention is to provide an apparatus or an exposure apparatus for drawing a pattern on the surface of a sample.
  • Still another object to be solved by the present invention is to provide a semiconductor manufacturing method for manufacturing a semiconductor device using the above charged beam device.
  • the XY stage is housed in a housing and supported by a static pressure bearing in a non-contact manner with respect to the housing.
  • the housing accommodating the stage is evacuated, and a differential beam for evacuating a region of the sample surface to be irradiated with the charged beam is provided around a portion of the charged beam device where the charged beam is irradiated on the sample surface.
  • An exhaust mechanism is provided.
  • the high-pressure gas for the static pressure bearing that has leaked into the vacuum chamber is first exhausted by the evacuation pipe connected to the vacuum chamber.
  • the pressure in the area irradiated with the charged beam is reduced significantly from the pressure in the vacuum chamber. It is possible to stably achieve a degree of vacuum at which processing of a sample by the method can be performed without any problem.
  • a stage with a structure similar to that of a static pressure bearing type stage generally used in the atmosphere (a stage supported by a static pressure bearing without a differential exhaust mechanism) is used for the sample on the stage. Processing by a charged beam can be performed stably.
  • the gas supplied to the static pressure bearing of the XY stage is dry nitrogen or a high-purity inert gas
  • the dry nitrogen or the high-purity inert gas is a housing for accommodating the stage. After being evacuated from the chamber, it is pressurized and supplied again to the static pressure bearing.
  • the present invention since the residual gas component in the vacuum housing becomes an inert gas of high purity, the surface of the sample and the surface of the structure in the vacuum chamber formed by the housing are contaminated with moisture, oil, and the like. In addition, there is no danger, and even if the inert gas molecules are adsorbed on the sample surface, they are quickly separated from the sample surface if exposed to the differential pumping mechanism or the high vacuum part of the charged beam irradiation area. The effect on the degree of vacuum can be minimized, and the processing of the sample by the charged beam can be stabilized.
  • the present invention resides in a wafer defect inspection device for inspecting a defect on a surface of a semiconductor wafer using the charged beam device.
  • the present invention resides in an exposure apparatus that draws a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the charged beam device. This makes it possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high precision and the degree of vacuum in the charged beam irradiation area is stable.
  • the present invention relates to a semiconductor manufacturing method for manufacturing a semiconductor using the charged beam apparatus, wherein the semiconductor is manufactured by an apparatus in which the stage positioning performance is high accuracy and the degree of vacuum in the charged beam irradiation area is stable. Thereby, a fine semiconductor circuit can be formed.
  • a symmetric doublet lens for example, when making a reduction lens system, two stages of lenses are required, and the dimensional ratio of each lens needs to be the same as the reduction ratio. For example, if a 1Z10 reduction system is to be created, the lens on the smaller side cannot be made smaller than the size determined by processing accuracy, etc., so for example, if the pore diameter is about 5 mm and the lens gap is about 5 mm, The larger lens has a pore diameter of 50 ⁇ and a lens gap of 50 mm. There were problems such as the conditions going out of order.
  • an object of the present invention is to provide an electron optical system in which the magnification can be adjusted with two or more lens systems and the chromatic aberration of magnification can be corrected with a single lens. It is another object of the present invention to provide a method for performing an e-evaluation for discovering the cause of a decrease in the yield in device manufacturing at an early stage using the above-described apparatus.
  • the present invention relates to an electron beam apparatus that focuses a plurality of electron beams by a lens system including a condenser lens and forms an image on a sample with an objective lens.
  • An electron beam apparatus characterized in that the over position is located near the lens system side of the objective lens. Specifically, the crossover position is on the lens system side from the main surface of the objective lens.
  • the plurality of electron beams are a plurality of electron beams emitted from a single electron gun and formed through a plurality of openings, a plurality of electron beams emitted from a plurality of electron guns, or a single electron. It can be multiple electron beams emitted from multiple emitters formed on the gun.
  • the present invention also provides a device manufacturing method characterized in that an evaluation of a device during a manufacturing process is performed using the electron beam apparatus as described above.
  • the present invention uses a plurality of primary electron beams, scans the plurality of electron beams in a one-dimensional direction (X direction), vertically enters the sample surface through an EXB filter (Wien filter), and converts secondary electrons from the sample. It is separated from the primary electron beam by the EXB filter and extracted in the oblique direction with respect to the axis of the primary electron beam. Further, the image is focused or focused on the detection system by the lens system. The stage is moved vertically (y direction) with respect to the primary electron beam scanning direction (X direction) to acquire a continuous image.
  • the condition is set so that the force received by the electron beam from the electric field and the intensity received from the magnetic field are equal in the opposite direction (Wien condition), and the primary electron beam goes straight.
  • the secondary electron beam is bent from the axial direction of the primary electron beam because the direction of the electric and magnetic fields acting on the secondary electrons is the same because the direction is opposite to that of the primary electron beam. .
  • the primary electron beam and the secondary electron beam are separated.
  • the aberration when it is bent is greater than when it goes straight, so detectors are provided for each primary electron beam that require high accuracy.
  • the secondary electrons from the corresponding primary electron beam always enter the corresponding detector by the imaging system. For this reason, it is possible to eliminate mixing of signals.
  • the detector we use Scintillation + Photomultiplier (Photomultiplier).
  • the beam diameter was 0.1 m and the beam current was 20 nA for each of the 16 primary electron beams, and a current value approximately three times that of a commercially available device was obtained.
  • Electron gun electron beam source
  • Electron emission (emitter jitter) material is L a B 6. High melting point (low vapor pressure at high temperature) and low work function Other materials can be used.
  • Two methods are used to obtain multiple electron beams. One method is to extract one electron beam from one emitter (one projection) and pass it through a thin plate with multiple holes (aperture plate) to obtain multiple electron beams. One method is to form multiple projections on a single emitter and extract multiple electron beams directly from them. In each case, the property that the electron beam is easily emitted from the tip of the projection is used.
  • Other types of electron beam sources for example, a thermal field emission type electron beam, can also be used.
  • the thermionic electron beam source emits electrons by heating the electron-emitting material.
  • a thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material. This method stabilizes electron emission by heating the electron beam emitting part.
  • the evacuation system includes a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, and the like, and evacuates the electron optical system, the detector unit, the sample chamber, and the load lock chamber according to a predetermined sequence. In each part, the vacuum valve is controlled to achieve the required degree of vacuum. The degree of vacuum is monitored at all times, and in the event of an abnormality, emergency control of the isolation valve etc. is performed by the interlock function to secure the degree of vacuum.
  • a vacuum pump will be used for the main exhaust, and a roots dry pump will be used for roughing.
  • Pressure inspection site is 1 0 _ 3 ⁇ 1 0- 5 P a, preferably 1 0 of 1 decade - a 4 ⁇ 1 0- 6 P a practical.
  • the control system mainly includes a main controller, a control controller, and a stage controller.
  • the main controller is equipped with a man-machine interface through which the operator's operations are performed (various instructions / commands, input of recipes, etc., instructions for starting inspections, switching between automatic and manual inspection modes, manual operation). Input all necessary commands in the inspection mode).
  • communication with the host computer in the factory control of the evacuation system, transport of samples such as wafers, control of alignment, transmission of commands to other control controllers and stage controllers, and reception of information are also main tasks.
  • the controller is mainly responsible for controlling the electron beam optics (such as controlling high-precision power supplies for electron guns, lenses, aligners, and Vienna Hilters). Specifically, each operation mode, such as ensuring that a constant electron current is always applied to the irradiation area even when the magnification changes, automatic voltage setting to each lens system aligner corresponding to each magnification, etc. Control (interlocking control) such as automatic voltage setting for each lens system liner corresponding to the above is performed.
  • the stage controller mainly controls the movement of the stage and enables precise m-order movement in the X and Y directions (error of about ⁇ 0.5 x m).
  • rotation direction control ( ⁇ control) is also performed within an error accuracy of about ⁇ 0.3 seconds.
  • the inspection procedure (FIG. 63) is performed as follows.
  • defect inspection equipment using electron beams is expensive and has a lower throughput than other processing equipment. Therefore, at the present time, the important processes that are most required to be inspected (for example, etching, film formation, Or after CMP (chemical mechanical polishing) planarization.
  • CMP chemical mechanical polishing
  • the wafer to be inspected is positioned on the ultra-precision XY stage through the atmospheric transfer system and the vacuum transfer system, and then fixed by the electrostatic chuck mechanism. Done.
  • the optical microscope also acquires an optical microscope image of a place where a defect is desired to be observed, and is also used for comparison with an electron beam image.
  • Defects include particle defects, shape defects (pattern defects), and electrical defects (such as disconnection and poor conduction of wiring or vias). Can be automatically and in real time classified.
  • the detection of an electrical defect is achieved by detecting a contrast abnormality.
  • locations with poor conduction are usually positively charged by electron beam irradiation (approximately 500 eV), and their contrast is reduced, so they can be distinguished from normal locations.
  • the electron beam irradiating means in this case is a low-energy electron beam generating means (thermoelectron generation, UVZ photoelectrons) provided separately from the electron beam irradiating means for normal inspection and provided separately to enhance the contrast due to the potential difference.
  • This low-energy electron beam is generated and irradiated before irradiating the inspection target area with the electron beam for inspection.
  • the electron beam for inspection can be positively charged by itself, it is not necessary to separately provide a low potential electron beam generating means depending on the specification. Also, by applying a positive or negative potential to a sample such as a wafer with respect to a reference potential (caused by the difference in ease of flow depending on the forward or reverse direction of the element), defect detection from differences in contrast Can be done. It can also be used for line width measurement equipment and alignment accuracy measurement.
  • the electron beam apparatus of the present invention When the electron beam apparatus of the present invention is operated, the target substance is released and attracted to the high-pressure region due to the proximity interaction (charge of particles near the surface), so that various electron beams used for forming and deflecting the electron beam are used. Organic substances are deposited on the electrodes.
  • Insulators that gradually accumulate due to surface charging have an adverse effect on electron beam formation and deflection mechanisms, so the accumulated insulators must be removed periodically.
  • Periodic removal of the insulator is achieved by using an electrode in the vicinity of the area where the insulator is to be deposited, using hydrogen, oxygen or fluorine in a vacuum.
  • plasma containing HF, O 2 , H 20 , and C M F N, etc. and the plasma potential in the space is changed to a potential at which a sputter is generated on the electrode surface (several kV, for example, 20 V-5 k By maintaining at V), only organic substances are removed by oxidation, hydrogenation and fluorination.
  • FIG. 1 is an elevational view showing main components of an inspection apparatus according to a first embodiment of the present invention, and is a view along line A_A in FIG.
  • FIG. 2 is a plan view of main components of the inspection apparatus shown in FIG. 1, and is a view taken along line BB of FIG.
  • FIG. 3A is a cross-sectional view of the mini-environment device of FIG. 1 taken along line CC
  • FIG. 3B is a side view of another type of mini-environment device.
  • FIG. 4 is a view showing the loader housing of FIG. 1 and is a view taken along line D-D of FIG.
  • FIG. 5A and 5B are enlarged views of the wafer rack, FIG. 5A is a side view, and FIG. 5B is a cross-sectional view taken along line EE in FIG. 5A.
  • 6A and 6B are diagrams showing first and second modified examples of the method of supporting the main housing.
  • FIG. 7 is a layout diagram showing a schematic configuration of an electron optical device according to a second embodiment of the present invention used in the inspection device of FIG.
  • FIG. 8 is a diagram showing a positional relationship of openings of a multi-aperture plate used in a primary optical system of the electron optical device in FIG.
  • FIG. 9 is a diagram showing a potential application mechanism.
  • FIGS. 10A and 10B are diagrams for explaining the electron beam calibration mechanism.
  • FIG. 10A is a side view
  • FIG. 10B is a plan view.
  • FIG. 11 is a schematic explanatory view of a wafer alignment control device.
  • FIG. 12 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention.
  • FIG. 13 is a flowchart showing a lithography step which is the core of the wafer processing step of FIG.
  • FIG. 14A is a view schematically showing an optical system of an electron beam apparatus according to Embodiment 3 of the present invention
  • FIG. 14B is an enlarged view showing an image formed by a plurality of beams on a sample surface.
  • FIG. 15 is a diagram illustrating a secondary optical system and an aperture angle according to a third embodiment of the present invention.
  • FIG. 16 is a diagram showing the relationship between aberration on the sample surface 10 and the half-angle of the aperture i.
  • FIG. 17A is a plan view of the multi-emitter
  • FIG. 17B is a cross-sectional view taken along line 17 B—17 B of FIG. 17A.
  • FIGS. 18A and 18B are diagrams showing a vacuum chamber and an XY stage of a conventional charged beam device, wherein FIG. 18A is a front view and FIG. 18B is a side view.
  • FIG. 19 is a schematic perspective view of an exhaust mechanism used for the XY stage in FIGS. 18A and 18B.
  • FIG. 2OA and FIG. 20B are a front view and a side view showing a vacuum chamber and an XY stage of the charged beam apparatus according to the fourth embodiment of the present invention.
  • FIG. 21 is a sectional view showing a vacuum chamber and an XY stage of a charged beam device according to a fifth embodiment of the present invention.
  • FIG. 22 is a sectional view showing a vacuum chamber and an XY stage of a charged beam device according to a sixth embodiment of the present invention.
  • FIG. 23 is a diagram showing a vacuum chamber and an XY stage of the charged beam device according to the seventh embodiment of the present invention.
  • FIG. 24 is a diagram showing a vacuum chamber and an XY stage of the charged beam device according to the eighth embodiment of the present invention.
  • FIG. 25 is a schematic layout diagram showing an optical system and a detection system of Embodiment 9 of the present invention provided in the lens barrel of the embodiment of FIGS.
  • FIG. 26 is a schematic configuration diagram of the defect inspection apparatus according to the tenth embodiment of the present invention.
  • FIG. 27 is a diagram showing an example of a plurality of images to be inspected and a reference image acquired by the defect inspection apparatus of FIG.
  • FIG. 28 is a flow chart showing the flow of the main routine for wafer inspection by the defect inspection apparatus of FIG.
  • FIG. 29 is a flowchart showing a detailed flow of a subroutine of a plurality of inspection image data obtaining steps (step 334) in the flowchart of FIG.
  • FIG. 30 is a flowchart showing a detailed flow of the subroutine of the comparison step (step 308) in FIG.
  • FIG. 31 is a diagram showing a specific configuration example of a detector of the defect inspection apparatus of FIG.
  • FIG. 32 is a diagram conceptually showing a plurality of inspection regions whose positions are shifted from each other while partially overlapping on the surface of the semiconductor device A8.
  • FIG. 33 is a configuration diagram of a scanning electron beam apparatus that constitutes the defect inspection apparatus according to Embodiment 11 of the present invention.
  • FIG. 34 is a layout diagram showing main elements of an electron beam apparatus according to Embodiment 12 of the present invention.
  • FIG. 35A is a plan view of the aperture plate of the apparatus of FIG. 34
  • FIGS. 35B and 35C are plan views showing the arrangement of the openings.
  • FIG. 36 is a diagram showing the arrangement of primary electron beam irradiation points formed on the sample surface by the electron beam apparatus of FIG.
  • FIG. 37 is a schematic configuration diagram of an electron beam device according to Embodiment 13 of the present invention.
  • FIG. 38 is a schematic layout diagram showing an optical system of an electron beam device according to Embodiment 14 of the present invention.
  • FIG. 39 is a plan view showing an example of a multi-aperture plate used in the electron beam device of FIG. It is a figure.
  • FIG. 40 is a plan view showing an example of a detector aperture plate used in the electron beam apparatus of FIG.
  • FIGS. 41A and 41B are plan views showing another example of the multi-aperture plate used in the electron beam apparatus of FIG.
  • FIG. 42 is an arrangement diagram showing an optical system of an electron beam apparatus according to Embodiment 15 of the present invention.
  • FIG. 43 is a plan view showing a state in which the optical systems of the electron beam apparatus of FIG. 42 are arranged in parallel on a wafer in two rows and plural columns.
  • FIG. 44A is a schematic layout diagram of the electron beam apparatus of Embodiment 16 of the present invention
  • FIG. 44B is a plan view showing the aperture of the multi-aperture plate
  • FIG. FIG. 4 is a layout diagram showing a structure to be applied.
  • Figure 45 shows the relationship between the voltage applied to the objective lens and the rise width of the electrical signal.
  • FIG. 45B is a graph for explaining the rising width of the electric signal.
  • FIG. 46 is a schematic layout diagram of an optical system of an electron beam apparatus according to Embodiment 17 of the present invention.
  • FIG. 47 is a plan view showing the arrangement of respective openings in the first opening plate and the second opening plate of the electron beam apparatus of FIG. 46 of the present invention.
  • FIG. 48 is a schematic layout diagram of an electron beam apparatus according to Embodiment 18 of the present invention.
  • FIG. 49 is a plan view showing the positional relationship of the openings of the multi-aperture plate used in the primary optical system of the electron beam device of FIG.
  • FIG. 5OA is a diagram for explaining a charge-up evaluation place and an evaluation method
  • FIG. 50B is a diagram for comparing the contrast of the signal intensity.
  • FIG. 51 is a cross-sectional view orthogonal to the optical axis of the EXB separator of Embodiment 19 of the present invention.
  • FIG. 52 is a cross-sectional view orthogonal to the optical axis of the EXB separator of Embodiment 20 of the present invention.
  • FIG. 53A is a schematic layout diagram of a wafer defect inspection apparatus according to the embodiment 21 of the present invention in which the EXB separator of FIG. 51 or FIG. 52 can be used
  • FIG. FIG. 4 is a diagram showing a positional relationship between openings of a plate. '
  • FIG. 54 is an explanatory diagram showing a configuration of an EXB energy filter of a conventional example.
  • FIG. 55 is a sectional view showing the vacuum chamber and the XY stage of the charged beam device according to the embodiment 22 of the present invention.
  • FIG. 56 is a diagram showing an example of a working exhaust mechanism provided in the charged beam device of FIG.
  • FIG. 57 is a diagram showing a gas circulation piping system of the charged beam device of FIG.
  • FIG. 58 is a schematic arrangement diagram showing an optical system and a detection system of the charged beam device according to Embodiment 23 of the present invention.
  • FIG. 59 is a schematic layout diagram of the electron beam apparatus of the present invention.
  • FIG. 60 is a plan view of an aperture plate used in the electron beam apparatus of FIG.
  • FIG. 61 is a diagram showing a simulation of the objective lens of the electron beam device according to the present invention.
  • FIG. 62 is a graph showing the result of the simulation of FIG.
  • FIG. 63 is an inspection flowchart showing the inspection procedure.
  • FIG. 64 is a horizontal sectional view showing the electron beam deflector.
  • FIG. 65 is a side view showing a beam deflection state in the electron beam deflector.
  • FIG. 66 is a plan view for explaining a primary electron beam irradiation method according to the present invention.
  • Example 1 of the present invention in t FIGS. 1 and 2 illustrating a semiconductor inspection apparatus inspecting a substrate or wafer pattern formed on the surface as an inspection object, a semiconductor inspection
  • the main components of the device 1 are shown in elevation and in plane.
  • the semiconductor inspection apparatus 1 includes a cassette holder 10 that holds a cassette containing a plurality of wafers, a mini-environment apparatus 20, a main housing 30 that defines a working chamber, A loader housing 40 located between the mini-environment device 20 and the main housing 30 and defining two loading chambers, and a wafer is placed in the main housing 30 from the cassette holder 10.
  • the semiconductor inspection apparatus 1 further includes a precharge unit 81 disposed in a vacuum main housing 30, a potential applying mechanism 83 for applying a potential to a wafer (shown in FIG. 8), and an electron beam.
  • a calibration mechanism 85 (shown in FIG. 10) is provided, and an optical microscope 871 constituting an alignment control device 87 for positioning the wafer on the stage device.
  • the cassette holder 10 is a cassette c (for example, a closed cassette such as SMIF, F ⁇ UP manufactured by Assist Co., Ltd.) in which a plurality of (for example, 25) wafers are stored in a vertically arranged state. (Two in this embodiment).
  • the cassette holder has a structure suitable for transporting the cassette by a robot or the like and automatically loading the cassette into the cassette holder 10, and an open structure suitable for manually loading the cassette. It is designed to be able to select and install any of the cassette structures.
  • the cassette holder 10 is of a type in which the cassette c is automatically loaded, and includes, for example, an elevating table 11 and an elevating mechanism 12 for vertically moving the elevating tail 11.
  • the cassette c can be automatically set on the elevating table in the state shown by the chain line in Fig. 2, and after setting, it is automatically rotated to the state shown by the solid line in Fig. Unit's pivot axis. Also, The lifting table 11 is lowered to the state shown by the chain line in FIG.
  • the cassette holder used for automatic loading or the cassette holder used for manual loading may be of a known structure as appropriate. Detailed description is omitted.
  • a plurality of 30 ⁇ ⁇ ⁇ substrates W are housed in a groove-type pocket (not shown) fixed to the box body 501, and are transported and stored.
  • the substrate transport box 24 is connected to the rectangular tubular box body 501 and the board opening / closing door automatic opening / closing device, and is a board loading / unloading door 502 that can open and close the side opening of the box body 501.
  • a filter located on the opposite side to the opening, a lid 503 covering an opening / closing portion for attaching / detaching the fan motor, and a groove-shaped pocket for holding the substrate W (not shown) )
  • the substrate W is loaded and unloaded by the robotic first transport unit 612 of the loader 60.
  • the substrate or wafer stored in the cassette c is the wafer to be inspected, and such inspection is performed after or during the process of processing the wafer in the semiconductor manufacturing process.
  • a substrate that has undergone a film forming process, CMP, ion implantation, or the like, a wafer having a wiring pattern formed on its surface, or a wafer on which a wiring pattern has not yet been formed is housed in a cassette.
  • the first transfer unit can be held at any position with the first transfer unit to be described later. The arm of the bird can be moved up and down.
  • a mini-environment device 20 includes a housing 22 that defines a mini-environment space 21 that can be controlled in atmosphere, and a clean air space within the mini-environment space 21.
  • a gas circulating device 23 for circulating such gas to control the atmosphere, a mini-environment space 21
  • a discharge device 24 for collecting and discharging a part of the air supplied into the mini-environment space 21, and a mini-environment space 21 and a pre-aligner 25 for roughly positioning a substrate, ie, a wafer, to be inspected.
  • the housing 22 has a top wall 22 1, a bottom wall 22 2, and a peripheral wall 2 23 surrounding four circumferences.
  • the mini-environment space 21 is shielded from the outside.
  • a gas circulation device 23 is mounted on the top wall 22 1 in the mini-environment space 21 as shown in FIG.
  • a gas supply unit 231 which cleans the air (in this embodiment) and flows the clean air laminarly downwardly through one or more gas outlets (not shown); and a mini-environment.
  • a collection duct 2 32 which is arranged on the bottom wall 2 2 2 in the space and collects the air flowing down to the bottom, is connected to the collection duct 2 32 and the gas supply unit 2 31.
  • a conduit 233 for returning the air collected by the gas supply unit 231 to the gas supply unit 231.
  • the gas supply unit 23 1 takes in about 20% of the supplied air from the outside of the housing 22 and cleans it.
  • the proportion of the gas taken in from the outside is arbitrary. Can be selected.
  • the gas supply unit 231 is provided with an HEPA or ULPA filter having a known structure for producing clean air.
  • the laminar downward flow of the clean air, that is, the downflow, is mainly supplied so as to flow through a transport surface of a first transport unit, which will be described later, disposed in the mini-environment space 21. This prevents dust that may be generated by the dust from adhering to the wafer.
  • the downflow nozzle does not necessarily need to be located near the top wall as shown in the figure, but may be located above the transport surface of the transport unit. Also, there is no need to flow over the entire mini-environment space. In some cases, cleanliness can be ensured by using ionic wind as clean air. In addition, a sensor for observing cleanliness can be provided in the mini-empirical space, and the device can be shut down when cleanliness deteriorates.
  • An entrance / exit 225 is formed in a portion of the peripheral wall 222 of the housing 222 adjacent to the cassette holder 10.
  • a shirt device having a known structure may be provided near the entrance / exit 225 to close the entrance / exit 225 from the mini-environment device side.
  • the downflow of the laminar flow created near the wafer is, for example, at a flow rate of 0.3 to 0.4 m / sec.
  • the gas supply unit may be provided outside the mini-environment space instead of inside the mini-environment space.
  • the discharge device 24 includes a suction duct 241, which is disposed below the transfer unit at a position below the wafer transfer surface of the transfer unit, and a blower 1, which is disposed outside the housing 22. 4 2, and a conduit 2 4 3 connecting the suction duct 2 4 1 and the blower 2 4 2.
  • the discharge device 24 sucks, through the suction duct 241, gas containing dust that may flow down around the transport unit and may be generated by the transport unit, and the conduits 2443, 2444 and the blower. Discharge to the outside of the housing 2 through the first 2 4 2. In this case, the air may be discharged into an exhaust pipe (not shown) drawn near the housing 22.
  • the aligner 25 arranged in the mini-environment space 21 has an orientation flat formed on the wafer (a flat portion formed on the outer periphery of a circular wafer, hereinafter referred to as an orientation flat) and a wafer.
  • One or more V-shaped notches or notches formed in the outer peripheral edge are detected optically or mechanically to determine the position in the rotational direction around the axis of the wafer with an accuracy of about 1 degree. The position is to be determined.
  • the briar liner constitutes a part of a mechanism for determining coordinates of an inspection object of the invention described in the claims, and is in charge of coarse positioning of the inspection object. Since the briar liner itself may have a known structure, a description of its structure and operation will be omitted.
  • a collection duct for a discharging device may be provided at the lower part of the briar liner to discharge air containing dust discharged from the briar liner to the outside.
  • a main housing 30 defining a working chamber 31 includes a housing body 32, the housing body 32 of which is a vibration isolator or vibration isolator disposed on a base frame 36. It is supported by a housing support device 33 mounted on the device 37.
  • the housing support device 33 includes a frame structure 331, which is formed in a rectangular shape.
  • the housing body 3 2 is disposed and fixed on the frame structure 3 3 1, and the bottom wall 3 2 1, the top wall 3 2 2, the bottom wall 3 2 1 and the top wall placed on the frame structure
  • the working chamber 31 is provided with a surrounding wall 3 23 surrounding the four circumferences and connected to the working chamber 31 to isolate the working chamber 31 from the outside.
  • the bottom wall 3 2 1 does not generate distortion due to a load by a device such as a stage device mounted thereon.
  • a device such as a stage device mounted thereon.
  • the housing main body and the housing support device 33 are assembled in a rigid structure, and a vibration isolator for transmitting vibration from the floor on which the base frame 36 is installed to the rigid structure is provided. It stops at 3 7.
  • An entrance / exit 325 for taking in / out the wafer is formed in a peripheral wall of the peripheral wall 32 of the housing body 32 adjacent to a loader housing described later.
  • the vibration isolator may be an active type having an air spring, a magnetic bearing, or the like, or a passive type having them. Since any of them may have a known structure, the description of the structure and function of itself is omitted.
  • the working chamber 31 is maintained in a vacuum atmosphere by a vacuum device (not shown) having a known structure.
  • a control device 2 for controlling the operation of the entire apparatus is arranged below the platform frame 36.
  • the loader housing 40 includes a housing body 43 that defines a first loading chamber 41 and a second mouthing chamber 42.
  • the housing body 4 3 partitions the bottom wall 4 3 1, the top wall 4 3 2, the surrounding wall 4 3 3 surrounding four circumferences, the first loading chamber 4 1 and the second loading chamber 4 2.
  • the partition wall 434 is formed with an opening or an entrance 435 for exchanging wafers between the two opening chambers.
  • entrances 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device and the main housing.
  • the housing body 43 of the loader housing 40 is placed on and supported by the frame structure 331 of the housing support device 33. Accordingly, floor vibration is not transmitted to the loader housing 40.
  • the entrance 4 3 6 of the loader housing 40 and the entrance 2 2 6 of the housing 22 of the mini-environment device are aligned, and there is a space between the mini-environment space 21 and the first loading chamber 41.
  • a shirt evening device 27 for selectively blocking communication is provided.
  • the shirting device 27 is provided with a sealing material 271, which is fixed in close contact with the side wall 433 around the entrances 226 and 436, and cooperates with the sealing material 271.
  • Door 2 7 2 that blocks the flow of air through Drive device 273.
  • the entrance 4 3 7 of the loader housing 40 and the entrance 3 2 5 of the housing body 32 are aligned, and there is communication between the second loading chamber 42 and the parking chamber 31.
  • a shirting device 45 for selectively preventing sealing is provided.
  • the shutter device 45 includes a sealing material 451, a sealing material 451, which is in close contact with and fixed to the side walls 433 and 323 around the entrances 437 and 325.
  • a drive unit 453 for moving the door in cooperation with the door to block the flow of air through the doorway.
  • the opening formed in the partition wall 4 3 4 is provided with a shirt closing device 46 for closing the door 4 61 and selectively preventing the communication between the first and second loading chambers from being sealed.
  • a shirt closing device 46 for closing the door 4 61 and selectively preventing the communication between the first and second loading chambers from being sealed.
  • These shirting devices 27, 45, and 46 allow each chamber to be hermetically sealed when in the closed state. Since these shut-down devices may be known ones, detailed description of their structures and operations is omitted.
  • the method of supporting the housing 22 of the mini-environment device 20 and the method of supporting the loader housing are different. Vibration from the floor is transmitted to the loader housing 40 and the main housing 30 via the mini-environment device. In order to prevent this, a cushioning material for vibration isolation may be arranged between the housing 22 and the loader housing 40 so as to hermetically surround the entrance.
  • a wafer rack 47 for supporting a plurality of (two in this embodiment) wafers vertically in a horizontal state is provided.
  • the wafer rack 47 is provided with upright columns 472 fixed to the four corners of the rectangular substrate 471 at a distance from each other, and each of the columns 472 is provided with two steps. Support portions 473 and 474 are formed, and the periphery of the wafer W is placed and held on the support portions.
  • the arms of the first and second transfer units which will be described later, approach the wafer from between adjacent columns, and the arm grips the wafer.
  • the vacuum exhaust system of a known structure including a vacuum pump (not shown) (not shown) a high vacuum state by the (1 0 _ 5-1 0 one 6 P a as vacuum) Atmosphere can be controlled.
  • the first roady The loading chamber 41 can be kept in a low vacuum atmosphere as a low vacuum chamber, and the second loading chamber 42 can be kept in a high vacuum atmosphere as a high vacuum chamber, thereby effectively preventing wafer contamination.
  • the wafer accommodated in the loading chamber and subsequently inspected for defects can be transferred into the working chamber without delay.
  • the first and second loading chambers 41 and 42 are respectively connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (for example, dry pure nitrogen).
  • an inert gas for example, dry pure nitrogen.
  • a typical lanthanum hexaboride (L a B 6 ) used as an electron source of an electron optical system, which will be described later, is heated to such a temperature as to emit thermoelectrons once.
  • L a B 6 lanthanum hexaboride
  • the stage device 50 includes a fixed table 51 disposed on the bottom wall 301 of the main housing 30 and a Y table 5 that moves in the Y direction (perpendicular to the plane of FIG. 1) on the fixed table. 2, an X table 53 that moves in the X direction on the Y table (in the horizontal direction in Fig. 1), a rotating table 54 that can rotate on the X table, and a holder that is placed on the rotating table 54. 5 and 5 are provided.
  • the wafer is releasably held on the wafer mounting surface 55 1 of the holder 55.
  • the holder may have a known structure capable of releasably holding the wafer mechanically or by an electrostatic chuck method.
  • the stage device 50 operates the plurality of tables as described above using a servo motor, an encoder, and various sensors (not shown), and thereby the mounting surface 55 1
  • the wafer held in the holder is moved in the X, Y, and Z directions (vertical direction in Fig. 1) with respect to the electron beam emitted from the electron optical device, and further around the axis perpendicular to the wafer support surface. (0 direction) can be positioned with high accuracy. In the Z direction, for example, the position of the mounting surface on the holder may be finely adjusted in the Z direction.
  • the reference position of the mounting surface is detected by a position measuring device (laser interference distance measuring device using the principle of an interferometer) using a fine laser, and the position is controlled by a feedback circuit (not shown).
  • a position measuring device laser interference distance measuring device using the principle of an interferometer
  • the position of the notch or orientation flat of the wafer is measured to detect the plane position and the rotation position of the wafer with respect to the electronic beam
  • the rotary table is controlled by rotating the rotary table using a micro-angle-controllable pin spindle motor. I do.
  • stage units 5 2 1 and 5 3 1 and the encoders 5 2 and 5 3 2 and the encoders 5 2 and 5 3 2 are arranged outside the main housing 30.
  • the stage device 50 may have a known structure used in, for example, a stepper, and a detailed description of its structure and operation will be omitted. Further, since the laser interference distance measuring device may have a known structure, detailed description of its structure and operation will be omitted.
  • the wafer chuck mechanism provided in this holder is capable of applying a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and is provided at three points on the outer peripheral portion of the wafer (preferably in the circumferential direction). (Separated by a gap) to position.
  • the wafer chuck mechanism has two fixed positioning pins and one pressing crank pin. The clamp pins are capable of realizing automatic chucking and automatic release, and constitute a conduction point of voltage application.
  • the table that moves in the left-right direction is the X table and the table that moves in the vertical direction is the Y table in FIG. 2, but the table that moves in the horizontal direction is the Y table in FIG.
  • the table to be used may be an X table.
  • the loader 60 comprises a lopot type first transfer unit 61 arranged in the housing 22 of the mini-environment device 20 and a second mouthing chamber 4 2 And a second transport unit 63 of a lopot type disposed therein.
  • the first transport unit 61 has a multi-joint arm 612 that is rotatable about the axis O i- ⁇ with respect to the drive unit 611.
  • the multi-joint arm has three portions that are rotatably attached to each other.
  • One part of the arm 612 of the first transfer unit 61 that is, the first part on the side of the drive unit 611, is provided with a drive mechanism having a known structure provided in the drive unit 611. (Not shown) attached to a rotatable shaft 6 13.
  • the arm 612 can be rotated around the axis ⁇ ⁇ ⁇ 1 by the shaft 613, and can be expanded and contracted in the radial direction as a whole with respect to the axis ⁇ ⁇ ⁇ 1 by relative rotation between the parts.
  • a holding device 6 16 for holding a wafer such as a mechanical chuck or an electrostatic chuck having a known structure.
  • the driving unit 611 is movable upward and downward by a lifting mechanism 615 having a known structure.
  • the arm extends in one direction M1 or M2 of one of the two cassettes c in which the arm 61 is held by the cassette holder, and is housed in the cassette c.
  • One wafer is placed on the arm or gripped by a chuck (not shown) attached to the end of the arm and taken out.
  • the arm contracts (as shown in FIG. 2), rotates to a position where the arm can extend in the direction M3 of the briar liner 25, and stops at that position.
  • the arm is extended again, and the wafer held by the arm is placed on the briar liner 25.
  • the arm rotates further and stops at a position where the arm can extend toward the second loading chamber 41 (direction M 3). Transfer the wafer to the wafer receiver 47.
  • the structure of the second transfer unit 63 is basically the same as that of the first transfer unit, and the transfer of the wafer between the wafer rack 47 and the mounting surface of the stage device is performed. Only a difference is described, and a detailed description is omitted.
  • the first and second transfer units 61 and 63 transfer the cassettes held by the cassette holder onto and from the stage device 50 disposed in the working chamber 31.
  • the vertical movement of the arm of the transfer unit while maintaining the reverse wafer transfer in a substantially horizontal state simply means taking out and inserting wafers from the cassette and placing the wafers on the wafer rack. And taking it out of it and placing the wafer on the stage device and taking it out of it. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm, can be moved smoothly.
  • the cassette holder 10 has a structure suitable for manually setting a cassette, and a structure suitable for automatically setting a cassette.
  • the elevating table 11 is lowered by the elevating mechanism 12 so that the cassette c is aligned with the entrance 225.
  • a cover (not shown) provided on the cassette is opened, and a cylindrical cover is disposed between the cassette c and the entrance 225 of the mini-environment. Shield the inside of the cassette and the mini environment space from the outside. Since these structures are publicly known, detailed description of the structure and operation is omitted.
  • the mini-environment device 20 is provided with a shirt evening device that opens and closes the entrance 2 25, the shirt evening device operates to open the entrance 2 25.
  • the arm 6 1 2 of the first transfer unit 6 1 is stopped in a state facing either the direction Ml or M 2 (in this description, the direction of M 1), and when the entrance 2 25 opens, the arm 6 1 2 Extends to receive one of the wafers stored in the cassette at the tip.
  • the vertical position adjustment between the arm and the wafer to be taken out of the cassette is performed by the vertical movement of the drive unit 61 1 of the first transfer unit 61 and the arm 61 2 in this embodiment. You may move the holder up and down table May be performed by both.
  • arm 612 When arm 612 completes receiving the wafer, the arm shrinks, operates the shirting device and closes the doorway (if a shirting device is present), then arm 612 moves around axis O i— 0 i To be able to extend in the direction M3. Then, the arm is extended and the wafer placed on the tip or held by the chuck is placed on the briar liner 25, and the direction of rotation of the wafer (the direction around the center axis perpendicular to the wafer plane) is moved by the briar liner. ) Is positioned within the specified range.
  • the transfer unit 61 receives the wafer from the briar liner 25 at the end of the arm, and then contracts the arm, and becomes ready to extend the arm in the direction M4. Then, the door 2 7 2 of the shirting device 27 moves to open the entrances 2 2 3 and 2 3 6, and the arm 6 1 2 extends to transfer the wafer to the upper stage of the wafer rack 4 7 in the first loading chamber 4 1. On the side or lower side. As described above, the opening 4 35 formed in the partition wall 4 3 4 is opened before the wafer is transferred to the wafer rack 47 by opening the shirt setting device 27 as described above. 6 Closed hermetically by 1.
  • clean air flows in laminar flow (as a downflow) from the gas supply unit 231, which is provided on the housing of the mini-environment device, and is transferred. Prevents dust from adhering to the upper surface of the wafer on the way.
  • Part of the air around the transfer unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24 and is removed from the housing. It is discharged outside. The remaining air is collected through a collection duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.
  • the shirting device 27 When a wafer is loaded by the first transfer unit 61 into the wafer rack 47 in the first loading chamber 41 of the loader housing 40, the shirting device 27 is closed, and the inside of the loading chamber 41 is closed. Seal tightly. Then, after the first loading chamber 41 is filled with an inert gas and the air is expelled, the inert gas is also discharged, and the inside of the mouth chamber 41 is brought into a vacuum atmosphere.
  • the vacuum atmosphere of the first loading chamber may be a low vacuum.
  • the shirting device 4 6 When a certain degree of vacuum in the Yamba 4 1 is obtained, the shirting device 4 6 operates to open the entrance 4 3 4 sealed with the door 4 6 1, and the arm 6 3 2 of the second transport unit 6 3 Extends to receive one wafer from the wafer receiver 47 with the tip gripping device (placed on the tip or gripped by the chuck attached to the tip). When the wafer is completely received, the arm contracts, the shirting device 46 operates again, and the door 4 4 5 is closed with the door 4 6 1.
  • the arm 632 Before the shirting device 46 opens, the arm 632 is in a posture in which it can be extended in the direction N1 of the wafer rack 47 in advance. Also, as described above, the doors 4 3 7 and 3 2 5 are closed with the door 4 5 2 of the shirt evening device 45 before the shirt evening device 46 opens, and working with the inside of the second loading chamber 42 is performed. The communication with the chamber 31 is blocked in an airtight state, and the inside of the second loading chamber 42 is evacuated.
  • the shirt apparatus 46 closes the entrance 435, the inside of the second loading chamber is evacuated again, and the inside of the second loading chamber is evacuated to a higher degree of vacuum than in the first opening chamber. Meanwhile, the arm of the second transfer unit 61 is rotated to a position where the arm can extend toward the stage device 50 in the working chamber 31.
  • the Y table 52 is at the center line 0 of the X table 53. One 0. Moves upward in FIG. 2 until the position substantially coincides with the X-axis X 1 passing through the rotation axis ⁇ 2 — 0 2 of the second transfer unit 6 3, and the X table 53 is the leftmost in FIG.
  • the operation up to the transfer of the wafer in the cassette c to the stage device has been described. Perform the reverse operation and return.
  • the wafer rack is connected to the wafer rack by the second transfer unit. While the wafer is being transferred to and from the stage device, the wafer can be transferred between the cassette and the wafer rack by the first transfer unit, and the inspection process can be performed efficiently.
  • the unprocessed wafer B is first moved to the stage device 50, and the processing is performed. Start. During this processing, the processed wafer A is moved from the stage device 50 to the wafer rack 47 by the arm, the unprocessed wafer C is extracted from the wafer rack 47 by the same arm, and positioned by the briar liner. The wafer is moved to the wafer rack 47 of the loading chamber 41. In this manner, in the wafer rack 47, the processed wafer A is replaced with the unprocessed wafer C while the wafer B is being processed.
  • a plurality of stage devices 50 are arranged in parallel, and a plurality of wafers are moved from one wafer rack 47 to each device, thereby transferring a plurality of wafers. The same processing can be performed.
  • FIG. 6 a modification of the method of supporting the main housing is indicated by.
  • the housing support device 33a is formed of a thick, rectangular steel plate 331a, and the housing main body 32a is mounted on the steel plate. Therefore, the bottom wall 32 1 a of the housing body 32 a has a thinner structure than the bottom wall of the above embodiment.
  • the housing body 32b and the loader housing 40b are suspended and supported by the frame structure 3336b of the housing support device 33b. ing.
  • the lower ends of the plurality of vertical frames 3 3 7 b fixed to the frame structure 3 3 6 b are fixed to the four corners of the bottom wall 3 2 1 b of the housing body 3 2 b, and the peripheral wall and the top wall are fixed by the bottom wall. It has come to support.
  • the vibration isolator 37 b is arranged between the frame structure 33 b and the base frame 36.
  • the loader housing 40 is also suspended by suspension members 49 b fixed to the frame structure 336.
  • suspension members 49 b fixed to the frame structure 336.
  • the housing main body 32 b shown in FIG. 6 [B] it is suspended and supported, so that the center of gravity of the main housing and various devices provided therein can be reduced.
  • Main housing including the above modification
  • vibration from the floor is not transmitted to the main housing and the loader housing.
  • only the main body of the main housing is supported from below by the housing support device, and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment device.
  • only the housing body of the main housing is suspended from the frame structure and the loader housing can be arranged on the floor in the same manner as the adjacent mini-environment device.
  • the electro-optical device 70 (Example 1, FIG. 1) includes a lens barrel 71 fixed to a housing body 32, in which a primary electro-optical device as schematically illustrated in FIG. 7 and FIG.
  • An electron optical system including a system (hereinafter simply referred to as a primary optical system) 72 and a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 74 and a detection system 76 are provided.
  • the primary optical system 72 is an optical system that irradiates an electron beam to the surface of the wafer W to be inspected.
  • the primary optical system 72 emits an electron beam, and the primary electron beam emitted from the electron gun 72 1 is emitted.
  • the electron guns are arranged in order with the electron gun 7 21 at the top, and in such a manner that the optical axis of the primary electron beam emitted from the electron gun is vertical to the surface of the inspection object S.
  • FIG. 8 a plurality of (9 in this embodiment) apertures 7 2 3 a formed in the multi-aperture plate 7 23 are shown in FIG. As shown in FIG. 8, they are formed on the circumference of a circle centered on the optical axis, and are arranged so that the distances LX in the X direction between the projection images of the openings on the X axis are the same.
  • the secondary optical system 74 is composed of two stages of magnifying lenses 741 and 742, which are two-stage electrostatic lenses that pass secondary electrons separated from the primary optical system by the EXB deflector 724, and a multi-opening.
  • a detection plate 7 4 3 is provided.
  • the aperture 7 4 3a formed in the multi-aperture detection plate 7 4 3 is one-to-one with the aperture 7 2 3 a formed in the multi-aperture plate 7 2 3 of the primary optical system. It corresponds to it.
  • the detection system 76 includes a plurality (9 in this embodiment) of a multi-aperture detection plate 743 of the secondary optical system 74 and a plurality (9 in this embodiment) disposed close to and corresponding to each opening 743a of the multi-aperture detection plate 743. 6 1, and an image processing unit 763 electrically connected to each detector 761 via an A / D converter 762.
  • the primary electron beam emitted from the electron gun 721 is focused by the condenser lens 722 of the primary optical system 722 to form a crossover at the point P1.
  • the primary electron beam focused by the condenser lens 722 is formed into a plurality of primary electron beams through a plurality of openings 723a of the multi-aperture plate, and is reduced by the reduction lens 724. Projected to position P2. After focusing at the position P2, it is further focused on the surface of the wafer W by the objective lens 726.
  • the primary electron beam is deflected by a deflector 727 arranged between the reduction lens 724 and the objective lens 726 so as to scan the surface of the wafer W.
  • the sample S is irradiated at a plurality of points by a plurality of focused primary electron beams (nine in this embodiment), and secondary electrons are emitted from these irradiated points.
  • the secondary electrons are attracted by the electric field of the objective lens 726 and are finely focused, are deflected by the EXB separator 725, and are input to the secondary optical system 74.
  • the secondary electron image is focused at a position P3 closer to the deflector 725 than the position P2. This is because the primary electron beam has energy of 500 eV on the wafer surface, while the secondary electron has energy of only several eV.
  • the image of the secondary electron focused at the position P3 is focused on the corresponding aperture 743a of the multi-aperture detection plate 743 by two-stage magnifying lenses 741 and 742, and the image is formed.
  • the detector 761 converts the detected electron beam into an electric signal indicating its intensity.
  • the electric signal converted in this way is output from each detector 761, converted into a digital signal by the AZD converter 762, and then input to the image processing unit 736.
  • the image processing unit 763 converts the input digital signal into image data. Since a scanning signal for deflecting the primary electron beam is supplied to the image processing unit 765, the image processing unit An image representing the surface of the wafer will be displayed.
  • the quality of the detected (evaluated) pattern of the wafer W is detected by comparing this image with a standard pattern preset in a setting device (not shown) and a comparator (not shown). Further, the pattern to be measured on the wafer W is moved to a position close to the optical axis of the primary optical system by a registration process, and a line width evaluation signal is taken out by performing a line scan. Can be measured.
  • the primary electron beam passing through the aperture of the multi-aperture plate 723 of the primary optical system is focused on the surface of the wafer W, and secondary electrons emitted from the wafer are imaged on the detector 761. At this time, special attention must be paid to minimize the effects of the three aberrations, distortion, longitudinal chromatic aberration, and visual field astigmatism caused by the primary optical system.
  • the beam Crosstalk can be eliminated.
  • the precharge unit 81 is disposed adjacent to the lens barrel 71 of the electro-optical device 70 in the working chamber 31.
  • This inspection device is a device that inspects the device pattern formed on the wafer surface by scanning and irradiating the substrate to be inspected, that is, the wafer, with an electron beam.
  • the wafer surface may be charged (charged up) depending on conditions such as the wafer material and the energy of the irradiated electrons.
  • the precharge unit 81 having the charged particle irradiation unit 811 is provided. Before irradiating a predetermined portion of the wafer to be inspected with the inspection electrons, the charged particles of the precharge unit are irradiated with charged particles from the irradiation unit 811 to eliminate charging unevenness in order to eliminate uneven charging.
  • the charge-up of the wafer surface is detected in advance by forming an image of the wafer surface, evaluating the image, and operating the precharging unit 81 based on the detection. Further, in this precharge unit, the primary electron beam may be irradiated by blurring. In FIG.
  • the potential application mechanism 83 is provided with a stage mounting table for mounting the wafer based on the fact that the secondary electron information (secondary electron generation rate) emitted from the wafer depends on the potential of the wafer.
  • the generation of secondary electrons is controlled by applying a potential of several volts.
  • This potential application mechanism also serves the purpose of slowing down the energy originally possessed by the irradiated electrons and bringing the irradiated electron energy to the wafer at about 100 to 500 eV.
  • the potential application mechanism 83 includes a voltage application device 831, electrically connected to the mounting surface 541, of the stage device 50, and a charge-up investigation and voltage determination system ( The following is a survey and decision system).
  • Investigation and determination system 832 is composed of a monitor 833 electrically connected to the image forming unit 763 of the detection system 76 of the electro-optical device 70, and an operator 83 connected electrically to the monitor 833. 4 and a CPU 835 connected to the operator 834.
  • the CPU 835 supplies a signal to the voltage application device 831 and the deflector 727.
  • the potential applying mechanism is designed to search for a potential at which the wafer to be inspected is unlikely to be charged, and apply the potential.
  • the electron beam calibration mechanism 85 includes a plurality of Faraday cups 8 for beam current measurement, which are installed at a plurality of positions on the side of the wafer mounting surface 541, on the rotating table. 5 1 and 8 5 2 are provided.
  • the Faraday cup 851 is for a thin beam (about ⁇ 2 ⁇ ⁇ ), and the Faraday cup 852 is for a thick beam (about ⁇ 30 m).
  • the beam profile was measured by stepping the rotating table.
  • the Faraday cup 852 for a thick beam measures the total beam current.
  • Faraday cutlet The steps 851 and 852 are arranged such that the upper surface is at the same level as the upper surface of the wafer W placed on the mounting surface 541. In this way, the primary electron beam emitted from the electron gun is constantly monitored. This is because the electron gun cannot always emit a constant amount of electron beam, and the amount of emission changes during use.
  • the alignment control device 87 is a device that positions the wafer W with respect to the electron optical device 70 using the stage device 50, and roughly aligns the wafer by wide-field observation using an optical microscope 871 (electron microscope). Measurement is performed with a lower magnification than that of the optical system), high magnification adjustment using the electron optical system of the electron optical device 70, focus adjustment, inspection area setting, pattern alignment, and the like are performed.
  • the purpose of inspecting a wafer at a low magnification using an optical system in this way is to automatically detect the pattern of the wafer.
  • the wafer alignment is performed by observing the wafer pattern in a narrow field of view using an electron beam. This is because when performing the alignment, it is necessary to easily detect the alignment mark with an electron beam.
  • the optical microscope 871 is provided in the housing (may be provided movably in the housing), and a light source for operating the optical microscope is also provided in the housing (not shown).
  • the electron optical system for high-magnification observation shares the electron optical system (the primary optical system 72 and the secondary optical system 74) of the electron optical device 70.
  • a schematic diagram of the configuration is shown in FIG. To observe the observation point on the wafer at a low magnification, the observation point on the wafer is moved into the field of view of the optical microscope by moving the X stage 53 of the stage device 50 in the X direction.
  • the wafer is visually recognized in a wide field of view with an optical microscope 871, and the position to be observed on the wafer is displayed on the monitor 873 via the CCD 872, and the observation position is roughly determined.
  • the magnification of the optical microscope may be changed from a low magnification to a high magnification.
  • the stage device 50 is moved by a distance corresponding to the distance ⁇ X between the optical axis of the electron optical device 70 and the optical axis of the optical microscope 871, and the observation point on the wafer predetermined by the optical microscope is moved. Is moved to the visual field position of the electron optical device.
  • the axis of the electron optical unit 0 3 - 0 3 and the optical axis 0 4 of the optical microscope 8 7 1 - distance [delta] X both only in the direction along this embodiment the X-axis between 0 4 (It is assumed that the position is shifted, but ⁇ may be shifted in the axial direction.)
  • the observation point can be moved to the viewing position. After the movement of the observation point to the viewing position of the electron optical device is completed, the observation point is SEM-imaged at a high magnification by the electron optical system, and the image is stored or displayed on the monitor 765.
  • the position shift in the rotation direction of the wafer with respect to the rotation center of the rotation table 54 of the stage device 50 is performed by a known method. That the electron optical system of the optical axis O 3 - detecting a deviation [delta] theta in the rotational direction of the Weha for O 3, also detects the positional deviation of the X-axis and ⁇ axial predetermined pattern and an electronic optical device. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the inspection mark provided on the wafer separately obtained or the data on the shape of the wafer pattern and the like, and the wafer is aligned. .
  • FIG. 12 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention.
  • the manufacturing process of this embodiment includes the following main steps.
  • Wafer manufacturing process for manufacturing wafers or wafer preparation process for preparing wafers
  • Chip assembling process to cut out chips formed on the wafer one by one and make them operable
  • Chip inspection process to inspect the resulting chip
  • Each of the above main steps further includes some sub-steps.
  • the wafer processing step (3) has a decisive effect on the performance of semiconductor devices.
  • the designed circuit patterns are sequentially stacked on a wafer to form a large number of chips that operate as memories and MPUs.
  • This wafer processing step includes the following steps.
  • the wafer processing process is repeated as many times as necessary to manufacture semiconductor devices that operate as designed.
  • FIG. 13 is a flowchart showing a lithography step which is the core of the wafer processing step of FIG. This lithography step includes the following steps.
  • the defect inspection method and the defect inspection apparatus according to the present invention are used in the inspection step (G), even a semiconductor device having a fine pattern can be inspected with good throughput, so that 100% inspection can be performed, thereby improving the product yield. This makes it possible to prevent defective products from being shipped.
  • the inspection target can be processed with high throughput.
  • (C) Pre-charge unit is provided, so wafers made of insulating material can be charged It is hard to be affected by.
  • FIG. 14A is a diagram schematically illustrating an optical system of an electron beam apparatus 1000 according to the third embodiment of the present invention.
  • the primary electron beams emitted from the multiemitters 1001, 1002, and 1003 are reduced and projected on an image plane 1005 by a condenser lens 1004, further reduced by a lens 1006 and an objective lens 1008, and reduced and projected on a sample plane 1010.
  • FIG. 17A is a 3 ⁇ 3 emitter
  • FIG. 17B is a cross-sectional view taken along line 1.7B-17B of FIG. 17A.
  • the S i substrates 1021, 1022 Mo Emitta, 1023 Au extraction electrode, 1024 is a 3 i 3 N 4 insulation film.
  • the number of emitters can be appropriately selected.
  • the lens has two to three planar electrodes with 2 to 10 mm diameter apertures arranged at 2 to 10 mm intervals in the direction of the optical axis, and different voltages are applied to each electrode.
  • the secondary electrons emitted from the sample surface 1010 irradiated by the primary electron beams emitted from the multiemitters 1001, 1002, and 1003 are accelerated by the accelerating electric field applied between the sample surface 1010 and the objective lens 1008.
  • the secondary electrons emitted at a large emission angle are also narrowed down until they enter the objective lens 1008, pass through the aperture stop 1007, and form an image on the same image plane 1005 as the primary beam with the lens 1006. .
  • An EXB separator 1009 is provided at the position of the image plane 1005, and the secondary electrons passing through the lens 1006 are separated from the primary optical system.
  • the 6-separator 1009 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal of the sample surface 110 (upward on the paper). The relationship between electron energies is set so that primary electrons go straight.
  • the separated secondary electrons are optically enlarged by the lenses 101 1 and 1102, and form a plurality of images on the detection surface 1013.
  • detectors 1014, 1015, and 1016 corresponding to the primary electron beams from the multi-emitters 1001, 1002, and 1003 are provided, and emitted from the sample surface irradiated by each electron beam.
  • the detected secondary electrons are detected. Note that the multi-emitters 1001, 1002, and 1003 are slightly shifted in the Z-axis direction to compensate for the curvature of field of the primary optical system. Is done.
  • the emitter 1001 on the optical axis is provided farthest from the sample, and the emitter 1002 farther from the optical axis is closer to the sample than the emitter 1001 by the value of the field curvature, and further from the optical axis.
  • Emi 1003, which is farther away, is shifted closer to the sample.
  • the primary electron beam from the multi-beam is scanned by the electrostatic deflector 1017. Further, in conjunction with the scanning of the primary electron beam, the electrostatic deflector 1018 provided in the secondary optical system is also scanned, and the secondary electrons are always at predetermined detectors 1014, 1015, and 1016 regardless of the scanning position. Is controlled so as to be incident. That is, the secondary electrons by the primary electron beams from the emitters 1001, 1002, and 1003 are controlled to enter the detectors 1014, 1015, and 1016, respectively.
  • the detectors and the like are electrodes on a curved surface having holes of the number of detectors provided in front of a PIN diode to which a voltage of about 20 kV is applied, and a voltage of about 1 kV is applied to the electrodes. Due to the convex lens effect of the electric field caused by the voltage of 20 kV leaking from the hole, all secondary electrons coming near the hole pass through the hole and enter the detector.
  • the shape of the curved surface is a shape that corrects the field curvature of the secondary optical system.
  • FIG. 15 is a diagram showing a secondary optical system and an aperture angle.
  • the secondary electrons within the acceptance angle ⁇ 1 are formed on the image plane 1005 via the objective lens 1008, the aperture 1007, and the lens 1006.
  • the half angle of the aperture at the image plane 10005 is ai
  • the angle ⁇ 0 and ⁇ are ( ⁇ ⁇ ) 2 V8 no Vini, where V8 is the beam potential at the objective lens 1008 and Vini is the initial energy of secondary electrons.
  • FIG. 16 shows the relationship between the aberration on the sample surface 1010 and the half angle of the aperture i.
  • ⁇ coma is a coma aberration
  • ⁇ C is a chromatic aberration
  • ⁇ total is a total thereof.
  • the aperture half angle i needs to be 5.3 mrad or less.
  • the resolution is about 5.3 mrad, and the detector dimensions are about four times 20 zm in terms of sample surface, more than 90% of secondary electrons can be collected without crosstalk. Also, if the distance between the multi-emitters is about 100 m, the crosstalk in the emitter and the evening is also a problem. If it is not necessary to collect 90% or more of secondary electrons, and if the SZN ratio can be sufficiently obtained by collecting more than 50%, The secondary electrons emitted at an angle smaller than 45 ° should be collected at the detector. Because the secondary electron yield? 7 is expressed as
  • FIG. 14B is an enlarged view of the electron beam irradiation surface as viewed from above.
  • the distance N is the resolution of the sample surface conversion through lenses 1008, 1011, and 1012.
  • FIG. 14B when the distance N is equal to or longer than the distance between the two identifiable points, a multi-beam without crosstalk can be obtained, and a high throughput can be obtained.
  • the electron beam apparatus configured as described above can be used for defect inspection of semiconductor devices and measurement of minute distances.
  • the use of the electron beam apparatus shown in FIG. 14A enables high-throughput inspection, 100% inspection, and product yield. Improvement and prevention of shipment of defective products.
  • the aberration of the secondary optical system is set to about 20 m on the sample surface, sufficient detection results can be obtained.Therefore, it is not necessary to make the secondary optical system highly accurate, and the primary optical system is Since it is perpendicular to the surface, the formation of a plurality of charged particle beams is simplified. Furthermore, a deceleration electric field is applied to the primary optical system between the sample surface and the first stage lens of the secondary optical system. However, since the accelerating electric field is applied to the secondary optical system, it is easy to narrow the primary charged particle beam, and the secondary charged particles emitted in a wide angle range can be turned into a fine particle bundle at the position of the first lens. Since the secondary charged particles can be detected efficiently, a signal with a good SZN ratio is obtained, and the measurement accuracy is improved.
  • FIGS. 18A and 18B are cross-sectional views showing a conventional vacuum chamber and stage (moving stage) of the charged beam apparatus.
  • FIG. 19 is a schematic perspective view of a conventional exhaust mechanism
  • FIG. 21 is a schematic cross-sectional view of a charged beam device (stage and the like) 200 of Embodiment 4 of the present invention.
  • FIG. 21 is a schematic cross-sectional view of a charged beam device (stage and the like) 210 of Embodiment 5 of the present invention.
  • 22 is a schematic cross-sectional view of a charged beam device (stage, etc.) 220 of Embodiment 6 of the present invention.
  • FIG. 23 is a schematic diagram of a charged beam device (stage, etc.) 230 of Embodiment 7 of the present invention.
  • Sectional view, FIG. 24 is a schematic sectional view of a charged beam device (stage or the like) 240 in Example 8 of the present invention.
  • FIG. 20A and FIG. 2OB show the charged beam apparatus 2000 of the fourth embodiment.
  • a partition plate 214 that protrudes largely horizontally in the + Y direction and the -Y direction (left and right directions in Fig. 20B) is attached.
  • a throttle unit 250 with small conductance is always formed between the upper surface of the X-direction movable unit 2000 and the upper surface of the X-direction movable unit 2000.
  • a similar partition plate 201 is also provided on the upper surface of the X-direction movable portion 206 so as to extend in the ⁇ X direction (the left and right direction in FIG. 2 OA), and the stage table 200 is provided.
  • the narrowed portion 205 is always formed between the upper surface of 7 and the upper surface of 7.
  • the stage ⁇ 207 is fixed in a known manner on the bottom wall in the housing 2008.
  • the narrowed portions 205 and 210 are always formed, so that the movable portions 205 and 206 are guided when the movable portions 205 and 206 are moved. Even if gas is released from the surface 200 a or 200 a, the movement of the released gas is hindered by the constricted portions 250 and 210, so the vicinity of the sample irradiated with the charged beam The pressure rise in the space 204 can be kept very small.
  • grooves for differential evacuation as shown in FIG. 19 are provided on the side and underside of the movable part 200 3 of the stage and the underside of the movable part 206, around the static pressure bearing 200. Formed Since the gas is evacuated and evacuated by these grooves, the exhaust gas from the guide surface is mainly evacuated by these differential evacuating parts when the throttle portions 250 and 250 are formed. Will be. For this reason, the pressure in the space 201 or 215 inside the stage is higher than the pressure in the chamber C. Therefore, not only the space 201 and 215 can be evacuated by the differential exhaust grooves 201 and 210 but also the space 213 and 2 The pressure of 0 15 can be reduced, and the pressure increase of 202 4 near the sample can be further reduced.
  • evacuation passages 2101-1-1 and 2101-2 there are provided evacuation passages 2101-1-1 and 2101-2.
  • the exhaust passage penetrates through the stage base 2007 and the housing 208 and communicates with the outside of the housing 208. Further, the exhaust passage 201-1-2 is formed in the X-direction movable part 206 and is opened on the lower surface of the X-direction movable part 206.
  • FIG. 21 shows a charged beam apparatus 210 of a fifth embodiment of the present invention.
  • a cylindrical partition 210 is formed around the distal end of the lens barrel, that is, around the charged beam irradiation unit 2002, so as to form an aperture between the upper surface of the sample S. I have.
  • the inside of the partition 220 is partitioned by the partition 201 and the vacuum pipe 210 is formed. Since the air is exhausted at 0, a pressure difference occurs between the inside of the chamber C and the inside of the partition 204, and the pressure rise in the space 204 inside the partition can be suppressed low.
  • the gap between the partition 201 and the sample surface varies depending on how much the pressure inside the chamber C and around the irradiation part 2 is maintained, but about tens of z / m to several mm is appropriate. .
  • the inside of the partition 201 and the vacuum pipe are communicated by a known method.
  • a high voltage of about several kV may be applied to the sample S, and if a conductive material is placed near the sample, discharge may occur. This In this case, if the material of the partition 206 is made of an insulating material such as ceramics, no discharge occurs between the sample S and the partition 206.
  • the ring member 200-4—1 placed around the sample S (wafer) is a plate-shaped adjustment component fixed to the sample stage 204, and irradiates the end of the sample such as a wafer with a charged beam. Even in the case where the partition is formed, the height is set to be the same as that of the wafer so that the minute gap 205 is formed over the entire periphery of the leading end of the partition 201. As a result, no matter which position of the sample S is irradiated with the charged beam, a constant minute gap 205 is always formed at the tip of the partition 201, and the space around the tip of the lens barrel 2 is formed. The pressure of 0 2 4 can be kept stable.
  • FIG. 22 shows a charged beam apparatus 220 of the sixth embodiment of the present invention.
  • a partition 200 with a built-in differential pumping structure is provided around the charged beam irradiation unit 2002 of the lens barrel 2001.
  • the partition 201 has a cylindrical shape, and has a circumferential groove 220 formed therein, and an exhaust passage 220 extends upward from the circumferential groove.
  • the exhaust passage is connected to a vacuum pipe 202 through an internal space 202.
  • the lower end of the partition 210 forms a small gap of about several tens / zm to several mm between itself and the upper surface of the sample S.
  • FIG. 23 shows a charged beam apparatus 230 of the seventh embodiment of the present invention.
  • a partition 200 is provided around the chamber C and the charged beam irradiation unit 2002 to separate the charged beam irradiation unit 200 from the chamber C.
  • the partition 200 is connected to the refrigerator 230 through a support member 202 made of a material having good heat conductivity such as copper or aluminum, and the partition 200 0 Cooled to about 200 ° C.
  • the member 2 0 2 7 is used to prevent heat conduction between the cooled partition 2 0 2 6 and the lens barrel. It is made of a material with poor thermal conductivity such as ceramics and resin.
  • the member 202 is made of a non-insulating material such as ceramics, and is formed at the lower end of the partition 206 and has a role of preventing the sample S and the partition 206 from discharging. With such a configuration, gas molecules that are going to flow into the charged beam irradiation part from the chamber C are blocked by the partition 206, and even if they flow, they are frozen on the surface of the partition 206. Since the particles are collected, the pressure of the charged beam irradiation unit 204 can be kept low.
  • a refrigerator such as a He refrigerator, a pulse tube refrigerator, or the like can be used.
  • FIG. 24 shows a charged beam apparatus 240 of an eighth embodiment of the present invention.
  • the two movable parts of the stage 2003 are provided with partition plates 201 and 204 in the same manner as shown in Fig. 20, and the sample stage 2004 is located at an arbitrary position. Even if it moves, these partitions partition the space 201 in the stage and the inside of the chamber C through the apertures 250, 205.
  • a partition 201 similar to that shown in FIG. 21 is formed around the charged beam irradiation unit 2002, and the space inside the chamber C and the charged beam irradiation unit 2002 is located. 2 0 2 4 is partitioned off via an aperture 2 0 5 2.
  • the pressure in the charged beam irradiation space 204 can be kept low.
  • a partition 201 with a built-in differential exhaust mechanism can be used, or as shown in FIG. 22, a partition 200 26 cooled by a refrigerator can be used.
  • the space 204 can be stably maintained at a lower pressure.
  • FIG. 25 schematically shows an optical system and a detection system of the charged beam device 2500 of the ninth embodiment.
  • the optical system is provided in the lens barrel, but the optical system and the detector are merely examples, and any optical system and detector can be used as needed.
  • the optical system 206 of the charged beam device is composed of a primary optical system 2061 for irradiating the sample S mounted on the stage 203 with the charged beam, and a secondary electron emitted from the sample. And a secondary optical system 2 0 7 1 to be input.
  • the primary optical system 2061 consists of an electron gun 2062 that emits a charged beam, and a charged beam emitted from the electron gun 2011.
  • a lens system consisting of two stages of electrostatic lenses that focuses the beam, a deflector and a deflector so that the optical axis is perpendicular to the target surface It comprises a deflecting wire-fill or EXB separator 206 and lens systems 20067 and 208 comprising two-stage electrostatic lenses, as shown in FIG. 18.
  • the optical axis of the charged beam is arranged obliquely with respect to a line perpendicular to the surface of the sample S (the sample surface) with the electron gun 2061 at the top.
  • the EXB deflector 206 has an electrode 266 1 and a magnet 266 2.
  • the secondary optical system 2 0 7 1 is an optical system into which secondary electrons emitted from the sample S are injected, and a two-stage electrostatic lens arranged above the primary optical system EXB type deflector 206 6
  • the lens system is composed of a lens system composed of
  • the detector 280 detects the secondary electrons sent via the secondary optical system 207 1. Since the structure and function of each component of the optical system 260 and the detector 280 are the same as those of the related art, detailed description thereof will be omitted.
  • the charged beam emitted from the electron gun 201 is shaped by the square aperture of the electron gun, reduced by the two-stage lens systems 2063 and 2064, and is optically axis-polarized by the polarizer 20065. Is adjusted so that an image is formed into a square with a side of 1.25 mm on the deflection center plane of the EXB deflector 206.
  • the £ 8 deflector 2066 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal of the sample, and the relationship between the electric field, the magnetic field, and the energy of the electron is constant.
  • the electrons go straight, and at other times, they are deflected in a predetermined direction by the interrelationship between the electric field, the magnetic field, and the energy of the electric field.
  • settings are made so that the charged beam from the electron gun is perpendicularly incident on the sample S, and the secondary electrons emitted from the sample are made to travel straight toward the detector 280.
  • the shaped beam deflected by the EXB polarizer is converted into a lens system 206, 20
  • the secondary electron image is formed by the detector 280 which is enlarged by 73.
  • the lens systems 2067 and 2068 form a symmetrical bullet lens
  • the lens systems 2072 and 2073 also form a symmetric tablet lens. Therefore, it is a distortion-free lens.
  • the exposure apparatus and the exposure method a fine pattern can be inspected or exposed stably with high accuracy, so that it is possible to improve the yield of products and prevent the shipment of defective products.
  • the stage device can exhibit high-accuracy positioning performance in a vacuum, and the pressure at the charged beam irradiation position increases.
  • the sample can be treated with the charged beam with high accuracy.
  • the gas discharged from the hydrostatic bearing support can hardly pass through the partition to the charged beam irradiation area side. Thereby, the degree of vacuum at the charged beam irradiation position can be further stabilized.
  • the inside of the vacuum chamber is divided into three chambers of a charged beam irradiation chamber, a static pressure bearing chamber, and an intermediate chamber via a small conductance.
  • the vacuum exhaust system is configured so that the pressure of each chamber becomes the charged beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in ascending order.
  • the pressure fluctuation to the intermediate chamber is further reduced by the partition, and the pressure fluctuation to the charged beam irradiation chamber is further reduced by the further partition, and the pressure fluctuation can be reduced to a practically acceptable level.
  • a semiconductor is manufactured by a device having a high stage positioning performance and a stable degree of vacuum in a charged beam irradiation area, thereby achieving a fine semiconductor circuit. Can be formed.
  • FIG. 26 shows a schematic configuration of a defect inspection apparatus 300 according to embodiment 10 of the present invention.
  • the defect inspection apparatus 300 is a so-called projection type inspection apparatus, and includes an electron gun 3001 for emitting a primary electron beam, and an electrostatic lens 300 for deflecting and shaping the emitted primary electron beam.
  • the shaped primary electron beam is deflected so as to be substantially perpendicular to the semiconductor wafer 3005 in a field orthogonal to the electric field E and the magnetic field B.
  • EXB deflector 3003, Deflected primary An objective lens 310 for imaging an electron beam on a wafer 3005, which is provided in a sample chamber (not shown) that can be evacuated to vacuum and can move in a horizontal plane with the wafer 2005 Stage 304, the electrostatic of the projection system that projects and images the secondary electron beam and / or reflected electron beam emitted from the wafer 3005 by irradiation of the primary electron beam at a predetermined magnification.
  • Controls the entire fine device includes a control unit 3 0 1 6 for executing processing for detecting defects of Ue eight 3 0 0 5 based on the secondary electron image detected by the detector 3 0 0 7.
  • the secondary electron image includes not only secondary electrons but also contributions from scattered electrons and reflected electrons, it is referred to as a secondary electron image here.
  • a deflection electrode 3101 which deflects the incident angle of the primary electron beam to the wafer 3005 with an electric field or the like, is interposed between the objective lens 310 and the wafer 3005.
  • a deflection controller 310 which controls the electric field of the deflection electrode, is connected to the deflection electrode 310.
  • the deflection controller 310 is connected to the control section 310 so that an electric field corresponding to a command from the control section 310 is generated at the deflection electrode 301. Control the deflection electrode.
  • the deflection controller 310 can be configured as a voltage control device that controls the voltage applied to the deflection electrode 301.
  • the detector 3007 can have any configuration as long as the secondary electron image formed by the electrostatic lens 300 can be converted into a signal that can be post-processed.
  • the detector 3007 is composed of a multi-channel plate 3005, a phosphor screen 3002, a relay optical system 3004, and a number of CCDs. And an image sensor 300 composed of elements.
  • the multi-channel plate 30050 has a large number of channels in the plate, and while the secondary electrons imaged by the electrostatic lens 300 pass through the channels, a larger number of electrons are generated. Generate. That is, secondary electrons are amplified.
  • the fluorescent screen 3502 converts the secondary electrons into light by emitting fluorescence by the amplified secondary electrons.
  • the relay lens 3004 guides this fluorescence to the CCD image sensor 30056, and the CCD image sensor 30056 determines the intensity distribution of secondary electrons on the surface of the wafer 3005 by an electric signal for each element. That is, the image data is converted into digital image data and output to the control unit 310-16.
  • the control unit 301 may be configured by a general-purpose personal computer or the like. This computer has a control unit main unit 304 that executes various controls and arithmetic processing according to a predetermined program, a CRT 301 that displays the processing results of the main unit 301, and an operator that inputs instructions. And a control unit 310 including, for example, a hardware dedicated to the defect inspection apparatus, a workstation, or the like.
  • the control unit main body 304 is composed of various control boards such as a CPU (not shown), a RAM, a ROM, a hard disk, and a video board.
  • a secondary electron image storage area 3008 for storing an electric signal received from the detector 7, that is, digital image data of a secondary electron image of the wafer 3005 is provided.
  • a reference image storage unit 310 13 in which the reference image data of the device A8 having no defect is stored in advance.
  • secondary electronic image data is read from the storage area 308, and a defect of the wafer 3005 is determined based on the image data according to a predetermined algorithm.
  • a defect detection program that automatically detects Program 309 is stored.
  • the defect detection program 300 matches the reference image read from the reference image storage unit 310 with the actually detected secondary electron beam image, It has a function to automatically detect defective parts and display a warning to the operator when it is determined that there is a defect.
  • the secondary electron image 310 may be displayed on the display unit of the CRT 301.
  • the wafer 305 to be detected is set on the stage 304 (step 330).
  • This may be a form in which a large number of wafers stored in a loader (not shown) are automatically set on a stage one by one.
  • images of a plurality of inspection regions displaced from each other while partially overlapping on the XY plane of the wafer surface are acquired (step 3304).
  • the plurality of inspection areas to be image-acquired are, for example, as shown in FIG. 32, for example, on the wafer inspection surface 3034, reference numerals 3032a, 3032b,. .3032k,... Are rectangular areas, which are displaced around the inspection pattern 3003 Understand.
  • 16 images (inspection images) of 16 inspection regions are acquired.
  • the rectangular cells correspond to one pixel (or may be a block unit larger than the pixels), and the black cells are the image parts of the pattern on the wafer. Is equivalent to Details of this step 334 will be described later with reference to a flowchart of FIG.
  • step 304 the image data of the plurality of inspected regions acquired in step 304 is compared with the reference image data stored in the storage unit 310, respectively (step 3330 in FIG. 28). Then, it is determined whether or not the wafer inspection surface covered by the plurality of inspection areas has a defect. In this step, a so-called matching process between image data is performed, and details thereof will be described later with reference to a flowchart of FIG.
  • Step 3313 if it is determined that there is a defect on the wafer inspection surface covered by the plurality of inspection areas (step 3313, affirmative determination), the operation A warning is given to Lay and Yu (Step 3 3 1 8).
  • a warning method for example, a message notifying the presence of a defect may be displayed on the display unit of the CRT 301, or an enlarged image 310 of the pattern having the defect may be displayed at the same time.
  • Such a defective wafer may be immediately taken out of the sample chamber 3 and stored in a storage location different from the defective wafer (step 3319).
  • step 3308 when it is determined that there is no defect in the wafer 3005 (step 3132 negative determination), the wafer 3000 that is currently being inspected is inspected. Regarding 5, it is determined whether or not an area to be inspected still remains (step 3314). If there is an area to be inspected (step 3314), drive stage 4 so that another area to be inspected is within the primary electron beam irradiation area. Move 5 (step 3 3 1 6). Thereafter, the flow returns to step 3302, and the same processing is repeated for the other inspection area.
  • the wafer currently being inspected is 3005 It is determined whether or not this is the final $ 8, that is, whether there is no untested $ 1 in the loader (not shown) (step 3320). If the wafer is not the last wafer (step 3302, negative determination), the inspected wafer is stored in a predetermined storage location, and a new untested wafer is set in the stage 304 (step 33). twenty two ). Thereafter, the flow returns to step 3302, and the same processing is repeated for the relevant key. If it is the final ⁇ A8 ⁇ (Step 3320 affirmative determination), it has been inspected: 18 is stored in the specified storage location, and the entire process ends. '
  • the image number i is set to the initial value 1 (step 3330). This image number is an identification number sequentially assigned to each of the plurality of inspection area images.
  • the image position (Xi, Yi) is determined for the region to be inspected with the set image number i (step 3332).
  • the image position is defined as a specific position in the region for defining the inspection region, for example, a center position in the region.
  • the image position is (Xl, Y1), which is shown in Fig. 32, for example.
  • the image positions of all the image areas to be inspected are predetermined, and are stored, for example, on the hard disk of the control unit 3316, and are read out in step 3332.
  • the deflection controller 3312 is turned on by the deflection controller 3312 so that the primary electron beam passing through the deflection electrode 3011 in FIG. 26 is irradiated on the image area to be inspected at the image position (Xi, Yi) determined in step 3332. Is applied (step 3334 in FIG. 29).
  • a primary electron beam is emitted from the electron gun 3001 and is irradiated onto the surface of the set wafer 3005 through the electrostatic lens 3002, the EXB deflector 3003, the objective lens 3010, and the deflection electrode 3011 (step 3336). At this time, the primary electron beam is deflected by the electric field created by the deflecting electrode 3011.
  • Secondary electrons and Z or reflected electrons are emitted from the inspection area irradiated with the primary electron beam.
  • the generated secondary electron beam is imaged on the detector 3007 at a predetermined magnification by the electrostatic lens 3006 of the magnifying projection system.
  • the detector 3007 detects the formed secondary electron beam, and converts and outputs an electric signal for each detecting element, that is, digital image data (step 3338). Then, the digital image data of the detected image number i is transferred to the secondary electronic image storage area 8 (step 33).
  • the image number i is incremented by 1 (step 3342), and it is determined whether or not the incremented image number (i + 1) exceeds a fixed value i MAX (step 3344).
  • This i MAX is the number of images to be inspected to be acquired, and is “16” in the example described above in FIG.
  • This image position is a position that has been moved from the image position (Xi, Yi) determined in the previous routine by a predetermined distance (AXi, ⁇ Yi) in the X, Z, or Y directions.
  • the area to be inspected is the position (X2, Y2) moved only in the Y direction from (XI, Y1), and the area to be inspected is the rectangular area 30 shown by the broken line. 3 2b.
  • steps 3332 through 33432 is repeatedly and sequentially executed for i MAX inspection areas.
  • these inspected areas are located at the image position (Xk, Yk) shifted k times, and become the inspected image area 3302 k.
  • the position is shifted while partially overlapping.
  • the 16 test image data illustrated in FIG. 27 are acquired in the image storage area 308.
  • the acquired images of a plurality of inspection target regions 30 3 2 are, as illustrated in FIG. 27, images of the pattern 30 3 0 on the wafer inspection surface 30 34. It can be seen that 0a is partially or completely incorporated.
  • this subroutine is returned to shift to the comparison step (step 3308) of the main routine in FIG.
  • the image data transferred to the memory in step 340 consists of the intensity values of secondary electrons (so-called data) detected by the detector 307 for each pixel. Since the matching operation is performed with the reference image in the process (step 3308 in FIG. 28), the image can be stored in the storage area 308 after various arithmetic processings have been performed.
  • arithmetic processing includes, for example, normalization processing for matching the size and Z or density of the image data with the size and / or density of the reference image data, and noise reduction of isolated pixels having a predetermined number of pixels or less. And the like.
  • data compression conversion may be performed on a feature matrix in which the features of the detected pattern are extracted within a range that does not reduce the detection accuracy of the high-definition pattern.
  • a feature matrix for example, a two-dimensional inspection area composed of MXN pixels is divided into m X n (m ⁇ M, n ⁇ N) blocks, and the secondary electron intensity of the pixels included in each block is divided.
  • mXn feature matrix that uses the sum of the values (or a normalized value obtained by dividing the sum by the total number of pixels of the entire inspection area) as each matrix component.
  • the reference image data is also stored in the same expression. Book
  • the image data referred to in the tenth embodiment of the invention includes not only simple data but also image data whose features are extracted by an arbitrary algorithm.
  • the CPU of the control unit 3016 reads the reference image data from the reference image storage unit 3013 (FIG. 26) onto a working memory such as a RAM (step 3350).
  • This reference image is designated by reference numeral 3036 in FIG.
  • the image number i is reset to 1 (step 3352), and the inspection image data of the image number i is read from the storage area 3008 onto the working memory (step 3354).
  • the read reference image data is matched with the data of the image i to calculate a distance value Di between the two (step 3356).
  • This distance value Di represents the similarity between the reference image and the image to be inspected i, and the greater the distance value, the greater the difference between the reference image and the image to be inspected. Any distance value Di can be used as long as it represents a similarity. For example, if the image data consists of MXN pixels, the secondary electron intensity (or feature value) of each pixel is regarded as each position vector component in the MXN dimensional space, and the reference image vector and image i in this MXN dimensional space are considered. Euclidean distance or correlation coefficient between vectors may be calculated.
  • a distance value between image data represented by mXn feature vectors may be calculated as described above.
  • This threshold Th is experimentally obtained as a criterion for determining a sufficient match between the reference image and the image to be inspected.
  • Step 3360 If the distance value Di is smaller than the predetermined threshold Th (Yes at Step 3358), it is determined that the inspection surface 3034 of the wafer 3005 has no defect (Step 3360), and the subroutine is returned. That is, if at least one of the inspected images substantially matches the reference image, it is determined that there is no defect. Since it is not necessary to perform matching with all the images to be inspected, high-speed determination is possible. In the case of the example in FIG. 27, the image to be inspected in the third row and the third column is substantially one You can see that we are doing it.
  • step 3358 If the distance value D i is equal to or greater than the predetermined threshold value Th (No in step 3358), the image number i is incremented by 1 (step 3336 2), and the incremented image number (i + 1) It is determined whether or not exceeds a fixed value i MAX (step 3364). If the image number i does not exceed the fixed value i MAX (negative determination in step 3364), the process returns to step 3354, reads out the image data for the incremented image number (i + 1), and performs the same processing. repeat.
  • step 3364 If the image number i exceeds a certain value i MAX (Yes at step 3364), it is determined that the inspection surface 3004 of the wafer 3305 is “defective” (step 33). 6 6) Return this subroutine. That is, if all of the test images do not substantially match the reference image, it is determined that there is a defect.
  • the defect inspection device 300 of the present invention not only the above-described projection type electron beam device but also a so-called scanning type electron beam device can be used. This will be described as Embodiment 10 with reference to FIGS.
  • FIG. 33 is a diagram schematically showing an electron beam apparatus 310 of Example 11 of the present invention.
  • an electron beam emitted from an electron gun 310 61 is a condenser lens 300. 6 2 to form a crossover at point 3 0 6 4.
  • a first multi-aperture plate 3603 having a plurality of openings is arranged, whereby a plurality of primary electron beams are formed.
  • Each of the primary electron beams formed by the first multi-aperture plate 303 is reduced by the reduction lens 303 and projected to a point 375.
  • the sample 3068 is focused by the objective lens 3607.
  • a plurality of primary electron beams emitted from the first multi-aperture plate 303 are simultaneously generated by a deflector 308 disposed between the reduction lens 306 and the objective lens 370. It is deflected to scan over the surface of sample 368.
  • the multi-aperture plate 303 has a small aperture on the circumference so that the field curvature of the reduction lens 300 and the objective lens 306 does not occur. Arranged and projected in the X direction, it has a structure with equal intervals.
  • the plurality of focused primary electron beams irradiate a plurality of points on the sample 368,
  • the secondary electron beams emitted from these multiple irradiated points are attracted to the electric field of the objective lens 307, are narrowly focused, are deflected by the EXB separator 306, and are deflected by the secondary optics. It is thrown.
  • the secondary electron image focuses on point 3076, which is closer to objective lens 3607 than point 3705. This is because each primary electron beam has an energy of 500 eV on the sample surface, while a secondary electron beam has only a few eV energy.
  • the secondary optical system has magnifying lenses 306 and 370, and the secondary electron beam that has passed through these magnifying lenses 369 and 370 is used as the second multi-aperture.
  • An image is formed on a plurality of apertures of the plate 307 1.
  • the light is detected by a plurality of detectors 372 through these openings.
  • the plurality of openings formed in the second multi-aperture plate 3001 arranged in front of the detector 307 2 and the first multi-aperture plate 300 6 The plurality of openings formed in 3 correspond one-to-one.
  • Each of the detectors 3072 converts the detected secondary electron beam into an electric signal representing its intensity.
  • the electric signals output from the respective detectors are respectively amplified by the amplifiers 307, and then received by the image processing unit 374 to be converted into image data. Since a scanning signal for deflecting the primary electron beam is further supplied to the image processing unit 307 from the deflector 380, the image processing unit 307 4 scans the surface of the sample 368. Display the image to represent. This image corresponds to one of a plurality of images to be inspected at different positions (FIG. 27) described in the first embodiment. By comparing this image with the reference image 336, a defect of the sample 368 can be detected.
  • the pattern to be evaluated on the sample 368 is moved to a position near the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning. The line width of the pattern on the sample 368 can be measured.
  • the primary electron beam passing through the opening of the first multi-aperture plate 306 is focused on the surface of the sample 368, and the secondary electron beam emitted from the sample 368 is detected.
  • the sample 368 is inspected according to the flowcharts of FIGS.
  • the image position (Xi, Yi) in step 3332 in FIG. 29 corresponds to the center position of a two-dimensional image obtained by combining a plurality of line images obtained by scanning multiple beams.
  • the image position (X i, Y i) is sequentially changed in a later step. This is performed, for example, by changing the offset voltage of the deflector 380.
  • the deflector 380 performs normal line scanning by changing the voltage around the set offset voltage.
  • an image position (X i, Y i) may be changed by providing a deflection means separate from the deflector 380.
  • the apparatuses of Embodiments 10 and 11 described above can be applied to the evaluation of the PAA in the semiconductor device manufacturing process of FIGS.
  • the flow charts in FIGS. 12 and 13 show a wafer manufacturing process (or a wafer preparing process) for manufacturing a wafer, and a mask manufacturing process (or a mask for preparing a mask) for manufacturing a mask used for exposure. Preparatory process), processing required for wafers, wafer processing process, chip assembly process for cutting out chips formed on wafers one by one to make them operable, chip inspection for inspecting assembled chips Process.
  • the process that has a decisive effect on the performance of the semiconductor device is the wafer processing process.
  • designed circuit patterns are sequentially stacked on a wafer to form a large number of chips that operate as memories and MPUs.
  • the wafer processing step includes the following steps.
  • the wafer processing step is repeated for the required number of layers to manufacture a semiconductor device that operates as designed.
  • FIG. 13 is a flow chart showing one lithography step which is the core of the above-mentioned wafer processing step. This one lithography step includes the following steps.
  • a well-known process is applied to the above-described semiconductor device manufacturing process, wafer processing process, and lithography process.
  • the defect inspection apparatus 300 when the defect inspection apparatus 300 according to each of the embodiments of the present invention is used, even if the semiconductor device has a fine pattern, there is no image defect of the secondary electron image. Since defects can be inspected with high precision in the state, it is possible to improve product yield and prevent shipment of defective products.
  • the present invention is not limited to the above-described example, but can be arbitrarily and suitably changed within the scope of the present invention.
  • the semiconductor wafer 3005 is taken as an example of the sample to be inspected, but the sample to be inspected of the present invention is not limited to this, and any sample that can detect a defect by an electron beam can be selected. is there.
  • a mask or the like on which a pattern for exposing to A-8 can be inspected.
  • the present invention can be applied not only to an apparatus that performs defect detection using a charged particle beam other than an electron, but also to any apparatus that can obtain an image capable of inspecting a defect of a sample.
  • the deflection electrode 301 can be placed at any position as long as the irradiation area of the primary electron beam can be changed as well as between the objective lens 310 and the wafer 3005.
  • the electron gun 300 For example, between 1 and the EXB deflector 3003.
  • the deflection direction may be controlled by controlling the field generated by the EXB deflector 3003. That is, the function of the deflection electrode 301 may be shared by the EXB deflector 3003.
  • Embodiments 10 and 11 described above when performing the matching between the image data, either the matching between the pixels or the matching between the feature vectors is performed, but both may be combined. For example, at first, high-speed matching is performed with a feature vector that requires a small amount of computation, and as a result, the inspection image with a high degree of similarity is matched in a more detailed pixel sequence. And accuracy can be compatible.
  • the positional deviation of the image to be inspected was dealt with only by the positional deviation of the irradiation area of the primary electron beam.
  • the optimal matching area on the image data before or during the matching processing was performed. (For example, regions having a high correlation coefficient are detected and matched) and the present invention can be combined. According to this, a large displacement of the image to be inspected can be dealt with by the displacement of the irradiation area of the primary electron beam according to the present invention, and a relatively small displacement can be absorbed by digital image processing in the subsequent stage. The accuracy of defect detection can be improved.
  • the EXB deflector 3003 may be omitted and the primary electron beam may be obliquely incident on the surface of the wafer 3005. .
  • the flow of the flowchart in FIG. 28 is not limited to this.
  • a sample determined to have a defect in step 3 3 1 2 defect inspection of other areas was not performed, but the processing flow was changed to cover all areas and detect defects. You may do it.
  • the irradiation region of the primary electron beam can be enlarged and one irradiation can cover almost the entire detection region of the sample, Steps 3314 and 3316 can be omitted.
  • the defect inspection apparatuses of Embodiments 10 and 11 of the present invention For example, by acquiring images of a plurality of inspected regions displaced from each other while partially overlapping on the sample, and comparing the images of the inspected regions with a reference image, defects of the sample can be determined. Since the inspection is performed, an excellent effect of preventing a decrease in defect inspection accuracy due to a positional shift between the image to be inspected and the reference image can be obtained.
  • FIG. 34 is an arrangement diagram showing an electron beam apparatus 4000 according to Embodiment 12 of the present invention.
  • the electron beam apparatus 400 is provided with an electron gun 4001 for irradiating the sample T with a primary electron beam, and a secondary electron detector 4001 for detecting a secondary electron beam from the sample T.
  • reference numeral 400 denotes an axially symmetric electrode.
  • the electron beam emitted from the electron gun 4001 is converged by the condenser lens 402 and forms a crossover at the aperture 400 that determines the NA (numerical aperture).
  • An aperture plate 4003 is provided under the condenser lens 402, and a total of eight apertures 410 are provided in the aperture plate as shown in FIG. 35A.
  • the aperture 410 is focused on the principal deflection surface of the EXB separator 400 by the reduction lens 400, and is further reduced and projected onto the sample surface T by the objective lens 7 to be irradiated with the primary electron beam.
  • Form E (Fig. 36).
  • the secondary electrons emitted from each primary electron beam irradiation point E on the sample surface T are deflected to the right in Fig.
  • the sample T is supported on a moving stage (not shown), and is moved in a direction (y direction) perpendicular to the plane of FIG.
  • the apertures 401 of the aperture plate 400 are arranged in three rows and three columns.
  • the brightness (electron density) of the electrons emitted from the electron gun is higher than a certain level. It is preferable that the opening 410 is provided only within the predetermined diameter, and therefore, in the example shown, the third row and the third column are not provided.
  • the openings in the second and third rows are shifted to the right as viewed in Fig. 35A from the first and second rows, respectively, by 1 Z3, the space between columns D1, .
  • the distances D 1 and D 2 between the openings 410 are such that the distance between the irradiation points E of the primary electron beam on the sample is sufficiently separated. This is because the secondary optical system has a large aperture angle to improve the detection efficiency, so the aberration is large, and the detector hole group The secondary electron image on the 4010 may cause crosstalk between the beams, and this is to prevent this.
  • FIGS. 34B and 34C are plan views of aperture plates 4050 and 4060 in which apertures are arranged on the circumference, respectively.
  • the projection points on the X-axis of the apertures 4051, 4052,... Of the aperture plate 4050 in FIG. 34B are equally spaced Lx, and similarly, the X-axis of the apertures 4061, 4062,.
  • the projection points on the line are equally spaced Lx.
  • the primary electron beams are arranged so that the maximum value of the distance between the adjacent primary electron beams two-dimensionally arranged on the sample surface is minimized.
  • the distance 50a, 50b, 50e, 50f between two adjacent openings of the aperture plate 4050 in Fig. 34B is 47mm, 63mm, 63mm, 4lmm, respectively, and is adjacent to the aperture plate 4060 in Fig. 34C.
  • the distances 60a, 60b, and 60f between the two openings are 56mm, 57mm, and 4lmm, respectively. Comparing these two aperture plates, aperture plate 4060 has a maximum value of the distance between adjacent primary electron beams of 57 mm, which is smaller than 5 Ob (63 mm) of aperture plate 4050. It can be said that the arrangement of the openings of the opening plate 4060 is more appropriate.
  • the advantages of using an aperture plate with these requirements are that the distance between adjacent primary electron beams is almost equal, symmetry is improved, astigmatism is less likely to occur, and primary electrons are less likely to occur. Since the lines are separated from each other, blurring of the primary electron beam due to the space charge effect is reduced, and irradiation is performed near the symmetrical position on the sample, so that the influence of the charging of the sample is reduced. is there.
  • the primary electron beam is split into a plurality of beams by the small apertures 4014, forms an image on the deflection main surface of the EXB separator 4066 by the reduction lens 4005, and is further reduced and projected on the sample surface T by the objective lens 4007. As shown in 36, the irradiation point E of the primary electron beam is formed.
  • the secondary electrons emitted from each irradiation point E on the sample surface T are accelerated and focused by the electric field applied between the objective lens 4007 and the sample surface, and are focused between the objective lens 4007 and the electron gun side lens.
  • the EXB separator 4006 provided deflects to the right in Fig. 34 and is enlarged by the lens 4009 of the secondary optics.
  • An image is formed at 40 10 and detected at the secondary electron detector 40 11.
  • the sample T is supported on a stage (not shown), and moves in a direction (y direction) perpendicular to the plane of FIG. 34 by moving the stage.
  • the distances D 1 and D 2 between the small openings 40 14 are set so that the distance between the irradiation points E of the primary electron beam on the sample T is sufficiently large. If the interval between the irradiation points E is not constant, the smallest value of the interval becomes a problem, so the minimum value of the interval must be as large as possible. This is because the secondary optical system has a large aberration because the aperture angle is increased in order to increase the detection efficiency, and the secondary electron image on the detection aperture plate 4010 is crossed between the secondary electron beams. This may cause damage and prevent this.
  • the electron beam scanning deflectors 40 1 2 and 40 13 are configured to scan the irradiation point E of the primary electron beam on the sample T from left to right as viewed in Fig. 36 (X direction).
  • the stage After moving the sample T by the length of the area to be detected in the y direction, the stage is stepped in the X direction, and the sample is moved by 400 m in the X direction. Perform raster scan (400 um + a in X direction) while continuously moving in the y direction. By repeating this, it is possible to obtain image data of all areas to be detected.
  • the moving stage moves the sample by 400 im in the X direction. Scanning is performed by folding back in the y direction.
  • This image data is compared with the image obtained from the predetermined pattern data. More required inspections can be performed.
  • the processing speed is eight channels, and the inspection can be performed continuously except for the return time, so that the processing speed is much faster than the conventional one.
  • the inspection area width (X-direction width) of the sample surface is 200 mm
  • the scanning deflectors 410 and 413 are used as scanning poles so that they can be scanned in the y direction, and are deflected in the X direction so that they can be located at the pattern position to be measured.
  • the beam may be moved and scanned in the y direction.
  • the beam is moved to the measurement target position by the deflection of the stage position and the y direction, and scanning is performed in the X direction to perform signal processing similar to the conventional method.
  • a pattern that allows evaluation of alignment accuracy may be prepared, and scanning similar to line width measurement may be performed.
  • Example 1 2 ′ (FIG. 34), one having one electron beam irradiation system with one electron gun 4001 was shown, but a plurality of electron guns and aperture plates corresponding thereto were used.
  • a plurality of electron beam irradiation systems consisting of a secondary electron inspection device and the like are arranged adjacent to each other in the X direction, and 400 mX (electron beam (The number of irradiation systems) can be inspected.
  • Embodiment 12 of the present invention while a wide scanning width (400 m width) is covered by a plurality of primary electron beams, a sample is continuously applied in a direction perpendicular to the scanning width. Since the inspection of the sample surface is performed by moving the sample surface, the running time of the entire sample surface can be significantly reduced. In addition, since a plurality of primary electron beams are used, the scanning width of each electron beam can be narrowed, so that the chromatic aberration can be suppressed and the irradiation point E on the sample surface can be reduced. Electrons can be separated sufficiently. Therefore, crosstalk in the secondary optical system can be suppressed.
  • the conventional method moves the sample. Wasted time can be greatly reduced. Furthermore, by using multiple electron guns and setting multiple electron beam irradiation systems, more efficient inspections can be performed. It becomes possible.
  • the distance between irradiations can be increased.
  • the distance between the irradiation points projected on one axis (X axis) is all the same, the sample surface can be scanned without gaps.
  • the primary electron beam can be vertically incident, so the electron beam can be narrowed down.
  • FIG. 37 is a schematic configuration diagram of an electron beam device 4100 according to Embodiment 13 of the present invention.
  • reference numeral 4101 denotes a single electron gun having an integrated force source for emitting an electron beam for inspection
  • 4103 denotes a condenser lens
  • 4105 denotes a multi-beam which forms a plurality of electron beams from the electron beams from the condenser lens.
  • Aperture plate, 41 07 NA aperture plate provided at the enlarged image position of the electron beam source created by the condenser lens, 4109, 41 11: Reduce multiple electron beams formed by the multi-aperture plate at a fixed reduction rate
  • a lens that forms an image on the surface of the sample 41 13 to be inspected, and 4115 is an EXB separator that separates secondary electrons passing through the lens from primary electrons.
  • the integrated cathode is a single piece of force sword material such as L a B b that is processed into various shapes at the tip.
  • the EXB separator 41 15 has a structure in which the electric and magnetic fields are orthogonal to each other in a plane perpendicular to the normal to the sample surface (upward on the paper). It is set so that primary electrons go straight.
  • 41 17 is a deflector for simultaneously deflecting a plurality of electron beams formed by the multi-aperture plate 4 105 to scan the detection area on the sample 41 13
  • 4 19 is a magnifying lens of a secondary optical system
  • 4 121 is a primary optics Synchronous operation with the system deflector 4117 and detection of secondary electrons from the entrance point of each beam from the apertures 4105a, 4105b, 4105c, and 4105d regardless of the scanning of the sample.
  • 4123 is a multi-aperture plate of the secondary optical system having openings 4123a, 4123b, 4123c, 4123d corresponding to the multi-aperture plate of the primary optical system
  • 4125 is a multi-aperture plate Detector group located behind.
  • the detector group 4125 includes an electron multiplier that generates a detection signal corresponding to the amount of incident electrons.
  • the electron beam emitted from the electron gun 4101 are converged by the condenser lens 4103 and irradiate the multi-aperture 4105a-4105d of the aperture plate 4105 which forms a multi-beam.
  • the electron beam passing through each aperture 4 1 0 5 a, 4 1 0 5 b, 4 0 5 c, 4 1 0 5 d determines the numerical aperture of the primary optical system.
  • the electron beam that has passed through the crossover forms a crossover image on the main surface of the objective lens 4111 with the condenser lens 4109.
  • NA stands for Numerical Aperture.
  • the aperture image of each aperture of the multi-aperture plate 41 05 is formed on the main surface of the EXB separator 41 15 by the condenser lens 41 09 and then on the sample 41 13 surface by the objective lens 4 11 Is done.
  • the secondary electrons emitted from the sample are separated from the primary electrons by the EXB separator 4115, deflected to the secondary optical system, expanded by the secondary optical system magnifying lens 4119, and multiplied. It is detected by a group of detectors 41 25 arranged on the back side of the multi-aperture plate through the aperture of the aperture plate 41 23.
  • the current density of the electron beam emitted from the electron gun 41 01 is the largest at the center aperture 41 0 5 d of the multi-aperture plate 4 105, and 41 0 5 c, 4 105 b, It decreases as the distance from the optical axis decreases to 41,05a, and therefore the beam current on the sample 41 13 differs.
  • the size of the apertures 4105a-4105d of the multi-aperture plate 4105 is reduced so that it is small near the optical axis and gradually increases as the distance from the optical axis increases. Adjust so that the beam current passing through each aperture on the sample 41 13 surface is almost equal for all beams. For this reason, a detector group for detecting each beam current is placed on the surface of the sample 41 13 to detect the current of each beam.
  • the position of the NA aperture plate 4107 in the optical axis direction that determines the degree of aperture of the primary optical system is determined by changing the position of the NA of the primary optical system by the Gaussian of the enlarged image of the electron beam source created by the lens of the primary optical system. It is set at a position shifted from the image plane (the focal position of the paraxial ray) to the electron gun 1 side. That is, in the crossover position formed by the condenser lens 4103, one crossover position (position in the optical axis direction) of the beam passing through each aperture of the multi-aperture plate 4105 differs for each beam due to the spherical aberration of the lens.
  • the crossover position created by the beam is 4108a, and the crossover position created by the beam from the aperture 4105 is 4108c. That is, the Gaussian image plane of the electron beam source formed by the lens of the primary optical system is farthest from the NA aperture plate 4107. Therefore, the NA aperture plate 4107 is shifted to the electron gun 1 side from the Gaussian image plane position, and is placed at the crossover position created by the outermost aperture 4105a of the multi aperture plate 4105.
  • the current density of the beam passing through the aperture 4107 is large and the passage of the beam is not restricted, while the current density of the beam passing through the aperture 4105c near the optical axis is low, and By limiting the beam passing amount, it is possible to reduce the variation in the brightness, ie, the beam current, on the sample 4113 surface. Also in this case, similarly to the previous embodiment, the current of the beam passing through each aperture is detected by arranging a detector group for detecting each beam current at the sample surface position.
  • the above problem can also be solved by combining the above adjustment of the aperture size of the multi-aperture plate 4105 with the above adjustment of the position of the NA aperture plate 4107 in the optical axis direction.
  • the aim was to make the beam current incident on the sample 4 11 13 surface uniform, but in fact, the detection rate of secondary electrons in the secondary optical system was near the optical axis and from the optical axis.
  • the detection rate of secondary electrons in the secondary optical system was near the optical axis and from the optical axis.
  • a sample without a pattern is placed at the sample position, secondary electrons from the sample surface without the pattern are detected by the detector group 415, and the output of each detector is determined.
  • the position of the NA aperture plate 4107 in the direction of the optical axis so that the difference between the two becomes minimum, it is possible to correct the variation in the secondary electron detection rate of the secondary optical system. If the reduction ratio from the aperture plate to the sample is M and the distance of the optical field curvature in the z-direction is ⁇ mm, the amount of displacement of the aperture plate is ⁇ / (2 ⁇ ), usually 1 to 1. 0 mm.
  • the variation in the detection rate of secondary electrons of the secondary optical system can be explained by placing a sample without a pattern at the sample position as in the above, and distributing the secondary electrons from the sample surface without the pattern to the detector group 4 1 2 5
  • the aperture size of the multi-aperture plate 4105 of the primary optical system is small near the optical axis and gradually increases with distance from the optical axis so that the difference between the outputs of the detectors is minimized. This can be corrected by making fine adjustments as described above.
  • the variation in the secondary electron detection rate of the secondary optical system is similar to that described above.
  • a sample without a sample is placed at the sample position and secondary electrons from the sample surface without the pattern are detected.
  • the aperture size of the multi-aperture multi-aperture plate 4 1 2 3 is small near the optical axis and smaller than the optical axis so that the difference between the outputs of the detectors is minimized. It can also be corrected by fine-tuning it so that it gets progressively larger with distance.
  • this problem is caused by the above adjustment of the aperture size of the multi-aperture plate 4105, the adjustment of the optical axis direction of the NA aperture plate 4107, the It can also be solved by combining adjustments.
  • an adjustment method for minimizing the output difference between the detectors 415 through a control method (not shown) and a calculation method is used.
  • the evaluation between the beams was performed by simultaneously deflecting all the beams by the deflector 411 and scanning all the beams on the sample 411 and the signal at that time.
  • the deflectors are synchronized with the scanning of the deflectors 4111 to ensure that the secondary electrons from the incident point of each beam enter the corresponding holes of the multi-aperture plate 4123. Secondary electrons were scanned by 4 1 2 1.
  • the electron beam apparatus 4100 of the embodiment 13 of the present invention can be used for various inspections such as defect inspection of a photomask ⁇ reticle, ⁇ 18, etc. (sample), line width measurement, alignment accuracy measurement, potential contrast measurement and the like. Applicable to inspection and measurement.
  • the electron gun since an integrated force sword or a plurality of beams is formed from a single electron gun, the electron gun fails as compared with the case where a plurality of emitters are used. Is significantly improved, and the reliability of the device is improved. In addition, since the current of each of the multiple beams can be made uniform, high-precision inspection and measurement of high throughput can be performed.
  • the electron beam device 4100 of Embodiment 13 can also use an electron gun that emits electrons only in a narrow direction, such as a thermal field emission electron gun.
  • the electron beam device 410 of the embodiment 13 can equalize the current of each beam, the number of multi-beams can be increased, and the multi-beams can be illuminated over a wider range. Can be fired. Therefore, high-throughput inspection and measurement can be performed. Also, the signal strength between the beams can be made substantially equal.
  • the electron beam device 420 of the embodiment 14 of the present invention will be described in detail with reference to FIG. 38 to FIG.
  • the electron beam emitted from the electron gun 4201 is focused by the condenser lens 422 to form a crossover at the point CO.
  • a stop 424 having an aperture 424 that determines NA is arranged.
  • a first multi-opening plate 423 having a plurality of openings is arranged below the condenser lens 422, whereby a plurality of primary electron beams are formed.
  • Each of the primary electron beams formed by the first multi-aperture plate 420 3 is reduced by the reduction lens 4 205 and projected on the principal deflection surface 4 2 15 of the EXB separator 4 206.
  • the sample 4 2 0 8 is focused by the objective lens 4 2 0 7.
  • the multi-aperture plate 420 3 is arranged such that the condenser lens 4 2
  • the structure is stepped so as to increase the distance from 02.
  • the secondary electron beam emitted from multiple points of the sample 408 irradiated by the multiple focused primary electron beams is attracted by the electric field of the objective lens 407, is narrowly focused, and is separated by EXB. Focus on the point 4 2 16 before the instrument 4 206, that is, the point 4 2 16 on the sample side with respect to the main surface of the deflection of the EXB separator 4 206. This is because each primary electron beam has energy of 500 eV on the sample surface, while the secondary electron beam has only several eV of energy.
  • the plurality of secondary electron beams emitted from the sample 420 are deflected by an EXB separator 420 to the outside of the axis connecting the electron gun 4201 and the sample 420, and the primary electrons are emitted. It is separated from the line and enters the secondary optics.
  • the secondary optical system has magnifying lenses 4209 and 4210, and the secondary electron beam that has passed through these magnifying lenses 4209 and 4210 is a second multi-aperture.
  • An image is formed on the plurality of detectors 4 2 1 2 through the plurality of openings of the plate 4 2 1 1.
  • a plurality of openings formed in the second multi-aperture plate 4 211 arranged in front of the detector 4 correspond one-to-one.
  • Each detector 4 2 12 converts the detected secondary electron beam into an electric signal indicating its intensity.
  • the electric signals output from the respective detectors are amplified by the amplifiers 421 and 143, respectively, and then received by the image processing unit 424 and converted into image data.
  • This image data is used for measuring the defect and the line width of the sample. That is, the image processing unit
  • the image processing section 424 displays an image representing the surface of the sample 428.
  • the line width of the pattern on the sample 428 can be measured by taking out the line width evaluation signal by performing line scanning and appropriately calibrating the signal.
  • the primary electron beam passing through the opening of the first multi-aperture plate 4203 is focused on the surface of the sample 4208, and the secondary electron beam emitted from the sample 4208 is detected.
  • special care must be taken to minimize the effects of the three aberrations, distortion, field curvature and field astigmatism, which occur in the primary and secondary optical systems.
  • means employed in the embodiment 14 of the present invention for this purpose will be described with reference to FIGS.
  • FIG. 39 shows a first example of a first multi-aperture plate 4203 used in the electron beam apparatus according to the present invention. Used when pincushion-type (pincushion-type) distortion aberration occurs. To correct the pincushion-type distortion error, a plurality of apertures that are displaced in the barrel shape are provided in the first multi-aperture plate 4203. It is formed. That is, the center X of the first multi-aperture plate 4203, that is, the center of the intersection between the line connecting the electron gun 4201 and the sample 428 and the first multi-aperture plate 4203. One opening 4 2 2 1 to 4 2 4 is formed at each of the four corners of the square 4 2 0. The vertical and horizontal solid lines in FIG.
  • each aperture depends on the distance from the center of the first multi-aperture plate 4203 to the first multi-aperture plate from the intersection of the solid lines. It is designed to be located at a position shifted toward the center of 4203.
  • FIG. 40 shows an example of the second multi-aperture plate 421 1 used in the electron beam apparatus according to the present invention, and a pin-cushion type (pin) that may be generated due to distortion existing in the secondary optical system. It is used to minimize the effect of distortion of the cushion type.
  • each opening of the second multi-aperture plate 4 2 1 1 is shifted outward from the ideal position where the openings are uniformly distributed according to the distance from the center Y. ing. The amount of this shift was calculated by performing a simulation in a system including the objective lens 420, the magnifying lenses 420, 420, and the EXB separator 420. The outermost opening may be sufficiently large because it will not cause crosstalk if it is too large.
  • the multi-aperture plates 4 203 and 4 211 in FIGS. 39 and 40 describe an embodiment in which a single plate is provided with a plurality of openings.
  • the multi-aperture plate may be composed of two or more plates.
  • the field curvature generated by the primary optical system can be corrected by making the cross-sectional shape of the first multi-aperture plate 4203 stepped as described above.
  • the secondary optical system causes field curvature, the aperture of the second multi-aperture plate 4 2 1 1 arranged in front of the detector 4 2 1 2 is large, so the image plane by the secondary optical system is actually The curvature is negligible.
  • FIGS. 41A and 41B show a second example of the first multi-aperture plate 4203 used in the electron beam apparatus according to the present invention in order to reduce the visual field astigmatism.
  • each opening depends on the distance from the center of the first multi-aperture plate 4203, and the radiation direction with respect to the center. It has an elongated shape.
  • the size of the virtual circle centered on the center of the first multi-aperture plate 4203 differs between the radial direction and the circumferential direction.
  • the shape of each opening is set as follows.
  • Reference numeral 4 2 17 in FIG. 3 denotes a blanking deflector, which forms an electron beam with a narrow pulse width by applying a narrow pulse to the blanking deflector 4 2 17.
  • the use of a narrow pulse formed in this way makes it possible to measure the potential of the pattern formed on the sample 428 with high time resolution. (Scanning electron microscope) function can be added.
  • Reference numeral 4 2 18 in FIG. 3 8 indicates an axisymmetric electrode.
  • a potential 10 to 10 port lower than that of the sample 4 208 is applied to the axisymmetric electrode 4 2 18, the sample 4 2
  • the secondary electrons emitted from the sample can flow toward the objective lens 4207 or be driven back to the sample side depending on the potential of the pattern of the sample 4208.
  • the potential contrast on the sample 428 can be measured.
  • An electron beam device 420 according to the embodiment 14 of the present invention shown in FIGS. 38 to 40 is a defect inspection device, a line width measurement device, an alignment accuracy measurement device, a potential contrast measurement device, and a defect review device.
  • the present invention can be applied to a strobe SEM device.
  • the electron beam apparatus 420 of the embodiment 14 of the present invention can be used for evaluating a wafer in a semiconductor device manufacturing process shown in FIGS.
  • the lithography process which is the core of the wafer processing process in Fig. 12, is a resist process for coating a resist on a wafer on which a circuit pattern has been formed in the previous process, an exposure process for exposing the resist, and a process for developing the exposed resist. And a anneal process (FIG. 13) for stabilizing the developed resist pattern.
  • the electron beam apparatus 420 of the embodiment 14 of the present invention can be used in the wafer inspection process of FIG. 12 for inspecting a further processed wafer.
  • electron gun 4201 first multi-aperture plate 4203, primary optics, secondary optics, second multi-aperture plate so that different positions of sample 4201 can be irradiated simultaneously.
  • Electron beam irradiation consisting of 4 2 1 1 and detector 4 2 1 2Provide multiple detection systems, irradiate the sample with multiple primary electron beams from multiple electron guns, and emit multiple samples from the sample Receive the secondary electron beam with multiple detectors You may do it. This can significantly reduce the time required for inspection and measurement.
  • the electron beam device 420 of the embodiment 14 of the present invention has the following effects.
  • the distortion caused by the primary optical system can be corrected and the field astigmatism can also be reduced, so that a wide area can be scanned by irradiating with a plurality of beams, and the defect inspection etc. of the sample is high. It can be performed with throughput.
  • the primary optical system can form an image on the main deflection surface of the EXB separator 6, the chromatic aberration of the primary electron beam can be reduced. It becomes possible to narrow down finely.
  • the electron beam device 4300 of Embodiment 15 of the present invention will be described with reference to FIG.
  • the electron beam device 4301 in FIG. 42 includes a primary optical system 4310, a secondary optical system 4330, and a detection device 4340.
  • the primary optical system 43110 is an optical system that irradiates the surface (sample surface) of the sample S with an electron beam.
  • the electron gun 4311 which emits an electron beam, and the electron beam emitted from the electron gun And an aperture in which a plurality of two-dimensionally arranged small holes (only 431a to 4313e are shown in Fig.
  • Electrostatic objective lens 4 3 2 1 and an electrostatic deflector 4 3 2 2 are provided. They are arranged in order with the electron gun 4 311 at the top, as shown in Fig. 42, and with the optical axis A of the electron beam emitted from the electron gun perpendicular to the surface SF of the sample. ing. Therefore, the structure between the electrostatic objective lens 43221 and the sample S can be made to be axially symmetric, and the electron beam can be narrowed down.
  • the secondary optics 4 3 3 0 is a secondary optics 4 3 Electrostatic magnifying lens 4 located along optical axis B, which is close to optical axis A
  • the detection device 4340 includes a detector 4341 for each opening of the aperture plate 4332.
  • the number of apertures (4332a to 4332e) of the aperture plate 4332 is determined according to the number and arrangement of the small holes (4313a to 4313e) formed in the aperture plate 4313 of the primary optical system. In columns.
  • Each of the above components may be publicly known, and a detailed description of their structures will be omitted.
  • the electron beam C emitted from the single electron gun 431 1 is converged by the electrostatic lens 4312 and irradiates the aperture plate 4313.
  • the electron beam C passes through a plurality of small holes (4313a to 4313e) formed in the aperture plate 4313 and is converted into a plurality of electron beams. These electron beams form a crossover C1 at an aperture aperture 43 15 having an aperture.
  • the crossed-over electron beam travels toward the sample S, is converged by the electrostatic intermediate lens 4316 and the electrostatic intermediate lens 4318 provided on the way, and is imaged on the main surface of the electrostatic objective lens 4321 to form a Keller Satisfies lighting conditions.
  • the electron beam D forming the image of each small hole of the aperture plate 4313 is an electrostatic intermediate lens.
  • Secondary electrons emitted from the sample surface SF are accelerated and converged by the acceleration electric field applied to the secondary electrons applied between the electrostatic objective lens 4321 and the sample surface SF, and pass through the electrostatic objective lens 4321 Then, the crossover is imaged a little before the main deflection surface FP2 of the second EXB separator 4320.
  • the formed secondary electrons are deflected by the second EXB separator 4320 so as to move along the optical axis B, and enter the electrostatic magnifying lens 4331.
  • the secondary electrons are then magnified by the electrostatic magnifying lens 4331 and magnified and imaged in the small holes (4332a to 4332e) of the aperture plate 4332.
  • the sample surface SF and the aperture plate 4332 are in an optically conjugate relationship with the value of 2 eV of the secondary electron intensity, and the electron beam passed through the small hole 43 13a of the aperture plate 4313.
  • the secondary electrons emitted by SF pass through the small holes 4 3 3 2 a of the aperture plate 4 3 3 2, and the secondary electrons emitted by the electron beam passing through the small holes 4 3 1 3 b
  • the secondary electrons emitted from the sample surface SF by the electron beam passing through the small holes 4 3 13 2 c through the small holes 4 3 3 2 b of the plate 4 3 3 2 As described above, secondary electrons emitted from the sample surface by the electron beam pass through the small holes of the aperture plate 4 3 3 2 corresponding to the small holes of the aperture plate 4 3 13.
  • a chief ray trajectory indicated by reference sign E is formed by using an electrostatic deflector 4 3 19 and a second EXB separator 4 3 20
  • the scanning between the electron beams can be performed by deflecting and scanning the electron beam.
  • the voltage for directing the electron beam is Vw
  • the magnetic field is Bw
  • Vw It is sufficient to apply a voltage waveform such that the scanning voltage is superimposed on the DC voltage centered on the DC voltage. Two-dimensional scanning becomes possible. Therefore, it is not necessary to newly provide a deflector above the electrostatic objective lens 4321, and the EXB separator and the electrostatic deflector can be arranged at optimal positions.
  • the amount by which the electron beam is deflected by the magnetic field is greater than the amount by which the electron beam is deflected by the electric field.
  • an electrostatic lens is provided below the EXB separator and the lens has no aberration, beam blur does not occur.
  • the lens has aberration and beam blur occurs. Therefore, using only a single E XB separator If the energy of the beam has a width, it is unavoidable that the beam is blurred due to chromatic aberration.
  • the first and second EXB separators 4 3 17 and 4 3 2 0 are provided, and the first EXB separator 4 3 17 and the second EXB separator 4 3
  • the electric field of each EXB separator is adjusted so that the directions of deflection by the electric field are opposite to each other when viewed on the sample surface, and the absolute values of the deflection magnitudes are equal. Therefore, even if the energy of the electron beam has a certain width, the chromatic aberration due to the EXB separator is canceled out by the first and second EXB separators 4317 'and 4320.
  • a sample to be inspected is set, and the electron beam device is set. Operate unit 1 as described above.
  • image data is created using the scanning signal waveform given to the electrostatic deflector 4 3 19 and the second EXB separator 4 3 20 and the output signal of the secondary electron detector 4 3 4 1.
  • Defect inspection can be performed by comparing the image data with image data created from separately obtained pattern data.
  • the measured pattern is scanned in the direction perpendicular to the pattern by the electrostatic deflector 4319 and the second EXB separator 4320, and the pattern of the pattern is obtained from the secondary electron signal waveform obtained at that time.
  • the line width can be measured.
  • a pattern formed by the second layer lithography is formed near the pattern formed by the first layer lithography, and these two patterns are separated by a beam interval of a plurality of electron beams of the electron beam apparatus 4300.
  • the alignment accuracy can be evaluated by measuring the interval between these two patterns and comparing the measured value with the design value.
  • a scanning electron microscope (SEM) image can be formed on the CRT monitor. . The inspector can observe the type of defect while viewing the SEM image.
  • SEM scanning electron microscope
  • a coaxial electrode 4322 is provided between the electrostatic objective lens 4321 and the sample surface SF, and a negative voltage is applied to the electrode 4322 to increase the potential contrast. Can be measured.
  • the electron beam is blanked so that the electron beam is not deflected for a short time but the rest is deflected.
  • a short-pulse electron beam can be obtained.
  • the operation of the device can be analyzed by irradiating the short-pulse electron beam on the sample surface SF, activating the device on the sample surface, and measuring the potential of the pattern with good time resolution.
  • FIG. 43 is a plan view showing a state where a plurality of sets of the primary optical system and the secondary optical system having the above-described configuration are arranged on the sample S.
  • six sets of The primary optical system 4310 and the secondary optical system 4330 are arranged in 2 rows and 3 columns. Circles 4310a to 4310f drawn by solid lines indicate the maximum outer diameters of the primary optical system, and circles 4330a to 4330f drawn by dashed lines indicate the maximum outer diameters of the secondary optical system.
  • the small holes of the aperture plate 4313 of the primary optical system 4310 are arranged in 3 rows and 3 columns, and the small holes of the aperture plate 4332 of the secondary optical system 4330 are similarly arranged in 3 rows and 3 columns. It is arranged in.
  • the plurality of sets of optical systems are arranged such that the optical axis B of each secondary optical system 4330 faces the outside of the sample along the direction in which the rows are arranged, so that each set does not interfere with each other.
  • the number of columns is preferably about three or four, but may be two or less, or more than four.
  • the electron beam apparatus 4300 according to the fifteenth embodiment of the present invention can be used in the wafer inspection step of FIG. 12 for inspecting a further processed wafer.
  • the defect inspection method and the defect inspection apparatus according to Embodiment 15 of the present invention are used in the inspection process, even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed.
  • the yield can be improved and the shipment of defective products can be prevented.
  • the electron beam apparatus 4300 (FIG. 42) according to Embodiment 15 of the present invention has the following effects.
  • Electrons that are equipped with multiple EXB separators align the position of the image of the aperture in the aperture plate with each position of the EXB separator, and are deflected by the electric field of each EXB separator. Since the beam directions are opposite to each other when viewed on the sample surface, it is possible to correct the chromatic aberration caused by the EXB separator, and to narrow the electron beam narrowly. Inspection accuracy can be ensured.
  • An electrostatic deflector 4 32 2 is provided coaxially between the electrostatic objective lens 4 32 1 and the sample surface SF, and a negative voltage is applied to the electrostatic deflector 4 32 2 This makes it possible to evaluate the potential contrast.
  • the function of blanking the electron beam is provided to control the voltage of the electrostatic deflector 4 3 1 4 to form a short pulse electron beam, activate the depises on the sample surface, and set the potential of the pattern. If the measurement is performed with good time resolution, the operation of the device can be analyzed.
  • FIG. 44A is a schematic layout view of the electron beam device 4400 of Embodiment 16 of the present invention.
  • the electron beam emitted from the electron gun 4401 is a capacitor ′ Focused by lens 4402 forms a crossover at point 4404.
  • a first multi-opening plate 4403 having a plurality of small openings is arranged, whereby a plurality of primary electron beams are formed.
  • Each of the primary electron beams formed by the first multi-aperture plate 4403 is reduced by a reduction lens 4405 and projected to a point 4415.
  • the primary electron beam is focused at the point 4 415 and then focused on the sample 4 408 by the objective lens 4 407.
  • the plurality of primary electron beams emitted from the first multi-aperture plate 4403 are deflected by a deflector 4419 disposed between the reduction lens 4405 and the objective lens 4407.
  • the surface of the sample 4408 placed on the x-y stage 4420 is simultaneously scanned.
  • the first multi-aperture plate 4403 is placed on the circumference to eliminate the influence of the field curvature aberration of the reduction lens 4405 and the objective lens 4407.
  • Small apertures 4 4 3 3 are arranged, and the points projected on the X axis are set so as to be at equal intervals Lx. Is determined.
  • the plurality of focused primary electron beams illuminate a plurality of points on the sample 448, and the secondary electron beam emitted from the illuminated multiple points is the electric field of the objective lens 4407.
  • the beam is narrowed and converged, deflected by the EXB separator 4406, and then input to the secondary optical system.
  • the secondary electron image focuses on point 4 4 16 which is closer to the objective 4 4 7 than point 4 4 15. This is because each primary electron beam has an energy of 500 eV on the sample surface, while the secondary electron beam has only a few eV of energy.
  • the secondary optical system has magnifying lenses 4409 and 4410, and the secondary electron beam that has passed through these magnifying lenses passes through a plurality of second multi-aperture plates 4411.
  • An image is formed on a plurality of electron detectors 4 4 1 2 through the aperture 4 4 4 3.
  • the plurality of openings 4 4 3 3 formed in the plate 4 4 3 correspond one-to-one.
  • the plurality of detectors 4 4 12 are respectively arranged to face the plurality of openings of the second multi-aperture plate 4 4. 11.
  • the detector 4 4 12 converts the detected secondary electron beam into an electric signal indicating its intensity.
  • the electric signal output from each detector 4 4 12 is amplified by an amplifier 13 and then converted into image data by an image processing unit 14. Since the scanning signal SS for deflecting the primary electron beam is further supplied to the image processing section 14, the image processing section 44 14 generates an image representing the surface of the sample 44 08. Can be. By comparing this image with the standard pattern, a defect of the sample 4408 can be detected.
  • the rising width detection section 4430 is disconnected during the process, but operates at the stage of determining the excitation voltage for initial focusing. The operation will be described later.
  • the pattern to be measured of the sample 448 is moved to a position near the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning, and this is calibrated appropriately.
  • the line width of the pattern on the sample 4408 can be measured.
  • the primary electron beam that has passed through the opening 4 4 3 3 of the first multi-aperture plate 4 4 3 3 is focused on the surface of the sample 4 4 0 8, and the secondary electron beam emitted from the sample 4 4 0 8 Detect electron beam Special attention must be paid to the effects of the three aberrations of the primary optical system, such as distortion, axial chromatic aberration, and visual field astigmatism, when forming an image on the imager 4 4 12.
  • the Talk can be eliminated.
  • the objective lens 4407 is a unipotential lens as shown in FIG.44C.
  • the center electrode of the objective lens 4407 is used.
  • a port is applied, and the upper electrode and the lower electrode of the objective lens 407 are supplied with an excitation voltage, which is a small voltage close to the ground potential from the power supply 449. Is applied.
  • Electron gun 4401, Axis deflector 4417, First aperture plate 4403, Capacitor lens 4402, Deflector 4419, Wien filter or ⁇ ⁇ ⁇ ⁇ Separator 4 406, objective lens 4 407, axisymmetric electrode 4 4 2 3, and secondary electron detector 4 4 12 are housed in an appropriately sized lens barrel 4 4 2 6, Construct one electron beam scanning and detection system.
  • the excitation voltage AV Q is fixed to, for example, ⁇ 10 volts and the positive voltage V is applied. This can be done by changing
  • the electron beam scanning / detection system in the lens barrel 4 426 scans the chip pattern on the sample and detects the secondary electron beam emitted from the sample as a result of the scanning. An electric signal representing the intensity is output.
  • a plurality of chip patterns are formed on the surface of the sample, a plurality of electron beam scanning / detection systems (not shown) having the same configuration as the electron beam scanning / detection system shown in FIG. They are arranged in parallel so that the distance between them is an integral multiple of the chip size on the sample.
  • the electron beam scanning / detection system will be further described.
  • the electric signal output from the electron detector 4 412 is converted into binary information in the image processing section 414, and Convert to image data.
  • image data of the circuit pattern formed on the surface of the sample is obtained, and the obtained image data is stored in an appropriate storage means and compared with a reference circuit pattern.
  • Various reference circuit patterns can be used for comparison with the image data representing the circuit pattern on the sample. For example, it is also possible to use image data obtained from CAD data for producing a circuit pattern that has been scanned to generate the image data.
  • an excitation voltage to be applied to the upper electrode or the lower electrode of the objective lens 407. Is determined as follows under the control of a control device (not shown) such as a CPU. .
  • a pattern 'edge parallel to the first direction and a parallel line in a second direction orthogonal to the first direction are provided.
  • the location where the pattern 'edge exists is identified by reading it from the pattern' data, for example.
  • the pattern / edge parallel to the first direction is scanned in the second direction by the primary electron beam, and as a result, emitted light is emitted.
  • An electric signal indicating the intensity of the secondary electron beam is taken out from the electron detector 414 and a rising width detecting section 440 measures the rising width p (unit: m) of the electric signal.
  • the pattern and edge parallel to the second direction are also scanned in the first direction by the primary electron beam using the deflector 4419 and the EXB separator 4406, and as a result, emitted.
  • An electric signal indicating the intensity of the secondary electron beam is taken out from the electron detector 442, and a rising width p of the electric signal is measured in a rising detection section 440.
  • This operation voltage soil. Change for at least three voltage values.
  • the control device (not shown) creates the curves ⁇ and ⁇ in FIG. 45 ⁇ based on the data from the rising width detection unit 440.
  • Curve A is a pattern parallel to the first direction. The relationship of the rise width p / zm to each is shown.
  • Curve B is dirt with respect to the pattern edge parallel to the second direction. The relationship of the rise width p x m for each is shown.
  • the “rise width R” of the electrical signal is the excitation voltage V, as shown in the graph of Figure 45B. (And the high voltage V.) while the pattern 'edge parallel to the first direction (or the second direction) is scanned in the second direction (or the first direction) Measurement It is expressed as the scanning distance R (unit: m) required for the electric signal to change from 12% to 88% of the maximum value.
  • Curve A in Figure 45A is the excitation voltage AV. Ga AV.
  • the rising width p is the minimum, and therefore, the rising is the sharpest at this time.
  • curve ⁇ is the excitation voltage.
  • the rising width is the smallest, indicating that the rising is the sharpest. Therefore, focus condition of the objective lens 7, i.e., the value of the voltage soil AV 0 is applied to the upper side electrode and the lower electrode, ⁇ -AV.
  • Excitation voltageist AV Changes only within the range of 0 to 20 V, so when the objective lens 4407 was settled as described above, the objective lens 4412 was settled at a high speed of 10 microseconds. It took only 150 microseconds to obtain curves A and B for A.
  • the rise voltage ⁇ is measured by setting 1 ⁇ (1), + ⁇ V (2), + ⁇ (3) as the three voltage values of The minimum value-AV Q (X) and + AV Q (y) can be found. In that case, the measurement can be performed in about 45 microseconds.
  • curves A and B in FIG. 45A approximate a quadratic or hyperbolic curve.
  • the rise width is p (n), the objective lens voltage.
  • q be (Porto), and graphs A and B can be expressed as follows:
  • the focusing condition is determined not by an optical Z sensor but by electro-optical means, so that there is an advantage that the correct focusing condition can be determined even when the sample is charged.
  • a lens barrel (not shown) having the same configuration as the lens barrel 4 4 26 including the electron beam scanning / detection system is arranged in parallel with the lens barrel 4 4 If they are arranged at a distance that is an integral multiple of the above chip size, it is necessary to perform focusing so that the primary electron beam is focused on the sample in each lens barrel. However, since such focusing can be performed almost simultaneously, the throughput budget is only a small value.
  • the method of manufacturing a semiconductor device according to the present invention is performed in the method of manufacturing a semiconductor device shown in FIGS. 12 and 13 using the above-described electron beam apparatus.
  • the electron beam device 4400 of Embodiment 16 of the present invention has the following operation and effects.
  • the settling time can be reduced, that is, focusing can be performed in a short time.
  • Embodiment 18 An electron beam apparatus 450 of Embodiment 18 of the present invention will be described with reference to FIGS.
  • FIG. 46 schematically shows an electron beam apparatus 4501 of Example 18.
  • This electron beam device 450 includes a primary optical system 4510, a secondary optical system 4530, and a detection device 450.
  • the primary optical system 4510 is an optical system that irradiates the surface of the sample S with an electron beam.An electron gun 4511 that emits an electron beam, and an electrostatic lens that reduces the electron beam emitted from the electron gun A first aperture plate 14 in which a plurality of small holes arranged in a two-dimensional array (only the holes 4515a to 4514i are shown in FIG. 46) are formed. , An open lower aperture 45 15, an electrostatic lens 45 15 reducing the electron beam passing through the first aperture plate, an electrostatic deflector 45 17, and an EXB separator 45 51 8 and an electrostatic objective lens 4519, which are arranged in order with the electron gun 4511 at the top as shown in FIG.
  • the electron gun 4501 has a number of protrusions of single crystal L a B 6 force sword inside A projection 4512 formed by polishing into a shape is formed.
  • small holes are arranged on the first aperture plate 4514 on the circumference as shown in Fig. 47.
  • the projection in the X direction is set to Lx at equal intervals.
  • the secondary optics 4530 includes a first electrostatic magnifying lens 4531, an aperture aperture 4532, and a first electrostatic magnifying lens 4531 arranged sequentially along an optical axis B that is inclined with respect to the optical axis A near the EXB separator 4518.
  • the detection device 4540 includes a detector 4541 for each opening of the second aperture plate 4534.
  • the number and arrangement of the small holes (shown by broken lines in FIG. 2) 4534a to 4534e of the second opening plate 4534 are the small holes formed in the first opening plate 4513 (solid lines in FIG. 47).
  • the numbers and sequences of 4514a to 4514e are the same.
  • Each of the above components may be publicly known, and a detailed description of their structures will be omitted.
  • the electron beam C emitted from the multiple projections 4512 of the single electron gun 4511 is converged by the electrostatic lens 4513 and irradiates the first aperture plate 4514.
  • the electron beam C passes through a plurality of small holes (4514a to 4514e) formed in the first aperture plate 4514 and is converted into a multi-beam. These multiple beams form a crossover image C1 at the aperture aperture 4515.
  • the crossed-over multi-beam advances toward the sample S, is converged by an electrostatic intermediate lens 4516 provided on the way, and is imaged on the main surface of the electrostatic objective lens 4519 to satisfy the Keller illumination condition.
  • the formed multi-beam forms a reduced image on the sample, and is scanned over the sample by the deflectors of the electrostatic deflector 4517 and the EXB separator 4518.
  • the secondary electrons emitted from the sample S are accelerated and converged by the accelerating electric field for the secondary electrons applied between the electrostatic objective lens 4519 and the sample S, pass through the electrostatic objective lens 4519, and The light is deflected by the separator 4518 so as to move along the optical axis B and enters the electrostatic magnifying lens 4531. Secondary electrons are then transferred to the electrostatic magnifying lens 45 A crossover image C2 is formed at the aperture aperture 4532, enlarged by 31. These imaged secondary electrons are then magnified by the electrostatic magnifying lens 445 3 3, and in the small holes (453 4 a to 45 334 e) of the second aperture plate 45 334. An image is formed. The magnification of the secondary optics can be determined by the two electrostatic magnifying lenses 4531 and 4533.
  • the secondary electrons emitted from the sample S by the electron beam passing through the small holes 4 5 14 a of the first aperture plate 4 5 The secondary electrons emitted from the sample S by the electron beam passing through the small holes 4 5 3 4 b through the small holes 4 5 3 4 a pass through the small holes 4 5 1 4 b.
  • the secondary electrons emitted from the sample S by the electron beam passing through c pass through the small holes 4 5 3 4 c.
  • the light enters the detector 4541 through the small holes of the second aperture plate 4553 corresponding to the small holes of the plate 4514.
  • the reduction ratio from the first aperture plate 451 to the sample S was determined by zooming the electrostatic lens 451 and the electrostatic objective lens 519. This can be solved by changing the pixel size corresponding to the change.
  • the Koehler illumination condition for forming the crossover image C1 on the main surface of the objective lens 4519 should be satisfied only in the standard mode, but not in the high resolution mode.
  • the position and size of the aperture aperture 4532 of the secondary optical system are fixed and the By changing the excitation voltage of the magnifying lens 4 5 3 3, the principal ray of the secondary electrons emitted from each beam of the sample is made to enter the corresponding small hole of the second aperture plate. That is, the magnification and the aperture are increased by the electrostatic magnifying lens 4 5 3 3 of the secondary optical system.
  • the focus condition of the crossover with the aperture 4532 is adjusted to the same.
  • the reduction ratio of the multi-beam is adjusted by zooming the electrostatic lens 4516 and the electrostatic objective lens 4519.
  • magnification with the electrostatic magnification lenses 4531 and 4533 of the secondary optical system the sample can be evaluated with two types of image dimensions.
  • the relationship between the reduction ratio of the multi-beam in the primary optical system and the magnification in the electrostatic lens of the secondary optical system is specifically shown in Fig. 46. If the distance is 1 mm and the reduction ratio of the multi-beam in the primary optical system is 1/100, the distance between the beams exiting the apertures 4514a and 4514b is 10 m. Assuming that the magnification of the secondary optical system is 500 times, the distance between the apertures 4534a and 4534b is 5 mm.
  • the advantage of this feature is that the beam size, beam current, or scan width can be changed by changing the reduction ratio of the multiple beams in the primary optics. Then, although the throughput deteriorates, it becomes possible to evaluate high resolution or to evaluate high throughput although the resolution is poor.
  • a crossover image is formed on the main surface of the objective lens in a mode having a high throughput but a relatively low resolution.
  • a mode having a high throughput but a relatively low resolution for example, in an apparatus having a mode with a resolution of 5 O nm and a throughput of 8.8 min / cm 2 and a mode with a resolution of 100 nm and a throughput of 33 seconds Z cm 2 .
  • the crossover image was placed on the main surface of the objective lens.
  • the electron beam apparatus 4500 of the seventeenth embodiment (FIG. 46) of the present invention is suitably used for the method of manufacturing the semiconductor device shown in FIGS.
  • the defect inspection method and the defect inspection apparatus of Example 18 of the present invention are used in the inspection step in this manufacturing method, even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed.
  • Product yield can be improved and defective products can be prevented from being shipped.
  • the electron beam apparatus 450 of Embodiment 17 (FIG. 46) of the present invention has the following effects.
  • the Koehler illumination condition of the primary optical system can be satisfied.
  • the deviation from the Koehler illumination condition of the primary optical system in the case of the high resolution mode is small, and the aberration does not increase so much.
  • An aperture aperture is provided at the position where the secondary electrons emitted from the sample in the direction perpendicular to the sample surface intersect with the optical axis of the secondary optical system.
  • the secondary electrons can be detected without any difference in intensity between them.
  • Embodiment 19 An electron beam apparatus 500 of Embodiment 19 of the present invention will be described with reference to FIGS.
  • the electron beam apparatus 500 in FIG. 48 has a primary electron optical system (hereinafter, referred to as “primary optical system”) 501 and a secondary electron optical system (hereinafter, referred to as “secondary optical system”). 0 2 0 and a detection system 5 0 3 0.
  • the primary optical system 510 is an optical system for irradiating an electron beam to the surface of an object S to be evaluated (hereinafter referred to as a “sa “sa “sample”) such as a wafer, and an electron gun 510 for emitting an electron beam, that is, an electron beam.
  • a condenser lens 501 which focuses the primary electron beam emitted from the electron gun 501 101, a first multi-aperture plate 501, formed with a plurality of apertures, and a reduction lens 50. 14, an EXB separator 510, and an objective lens 510, which are arranged in order with the electron gun 510 at the top as shown in FIG. .
  • 510 and 518 are deflectors for scanning the primary electron beam
  • 509 is an axisymmetric electrode.
  • the secondary optical system 520 is a magnifying lens 520 and 522 arranged along an optical axis inclined with respect to the optical axis of the primary optical system and a second multi-aperture plate 503. I have it.
  • the detection system 503 0 is provided with detectors 503 1 arranged for each opening 5 2 3 1 of the second multi-aperture plate 5 0 2 3, and an amplifier 5 0 3 2 for each detector. And an image forming section 503 connected to it. Since the structure and function of each of the above-described primary optical system 510, secondary optical system 520, and detection system 530 are the same as those of the conventional one, detailed description thereof is omitted. I do.
  • the opening 5 1 3 1 and the opening 5 2 3 1 of the second multi-aperture plate 5 0 2 3 are formed correspondingly, and the opening 5 1 3 1 is formed as shown by a solid line in FIG. 49.
  • the opening shown by the broken line is smaller than 5 2 3 1.
  • the sample S is detachably supported by a holder 504 of the stage device 504 by a known method, and the holder 504 is movable in the orthogonal direction by the XY stage 504. Supported.
  • the electron beam device 1 further includes a retarding voltage applying device (hereinafter referred to as an applying device) 550 electrically connected to the holder 504 1, a charge-up investigation and a retarding voltage determining system (hereinafter referred to as a “determining device”).
  • the investigation and decision system 506 comprises a monitor 601 electrically connected to the image forming unit 503, an operator 506 connected to the monitor 601; It is equipped with a CPU 506 3 connected to the OS 506 2.
  • the CPU 506 supplies a signal to the application device 550 and the deflector 507. Next, the operation of the electron beam device 500 of the embodiment 18 will be described.
  • the primary electron beam emitted from the electron gun 501 is focused by the condenser lens 501 and forms a crossover at the point P1.
  • the electron beam that has passed through the openings 5113 of the first multi-aperture plate 50113 is formed into a plurality of primary electron beams by the plurality of openings 51131.
  • the primary electron beam formed by the first multi-aperture plate 501 13 is reduced by the reduction lens 501 14 and projected to the point P2. After focusing at the point P 2, focusing is performed on the upper surface of the sample S by the objective lens 501 16.
  • the plurality of primary electron beams are deflected by the deflector 510 disposed between the reduction lens 504 and the objective lens 516 so as to simultaneously scan the upper surface of the sample.
  • the multiple apertures 513, 503 and 523 of the multi-aperture plates 503, 503 are Are arranged on the circumference of a circle centered on the optical axis of each optical system, and their adjacent distances L x when projected in the X direction are set so that they are equally spaced as shown in Fig. 49. Is formed.
  • the plurality of focused primary electron beams irradiate points on the sample S, and the secondary electrons emitted from these illuminated points are attracted to the electric field of the objective lens 501-16. And narrowed by the EXB separator 515 It is thrown into.
  • the secondary electron image focuses on point P3, which is closer to the objective lens than point P2. This is because each primary electron beam has an energy of 500 eV on the sample surface, whereas a secondary electron beam has only a few eV of energy.
  • This secondary electron image is passed through a plurality of openings 5 2 3 1 of the second multi-aperture plate 5 0 2 3 by magnifying lenses 5 0 2 1 and 5 0 2 2, and a detector 5 0 3 1 provided for each opening. The image is formed.
  • This secondary electron image is detected by each detector 503 1.
  • Each detector 503 1 converts the detected secondary electron image into an electric signal representing its intensity.
  • the electric signals output from the respective detectors are amplified by the corresponding amplifiers 503 and input to the image forming unit 503, where they are converted into image data. Since a scanning signal for deflecting the primary electron beam is further supplied to the image forming unit 503 3, the image forming unit displays an image representing the surface of the sample S. By comparing this image with the reference pattern, it is possible to detect a defect of the sample S.
  • the sample S is moved to a position near the optical axis of the primary optical system 5100 by registration, and a line scan or scanning is performed to extract a line width evaluation signal of a pattern formed on the upper surface of the sample.
  • a line scan or scanning is performed to extract a line width evaluation signal of a pattern formed on the upper surface of the sample.
  • the primary electron beam passing through the opening of the first multi-aperture plate 503 is focused on the upper surface of the sample S, and the secondary electron beam emitted from the sample S is sent to the detector 503 1
  • Special care must be taken during imaging to minimize the effects of the three aberrations of the primary optics, distortion, axial chromatic aberration and field astigmatism.
  • the relationship between the interval between the primary electron beams irradiating the sample and the secondary optical system can be obtained by separating the intervals between the multiple primary electron beams by a distance larger than the aberration of the secondary optical system.
  • crosstalk between a plurality of beams can be eliminated.
  • the image data converted by the image forming unit 503 3 is displayed as an image by the display device 506 1 of the investigation and determination device 560, and the image is evaluated by the operator 506 2.
  • the operator 506 2 forms a charge-up investigation device in this embodiment.
  • the operator 5062 can investigate the charge-up state based on the image. Then, input the result to CPU 506 3 and Set the voltage to the optimal value.
  • the CPU in this embodiment constitutes a retarding voltage determination device.
  • Figure 5 OA is a diagram explaining the charge-up evaluation place and the evaluation method.
  • An outer peripheral portion of the memory cell boundary 5102 of the chip 5100 is a low-density region in a peripheral circuit portion.
  • the inside is a high-density region in one memory cell portion. Therefore, Al and A2 are images of the boundary area, and A3 and A4 are images of the memory cell part.
  • Two-dot chain lines and broken lines in OA indicate boundaries where the density changes significantly.
  • the corner of the memory cell 5101 of the chip 5100 formed on the surface of the wafer as the sample is easily affected by the charge-up of the sample to be evaluated. evaluated.
  • the memory at the corner portion measure the pattern distortion amount 5103, 5104 at the cell boundary 5102; or (2) cross the pattern at the corner portion of the memory cell (by arrows A 1 and A 2
  • the signal intensity contrast obtained when scanning is shown by the solid lines 5105 and 5107 in Figure 50B, and the signal intensity obtained when the pattern is scanned by arrows A3 and A4 at the center of the chip. 5106 and 5108 (both indicated by broken lines in FIG. 50B).
  • the value was given to the application device 5050 via the CPU 5063, and the sample, that is, the wafer was evaluated based on the value.
  • the beam current may be reduced. In this way, forming an image near the boundary where the pattern density of the sample changes greatly has a large effect on charging, so it is easy to evaluate charging and find a leading voltage that is difficult to be charged. easy.
  • the electron beam apparatus 500 of Embodiment 19 (FIG. 48) of the present invention is suitably used for the method of manufacturing the semiconductor device shown in FIGS.
  • the electron beam apparatus 500 of Embodiment 19 of the present invention has the following effects.
  • FIG. 51 shows an EXB separator 600 of Embodiment 20 of the present invention.
  • the EXB separator 60020 is composed of an electrostatic deflector and an electromagnetic deflector.
  • Fig. 51 on the X-y plane orthogonal to the optical axis (the axis perpendicular to the drawing: the z-axis). This is shown as a cross-sectional view of FIG.
  • the X-axis direction and the y-axis direction are also orthogonal.
  • the electrostatic deflector includes a pair of electrodes (electrostatic deflection electrodes) 6001 provided in a vacuum vessel, and generates an electric field E in the X-axis direction.
  • These electrostatic deflection electrodes 6001 are mounted on a vacuum wall 6003 of a vacuum vessel via an insulating spacer 6002, and the distance D between these electrodes is determined by the electrostatic deflection electrode.
  • the length is set to be smaller than 2L in the y-axis direction of 6001. With such a setting, the range in which the electric field strength formed around the z-axis is uniform can be made relatively large, but ideally, if D ⁇ L, the electric field strength becomes uniform The range can be larger.
  • An electromagnetic deflector for generating a magnetic field M in the y-axis direction is provided outside the vacuum wall 6003.
  • the electromagnetic deflector includes an electromagnetic coil 6004 and an electromagnetic coil 6005, and these coils generate magnetic fields in the X-axis direction and the y-axis direction, respectively.
  • the coil 4 that generates a magnetic field in the X-axis direction is provided to improve the orthogonality between the electric field E and the magnetic field M. That is, by canceling the + x-axis direction generated by the coil 6005 with the magnetic field component in the X-axis direction generated by the coil 6004, the orthogonality between the electric field and the magnetic field is improved. be able to.
  • these magnetic field generating coils 600 and 605 are provided outside the vacuum vessel, they are each divided into two parts, and are mounted on both sides of the vacuum wall 6003. In step 7, it may be integrated by tightening with screws or the like.
  • the outermost layer 600 of the EXB separator is configured as a yoke made of permalloy or ferrite.
  • the outermost layer 600 like the coils 600 and 605, is divided into two parts and attached to the outer periphery of the coil 605 from both sides. They may be integrated.
  • FIG. 52 shows a cross section orthogonal to the optical axis (z-axis) of the EXB separator 640 of Embodiment 20 of the present invention.
  • the EXB separator 600 of FIG. 52 is different from the EXB separator of Example 20 shown in FIG. 51 in that the electrostatic deflection electrode 6001 is provided with six poles. .
  • FIG. 53A shows an electron beam apparatus 600 of Example 21 of the present invention in which the EXB separators of Examples 20 and 21 can be employed to separate a primary electron beam and a secondary electron beam.
  • FIG. 2 is a schematic diagram of 0 (defect inspection device).
  • the electron beam emitted from the electron gun 602 1 is focused by the condenser lens 602 2 to form a crossover at the point 624.
  • a first multi-opening plate 623 having a plurality of openings is arranged, whereby a plurality of primary electron beams are formed.
  • Each of the formed primary electron beams is reduced by a reduction lens 600 and projected onto a laser beam.
  • the objective lens 6027 focuses on a wafer 6028 as a sample.
  • a plurality of primary electron beams from the first multi-aperture plate 6003 are simultaneously supplied to the wafer by a deflector 6039 arranged between a reduction lens 60025 and an objective lens 60027. It is deflected so that it scans on the 608 plane.
  • the first multi-aperture plate 6002 is used to prevent the field curvature aberration of the reduction lens 6002 and the objective lens 6007 from occurring.
  • a plurality of small openings are arranged on the top, and the points projected on the X axis have a structure with equal intervals.
  • a plurality of focused primary electron beams irradiate a plurality of points on the wafer 628, and the secondary electron beams emitted from the illuminated points form an electric field of the objective lens 607.
  • the light is focused by the laser beam, is narrowed, is deflected by the EXB separator 600, and is input to the secondary optical system.
  • the image by the secondary electron beam is focused on a point 3603 closer to the objective lens 6027 than the point 6035. This means that the primary electron beams each have an energy of about 500 eV on the wafer 608 plane, while the secondary electron beam has only a few eV of energy. Because they didn't.
  • the secondary optical system has magnifying lenses 620 and 630, and the secondary electron beam that has passed through these magnifying lenses passes through a plurality of apertures of the second multi-aperture plate 6003. Image. Then, the light passes through these openings, and is detected by the plurality of detectors 632. It should be noted that the plurality of openings of the second multi-aperture plate 6001 and the plurality of openings of the first multi-aperture plate 6003 arranged in front of the detector 6032 are shown in FIG. As shown in 3B, One to one is supported.
  • Each of the detectors 6332 converts the received secondary electron beam into an electric signal representing its intensity.
  • the electric signal from each of the detectors 6032 is amplified by the amplifier 633 and then converted into image data in the image processor 634.
  • a scanning signal for deflecting the primary electron beam from the deflector 6039 is also supplied to the image processing device 60034. Image data representing an image of the surface of 0 28 is obtained.
  • the pattern to be evaluated on the wafer 628 is registered with the primary optical system by registration.
  • the line width of the pattern on the wafer 628 can be measured by moving it to the vicinity of the optical axis and taking out a line width evaluation signal by running the line, and appropriately correcting the signal.
  • the primary electron beam that has passed through the opening of the first multi-aperture plate 602 is focused on the surface of the wafer 608, and is used to detect the secondary electron beam emitted from the wafer 628.
  • the size in the direction perpendicular to the optical axis is longer than the distance between the electrodes. Since the formed parallel plate type electrode is used, the area where a parallel electric field is generated with uniform intensity around the optical axis is widened.
  • the saddle-type coil was used for the electromagnetic deflector and the angle of view from the optical axis to the coil was set to 2 ⁇ 3 on one side.
  • the zero component is not generated, and thereby the area where a parallel magnetic field of uniform intensity is generated around the optical axis is widened.
  • a deflection current can be superimposed on the coil, thereby providing a scanning function.
  • Embodiments 19 and 20 are configured as a combination of an electrostatic deflector and an electromagnetic deflector, aberrations of the electrostatic deflector and the lens system are calculated, and Separately, aberrations of the electromagnetic deflector and the lens system are calculated, and the aberrations of the optical system can be obtained by summing up these aberrations.
  • vacuum is a vacuum referred to in the art.
  • the tip of the lens barrel 7001 for irradiating the sample with a charged beam that is, the charged beam irradiation section 7002 forms a vacuum chamber C housing 7.
  • Attached to 0 1 4 A sample S placed on a movable table in the X direction (the horizontal direction in FIG. 55) of the XY stage 700 is arranged immediately below the lens barrel 7001. The sample S can be accurately irradiated with a charged beam to an arbitrary position on the sample surface by the high-precision XY stage 7003.
  • the pedestal 700 of the XY stage 703 is fixed to the bottom wall of the housing 704, and moves in the Y direction (perpendicular to the paper in Fig. 55). It rests on the pedestal 7006.
  • a protruding portion is formed to protrude into a concave groove formed on the side facing the surface.
  • the concave groove extends in the Y direction over substantially the entire length of the Y direction guide.
  • static pressure bearings 70 1 la, 70 09 a, 70 11 b, and 70 9 b of a known structure respectively.
  • the Y table 5 is supported in a non-contact manner with the Y-direction guides 700 a and 700 b, and smoothly reciprocates in the Y direction.
  • a linear motor 702 having a known structure is disposed between the base 706 and the Y table 705, and driving in the Y direction is performed by the linear motor. I have.
  • High-pressure gas is supplied to the Y-table by a flexible pipe for supplying high-pressure gas 702, and static pressure bearings 709 to 700 are passed through gas passages (not shown) formed in the Y-table. 1 1a and 7 0 9 b To 11b are supplied with high pressure gas.
  • the high-pressure gas supplied to the hydrostatic bearing is jetted out of a few mica holes formed between the Y-direction guide and the opposing guide surface into a gap of several tens of microns, causing the Y table to move in the X direction with respect to the guide surface. And position in the Z direction (vertical direction in Fig. 55).
  • an X table 4 is mounted so as to be movable in the X direction (the horizontal direction in FIG. 55).
  • a pair of X direction guides 7 0 0 8a, 7 0 8 b (7 0 0 8a) with the same structure as the Y direction guides 7 0 7 a and 7 0 7 b for the Y table (Shown only) is provided with the X table 704 interposed therebetween.
  • a groove is also formed on the side of the X-direction guide facing the X-table, and a protrusion protruding into the groove is formed on the side of the X-table (side facing the X-direction guide). .
  • the groove extends over substantially the entire length of the X-direction guide.
  • the static pressure bearings 7011a, 7009a, 7001a, 70011 are provided on the upper, lower, and side surfaces of the projection of the X-direction table 7004 projecting into the concave groove.
  • the same hydrostatic bearings (not shown) as in b, 709b and 710b are provided in a similar arrangement.
  • a linear motor 703 having a known structure is arranged so that the X table can be driven in the X direction by the linear motor. ing.
  • the high pressure gas is supplied to the X table 704 by a flexible pipe 7201, and the high pressure gas is supplied to the static pressure bearing.
  • This high-pressure gas is ejected from the static pressure bearing to the guide surface of the X-direction guide, so that the X table 704 is supported with high precision and non-contact with the Y-direction guide.
  • the vacuum chamber C is evacuated by vacuum pipes 710, 720a and 720b connected to a vacuum pump or the like having a known structure.
  • a differential exhaust mechanism 7025 is provided around the tip of the lens barrel 7001, that is, around the charged beam irradiation section 7002, and the charged beam irradiation space is provided even when the pressure in the vacuum chamber C is high.
  • the pressure of 730 is set to be sufficiently low. That is, the annular member 700 of the differential pumping mechanism 700 mounted around the charged beam irradiation section 700 is formed on the lower surface thereof. It is positioned with respect to the housing 7014 so that a minute gap (several microns to several hundred microns) is formed between the sample (surface on the S side) and the sample. Is formed with an annular groove 720.
  • the annular groove 720 is connected to a vacuum pump or the like (not shown) by an exhaust pipe 720. Therefore, the minute gap 740 is evacuated through the annular groove 702 and the exhaust port 708, and the gas is discharged from the vacuum chamber C into the space 730 surrounded by the annular member 720. Even if molecules try to invade, they are exhausted. As a result, the pressure in the charged beam irradiation space 730 can be kept low, and the charged beam can be irradiated without any problem.
  • the annular groove may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the charged beam irradiation space 730.
  • Dry nitrogen is generally used as the high-pressure gas supplied to the hydrostatic bearing.
  • a higher purity inert gas is because, when impurities such as water and oil are contained in the gas, these impurity molecules adhere to the inner surface of the housing that defines the vacuum chamber and the surface of the stage components, and deteriorate the degree of vacuum. This is because they adhere to the surface and deteriorate the degree of vacuum in the charged beam irradiation space.
  • the sample S is not usually placed directly on the X-table, but instead of a sample stage equipped with functions such as detachably holding the sample and making small position changes to the XY stage 703.
  • a sample stage equipped with functions such as detachably holding the sample and making small position changes to the XY stage 703.
  • the presence / absence of the sample stage and its structure are not relevant to the gist of the present invention, and are omitted to simplify the description.
  • the stage mechanism of the static pressure bearing used in the atmosphere can be used almost as it is, so a high-precision XY stage equivalent to the high-precision stage for the atmosphere used in exposure equipment, etc. It can be realized for an XY stage for a charged beam device at almost the same cost and size.
  • the structure and arrangement of the static pressure guide and the actuator (linear motor) described above are merely examples, and any static pressure guide that can be used in the atmosphere can be applied.
  • FIG. 56 shows a numerical example of the size of the annular groove formed in the annular member 720 of the differential exhaust unit 705.
  • the annular member 720 of FIG. 56 has a double-structured annular groove 720 a and 70 27 b that are radially separated, and exhausts TMP and DP, respectively. Put out.
  • the flow rate of the high-pressure gas supplied to the hydrostatic bearing is usually about 20 L Zmin (atmospheric pressure conversion).
  • the vacuum chamber C is evacuated with a dry pump having a pumping speed of 2000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the vacuum chamber becomes approximately 16 Pa (approximately 1.2 Torr).
  • the dimensions of such annular member 7 0 2 6 and the annular groove of the differential exhaust mechanism if as shown in Figure 5 6, the charged beam irradiation space 7 0 3 0 1 the pressure in the 0 - 4 P a (10 ⁇ 6 ⁇ rr rr).
  • FIG. 57 shows a charged beam apparatus 700 of Embodiment 23 of the present invention.
  • a dry vacuum pump 705 3 is connected to the vacuum chamber C defined by the housing 704 via vacuum pipes 770 4 and 770 5.
  • the annular groove 70 0 7 of the differential evacuation mechanism 7 0 2 5 is connected to an exhaust pump 7 0 7 8 through a vacuum pipe 7 0 7 8 5 1 is connected.
  • the inside of the lens barrel 7001 is connected to a turbo molecular pump 7052 via a vacuum pipe 77071 connected to an exhaust port 718.
  • These turbo molecular pumps 705 1, 705 2 are connected to a dry vacuum pump 705 3 by vacuum pipes 707, 703.
  • one dry vacuum pump is used for both the roughing pump of the turbo-molecular pump and the vacuum pump of the vacuum chamber, but it is supplied to the static pressure bearing of the XY stage instead.
  • the volume and inner surface area of the vacuum chamber, and the inner diameter and length of the vacuum piping may be exhausted by a dry vacuum pump of another system.
  • a high-purity inert gas (N 2 gas, Ar gas, or the like) is supplied to the static pressure bearing of the XY stage 703 through flexible pipes 720 and 720.
  • These gas molecules ejected from the static pressure bearing diffuse into the vacuum chamber, and are exhausted by the dry vacuum pump 7053 through the exhaust ports 710, 720a and 720b.
  • these gas molecules that have entered the differential pumping mechanism or the charged beam irradiation space are sucked from the annular groove 702 or the tip of the lens barrel 701, and the exhaust ports 702 and 708.
  • turbo molecular pumps 7 0 5 1 and 7 0 5 2 After being discharged from the daughter pump, it is evacuated by a dry vacuum pump 705 3.
  • the high-purity inert gas supplied to the hydrostatic bearing is collected by the dry vacuum pump and discharged.
  • the exhaust port of the dry vacuum pump 705 3 is connected to the compressor 705 4 via a pipe 770 6, and the exhaust port of the compressor 705 4 is They are connected to flexible pipes 7021 and 7022 via 780, 770, and Regile Night 7061, 762.
  • the high-purity inert gas discharged from the dry vacuum pump 705 3 is pressurized again by the compressor 705 4 and adjusted to an appropriate pressure with the regiré overnight 7 0 6 1 and 7 0 6 2 After that, it is supplied to the static pressure bearing of the XY table again.
  • the gas supplied to the hydrostatic bearing must be as pure as possible and contain as little moisture and oil as possible. It is required to have a structure that does not mix oil or oil.
  • a cold trap filter 706 is provided in the middle of the discharge pipe 707 of the compressor to trap impurities such as water and oil mixed in the circulating gas and to generate static pressure. It is also effective not to be supplied to the bearing.
  • the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved.
  • the inert gas since the inert gas does not flow into the room where the device is installed, the inert gas can be reused. The risk of accidents such as suffocation due to suffocation can be eliminated.
  • the high-purity inert gas supply source 706 3 is connected to the circulating piping system, and when starting gas circulation, the vacuum chamber C, the vacuum piping 770 0-7
  • by providing the function of compressing the dry vacuum pump 705 3 to the atmospheric pressure or higher it is also possible to use the dry vacuum pump 705 3 and the compressor 704 with a single pump.
  • a pump such as an ion pump or a gas pump can be used instead of the terpomolecular pump.
  • a dry vacuum pump It is also possible to use another type of dry pump.
  • FIG. 58 shows a charged beam apparatus 7100 of Embodiment 23 of the present invention.
  • the charged beam device 7100 includes an optical system 7160 and a detector 7180 that can be used for the charged beam device 70000 in FIG.
  • the optical system 716 is composed of a primary optics 711 that irradiates the sample S mounted on the stage 703 with a charged beam, and a secondary optics to which secondary electrons emitted from the sample are injected. And an optical system 7 1 7 1.
  • Primary optical system 7 16 1 consists of an electron gun 7 16 2 that emits a charged beam and a two-stage electrostatic lens that focuses the charged beam emitted from the electron gun 7 16 2
  • a lens system 7 1 6 7 and 7 1 6 8 consisting of two stages of electrostatic lenses, which are arranged in order with the electron gun 7 1 6 1 at the top as shown in FIG.
  • the optical axis of the charged beam is arranged at an angle to the vertical line on the surface of the sample S (sample surface).
  • the EXB deflector 716 includes an electrode 7661 and a magnet 7662.
  • the secondary optical system 7 1 7 1 is an optical system into which secondary electrons emitted from the sample S are injected, and is a two-stage static optical system arranged above the EXB deflector 7 16 6 of the primary optical system. It is equipped with a lens system composed of electric lenses 7172 and 7173.
  • the detector 718 detects the secondary electrons sent via the secondary optical system 711. Since the structure and function of each component of the optical system 710 and the detector 718 are the same as those of the related art, detailed description thereof will be omitted.
  • the charged beam emitted from the electron gun 7 1 6 2 is shaped by the square aperture of the electron gun, reduced by the two-stage lens system 7 1 6 3 and 7 1 6 4, and the optical axis by the polarizer 7 1 6 5 Is adjusted so that an image is formed into a square with a side of 1.25 mm on the deflection center plane of the EXB deflector 7166.
  • the EXB deflector 711 6 6 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal line of the sample, and the relationship between the electric field, magnetic field, and electron energy satisfies certain conditions.
  • electrons are made to go straight, and at other times, they are deflected in a predetermined direction by the interrelation of the energies of the electric field, the magnetic field, and the electric field. It is set so that the charged beam from the electron gun is bent so as to be perpendicularly incident on the sample S, and secondary electrons emitted from the sample are made to travel straight in the direction of the detector 718.
  • the shaped beam deflected by the XB polarizer is reduced to 1/5 by the lens systems 7167 and 7168 and projected onto the sample S.
  • the secondary electrons with the information of the pattern image emitted from the sample S are enlarged by the lens systems 716, 716, 711, 712, 713 and the detector 718, Form secondary electron image.
  • the lens systems 7167 and 7168 form a symmetric tablet lens
  • the lens systems 7172 and 7173 also form a symmetric tablet lens. Therefore, it is a distortion-free lens.
  • the charged beam apparatus 700 of FIGS. 55 to 58 can be used for the method of manufacturing a semiconductor device shown in FIGS. In other words, if the charged beam device 700 is used in the wafer inspection process of FIG. 12 or the exposure process of FIG. 13, a fine pattern can be inspected or exposed with high accuracy and stability, and the product yield can be improved. And prevent the shipment of defective products.
  • the charged beam device 700 of FIGS. 55 to 58 has the following effects.
  • Fine semiconductor circuits can be formed by manufacturing semiconductors using equipment that has high-accuracy stage positioning performance and a stable degree of vacuum in the charged beam irradiation area.
  • FIG. 59 is a schematic layout diagram of an electron beam apparatus 800 of Embodiment 25 of the present invention.
  • an electron beam emitted from an electron gun 8001 is a condenser lens 800. Converged by 02 to form a crossover at point 8004.
  • a multi-aperture plate 8003 is arranged, whereby a plurality of primary electron beams are formed.
  • Each of the primary electron beams formed by the first multi-aperture plate is reduced by a reducing lens 8005 and focused at a point 80015, and further, a sample 800 by an objective lens 8007. Focused on 08.
  • a plurality of primary electron beams emitted from the first multi-aperture plate 8003 are supplied to the sample 8008 by a deflector arranged between the reduction lens 8005 and the objective lens 8007. It is deflected to scan different positions on the surface simultaneously.
  • the multi-aperture plate 8003 has a plurality of apertures 8003. , Are arranged on the same circumference on the multi-aperture plate 3 so that their centers are equally spaced when projected on the X axis.
  • secondary electron beams are emitted from a plurality of points on the sample 800 irradiated by the plurality of primary electron beams. Then, the light is focused by the electric field of the objective lens 807, is narrowed and narrowed by the EXB separator 806, and is input to the secondary optical system.
  • the secondary electron image focuses on a point 80016 closer to the objective lens 8007 than the point 8005. This is because each primary electron beam has energy of 500 eV on the sample surface, while the secondary electron beam has energy of only several eV.
  • the secondary optical system has magnifying lenses 809 and 810, and the secondary electron beam passing through these magnifying lenses 809 and 810 is applied to the second multi-aperture plate.
  • An image is formed on a plurality of detectors 8 0 1 2 through a plurality of openings of 8 0 1 1. It should be noted that a plurality of openings of the second multi-aperture plate 8101 arranged in front of the detector 8002 and a plurality of openings 8003 'of the first multi-aperture plate 8003'. Has a one-to-one positional relationship.
  • Each detector 8002 converts the detected secondary electron beam into an electric signal indicating its intensity.
  • the electric signals output from each of these detectors are amplified by the amplifiers 800 and 13 respectively, and then received by the image processing unit 800 and converted into image data. Since a scanning signal for deflecting the primary electron beam is further supplied to the image processing unit 800, the image processing unit 800 displays an image representing the surface of the sample 800. By comparing this image with a standard pattern, defects in sample 808 are detected. Also, the pattern to be measured of the sample 808 is moved to a position near the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning, and the signal is calibrated appropriately. Thus, the line width of the pattern ′ on the sample 808 can be measured.
  • the primary electron beam that has passed through the opening of the first multi-aperture plate 8003 is focused on the surface of the sample 8008, and the secondary electron beam emitted from the sample is detected by the detector 8001.
  • special care must be taken to minimize the effects of the three aberrations of the primary optical system, distortion, field curvature and field astigmatism.
  • FIG. 61 is a simulation model relating to the objective lens 807 of FIG.
  • Reference numeral 8002 1 denotes an optical axis
  • reference numeral 8002 denotes an upper electrode of the objective lens 807, 0 V (port)
  • reference numeral 8003 denotes a center electrode of the objective lens to which a high voltage is applied
  • reference numeral 24 denotes a center electrode.
  • the lower electrode of the objective lens used as the ground voltage, and the sample surface 25 was ⁇ 400 V.
  • Reference numerals 800, 807, and 280 denote insulator spacers that hold the electrodes.
  • FIG. 62 is a graph showing the results of the above simulation.
  • the changed crossover position (mm) is shown on the horizontal axis, and the corresponding aberration value is shown on the vertical axis.
  • the r position of the multibeam was 50 urn, and the half-angle of the aperture was 5 mrad.
  • the curve 8031 is coma aberration
  • 8032 is chromatic aberration of magnification
  • 8033 is astigmatism
  • 804 is axial chromatic aberration
  • 805 is field curvature
  • 8036 is distortion
  • 80037 is blurred.
  • the energy width of the electron gun was 5 eV.
  • the crossover position is set to 140 mm, the chromatic aberration of magnification 80032 is reduced to a value that is almost no problem. That is, according to this simulation, it can be seen that the crossover position formed by the front lens should be formed closer to the electron gun than the center electrode position of the objective lens (144 mm).
  • the electron beam apparatus 800 of Example 25 of FIG. 59 can be used to evaluate the wafer in the semiconductor device manufacturing process of FIG. 12 and FIG. In the wafer inspection process shown in Fig. 12, when the electron beam equipment shown in Figs. 59 to 62 is used, even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection is possible. Yield and prevent the shipment of defective products.
  • the electron beam device 800 of the embodiment 25 in FIG. 59 has the following effects.
  • FIG. 64 is a horizontal sectional view showing a detailed structure of an electron beam deflector 90 usable for the electron beam apparatus of the present invention.
  • FIG. 65 is a side view taken along line AA of FIG.
  • the electron beam deflector 90 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the optical axis of the projection optical unit, that is, an EXB structure.
  • the electric field E is generated by the electrodes 90 a and 90 Ob having a concave curved surface.
  • the electric fields generated by the electrodes 90a and 90b are controlled by the control units 93a and 93b, respectively.
  • the electromagnetic coils 91a and 91b are arranged so as to be orthogonal to the electric field generating electrodes 90a and 90b to generate a magnetic field.
  • the electrodes 90a and 9Ob for generating an electric field are point-symmetric (concentric).
  • a magnetic path is formed with a pole piece having a parallel plate shape.
  • the behavior of the electron beam in the longitudinal section along the line A—A is shown in Figure 65.
  • the irradiated electron beams 91a and 91b are deflected by the electric field generated by the electrodes 90a and 90b and the magnetic field generated by the electromagnetic coils 91a and 91b. After being directed, it is incident perpendicular to the sample surface.
  • the incident positions and angles of the electron beams 91a and 91b on the electron beam deflecting unit 90 are uniquely determined when the energy of the electrons is determined. Furthermore, secondary electrons 92a and
  • V is the electron velocity (mZs)
  • B is the magnetic field (T)
  • e is the charge (C)
  • E the electric field (VZm).
  • FIG. 66 is a plan view for explaining a primary electron beam irradiation method according to the present invention.
  • the primary electron beam 100 is composed of four electron beams 101, 102, 103,
  • Each electron beam scans 50 xm wide.
  • the primary electron beam 101 is initially at the left end, is scanned to the right end on the substrate W (sample) having the pattern 107, and immediately reaches the left end after reaching the right end. Return to the end, then scan again to the right.
  • the moving direction of the stage on which the substrate W is placed is almost perpendicular to the scanning direction of the primary electron beam.

Description

明 細 書
荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 技術分野
本発明は、 複数の電子ビームを用いて検査対象の表面に形成されたパターンの 欠陥等を検査する検査装置に関し、 詳しくは、 半導体製造工程におけるウェハの 欠陥を検出する場合のように、 電子ビームを検査対象に照射してその表面の性状 に応じて変化する二次電子を捕捉して画像データを形成し、 その画像データに基 づいて検査対象の表面に形成されたパターン等を高いスループットで検査する検 査装置、 並びにそのような検査装置を用いて歩留まり良くデバイスを製造するデ バイス製造方法に関する。
本発明は、 荷電粒子線を試料に照射し、 試料の照射点から発生する 2次荷電粒 子を検出する荷電粒子線装置及びそれを用いてデバィスの欠陥検査を行うデバイ ス製造方法に関する。
本発明は、 X Yステージ上に載置された試料に荷電ビームを照射する装置及び その装置を利用した欠陥検査装置又は露光装置に関し、 更にはそれらの装置を使 用した半導体の製造方法に関する。
本発明は、 半導体ゥエーハ等の試料の画像を予め用意された基準画像と比較す ることにより該試料の欠陥を検査するための欠陥検査装置及び方法、 並びに、 こ のような欠陥検査装置を用いて半導体デバイスを製造する方法に関する。
本発明は、 電子 を試料に照射して、 その照射点からの 2次電子線を測定する ことにより当該試料の種々の検査を行うための電子線装置に関し、 特に、 半導体 ウェハに形成される、 最小線幅 0 . 1 x m以下の集積回路のパターンを高スルー プットで欠陥検査、 C D (クリティカルディメンジョン)測定、 合せ精度測定、 電 位測定等を行うための電子線装置に関する。
本発明は、 電子銃から放出された電子線を複数の開口を有する開口板に照射し て得られる複数の開口像を試料に入射させ、 該試料から放出される二次電子を一 次光学系から分離して二次光学系に入射させ、 二次光学系で拡大して検出器面に 写像投影する電子線装置、 および該電子線装置を用いて製造プロセス途中のゥェ ハーの評価を行うことを特徴とするデバイス製造方法に関する。 本発明は、 最小線幅が 0 . 1ミクロン以下のパターンの欠陥検査、 線幅測定、 合わせ精度測定、 電位測定、 デバイス動作時の高速動作解析等を高スループット で行う電子線装置及びこうした装置を用いてプロセス途中のゥエー八の評価を行 うことにより歩留まりを改善するデバイス製造方法に関する。
本発明は電子線装置及びその電子線装置を用いたデバイスの製造方法に関し、 詳しくは、 最小線幅が 0 . 1 z m 以下のデバイスパターンを有する試料の欠陥 検査、 線幅測定、 合わせ精度測定、 表面電位測定又は高精度時間分解能測定を高 いスループットでかつ高い信頼性で行える電子線装置並びにその電子線装置を用 いてプロセス途中のウェハーを評価することにより歩留まり率を向上させること ができるデバイスの製造方法に関する。
本発明の目的は、 電子光学的かつ短時間で電子光学系の焦点合わせを行うこと ができる電子線装置、 及び該装置を用いた半導体デバイス製造方法を提供するこ とである。
本発明は電子線装置及びその電子線装置を用いたデバイスの製造方法に関し、 詳しくは、 最小線幅が 0 . 1 以下のデバイスパターンを有する試料の欠陥 検査を高いスループットでかつ高い信頼性で行える電子線装置、 並びにその電子 線装置を用いてプロセス途中のウェハーを評価することにより歩留りを向上させ ることができるデバイスの製造方法に関する。
本発明は、 試料の表面に形成されたパターン等を評価する電子線装置及びその 電子線装置を用いてプロセス途中又は終了後の試料の評価を行うデバイス製造方 法に関し、 詳しくは、 最小線幅 0 . 1 i m以下のパターンを有する、 試料上のデ バイス等の欠陥検査、 C D測定、 電位コントラストの測定、 高時間分解電位測定 等の評価を高いスループットでかつ高い信頼性のもとで行える電子線装置及びそ のような電子線装置を用いてプロセス途中又は終了後の試料の評価を行うデバィ ス製造方法に関する。
本発明は、 E X B分離器及び該 E X B分離器を用いた半導体ゥェ八の検査装置 に関する。 より詳細には、 一様な磁界強度及び一様な電界強度が得られる光軸の 周りの領域が大きくできるようにした E X B分離器、 及び、 該 E X B分離器を用 いて、 半導体ウェハの欠陥検査、 パターン線幅測定、 パターン重ね合わせ精度測 定、 あるいは、 高時間分解能の電位測定等を、 高スループットでかつ高信頼性で 行うことができるようにした検査装置に関する。
本発明は、 XYステージ上に載置された試料に荷電ビームを照射する装置に関 し、 更に詳しくは、 XYステージに差動排気機構を設けずに鏡筒周りに差動排気 機構を設けた荷電ビーム装置及びその装置を利用した欠陥検査装置又は露光装置 に関し、 更にはそれらの装置を使用した半導体の製造方法に関する。
本発明ほ最小線幅 0. 1 zm以下のパターンが形成されたゥエーハ等の評価を 高スループット ·高信頼性で行う装置に関し、 また、 そのような装置を用いて歩 留り良くデバイスを製造する方法に関する。
半導体プロセスにおいて、 デザインルールは 100 nmの時代を迎えようとし ており、 また生産形態は DRAMに代表される少品種大量生産から SOC (S i l i c on o n c h i p) のように多品種少量生産へ移行しつつある。 それ に伴い、 製造工程数が増加し、 各工程毎の歩留まり向上は必須となり、 プロセス 起因の欠陥検査が重要になる。 本発明は半導体プロセスにおける各工程後のゥェ 一八の検査に用いられる装置に関し、 電子ビームを用いた検査方法及び装置また はそれを用いたデバイス製造方法に関する。
背景技術
本発明に関連する検査装置の従来技術については、 走查電子顕微鏡 (SEM) を用いた装置が既に市販されている。 この装置は細く絞った電子線を非常に間隔 の小さいラス夕幅でラスタ走査を行い、 走査に伴って検査対象から放出される二 次電子を二次電子検出器で検出して SEM画像を形成し、 その SEM画像を異な るダイの同じ場所同志を比較して欠陥を抽出するものである。
また、 複数の電子線すなわちマルチビームを用いてスループットを向上すると いう提案は多く成されているが、 開示されているものは、 如何にしてマルチビー ムをつくるかと言うことと、 如何にしてマルチビームを検出するかと言うことに ついてであり、 欠陥検査装置全体をシステムとして完成させた装置は未だ存在し なかった。
半導体デバイス製造用のマスクパターン、 あるいは半導体ウェハに形成された パターンの欠陥検出には、 走査型電子顕微鏡が使用されている。 走査型電子顕微 鏡は、 細く絞った 1本の電子線で試料表面を走査し、 その試料から放出する 2次 電子を検出するため、 試料全体の検査には長い時間を必要とした。 このような問 題点を解決するため、 複数の電子源からの電子を減速電界レンズを通して試料面 上に結像させ、 かつ走査し、 試料面から放出される 2次電子をウィーンフィル夕 で偏向させ、 複数の検出器に導くようにしたアイディアが提案されている (Japa nese Journal of Appl ied Phys ics, Vol. 28, No. 10, October, 1989, pp. 2058-2 064参照)。
半導体ウェハ等の試料表面等に電子ビーム等の荷電ビームを照射することによ つて、 その試料表面上を半導体回路等のパターンで露光し若しくは試料表面上に 形成されたパターンを検査する装置、 或いは荷電ビームを照射することによって 試料に対して超精密加工を施す装置においては、 試料を真空中で精度良く位置決 めするステージが使用される。
かかるステージに対して非常に高精度な位置決めが要求される場合には、 ステ 一ジを静圧軸受けによって非接触支持する構造が採用される。 この場合、 静圧軸 受けから供給される高圧ガスが直接真空チャンバに排気されないように、 高圧ガ スを排気する差動排気機構を静圧軸受けの範囲に形成することによって、 真空チ ャンバの真空度が維持される。
従来技術のステージの一例を図 1 8 A Bに示す。 図 1 8 A Bにおいて、 真空チ ヤンバ Cを構成するハウジング 2 0 0 8に、 荷電ビームを発生し試料に照射する 荷電ビーム装置の鏡筒 2 0 0 1の先端部、 即ち荷電ビーム照射部 2 0 0 2が取り 付けられる。 鏡筒内部は真空配管 2 0 1 0によって真空排気され、 チャンバ Cは 真空配管 2 0 1 1によって真空排気される。 荷電ビームは鏡筒 2 0 0 1の先端部
2 0 0 2から、 その下に置かれたウェハ等の試料 Sに対して照射される。
試料 Sは試料台 2 0 0 4に取り外し可能に保持される。 試料台 2 0 0 4は X Y ステージ (以下単にステージ) 2 0 0 3の Y方向可動部 2 0 0 5の上面に取り付 けられる。 Y方向可動部 2 0 0 5は X方向可動部 2 0 0 6上に摺動可能に配置さ れ、 X方向可動部 2 0 0 6はステージ台 2 0 0 7上に摺動可能に配置される。
Y方向可動部 2 0 0 5には、 X方向可動部 2 0 0 6のガイド面 6 aと向かい合 う面 (図 1 8 Aにおいて左右両面及び下面) に静圧軸受け 2 0 0 9 aが複数取り 付けられ、 静圧軸受け 2 0 0 9 aの作用によりガイド面 2 0 0 6 aとの間に微小 隙間を維持しながら Y方向 (図 1 8 Bで左右方向) に移動できる。 同様に X方向 可動部 2 0 0 6に静圧軸受け 2 0 0 9 bが複数取り付けられ、 静圧軸受け 2 0 0 9 bとガイド面 2 0 0 7 aとの間に微小隙間を維持しながら X方向 (図 1 8 Aで 左右方向) に移動できる。
更に静圧軸受けの周りには、 静圧軸受けに供給される高圧ガスが真空チャンバ Cの内部にリークしないように差動排気機構が設けられている。 この様子を図 1 9に示す。 静圧軸受け 2 0 0 9の周囲に二重に溝 2 0 1 8と 2 0 1 7が構成され ており、 これらの溝は図示されていない真空配管と真空ポンプにより常時真空排 気される。 このような構造により、 Y方向可動部 2 0 0 5は真空中を非接触状態 で支持され Y方向に自在に移動することができる。 これらの二重の溝 2 0 1 8と 2 0 1 7は可動部 2 0 0 5の静圧軸受け 2 0 0 9が設けられる面にその静圧軸受 けを囲むようにして形成される。 なお、 静圧軸受けの構造は公知のもので良いの で、 その詳細な説明は省略する。
Y方向可動部 2 0 0 5を搭載する X方向可動部 2 0 0 6は、 図 1 8 A Bから明 らかなように、 上方に開口している凹形の形状.を有し、 Y方向可動部 2 0 0 5と 同様の静圧軸受け及び溝を備え、 ステージ台 2 0 0 7に対して非接触で支持され 、 X方向に自在に移動することができる。 Y方向可動部 2 0 0 5と X方向可動部 2 0 0 6の移動を組み合わせによって、 試料 Sを鏡筒の先端部すなわち荷電ビー ム照射部 2 0 0 2に関して水平方向任意の位置に移動させ、 試料の所望の位置に 荷電ビームを照射することができる。
従来、 半導体ゥエー八等の試料に一次電子を照射することにより発生した二次 電子を検出することによって当該試料の欠陥を検査するための欠陥検査装置が、 半導体製造プロセス等で利用されている。 このような欠陥検査装置には、 画像認 識技術を応用して欠陥検査の自動化及び効率化を図った技術がある。 この技術で は、 二次電子を検出することによって取得した試料表面の被検査領域のパターン 画像データと、 予め記憶した試料表面の基準画像データとをコンピュータによつ てマッチング演算し、 その演算結果に基づいて、 試料の欠陥の有無を自動的に判 定する。 昨今では、 特に半導体製造分野においては、 パターンの高精細化が進み、 微細 な欠陥を検出する必要が高まってきている。 このような状況下では、 上記のよう な画像認識技術を応用した欠陥検查装置においても、 認識精度の更なる向上が求 められている。
従来、 試料台を連続移動させ、 この移動方向と直角方向に電子線を走査する方 法は公知である (特開平 1 0— 1 3 4 7 5 7 )。 また 1次電子線を試料面に対し て斜め方向から、 試料面上に 2次元ではあるが、 一軸方向への投影は、 等間隔で あるような配置で照射し、 走査する方法は、 公知である。 また複数の電子銃の各 電子銃からの電子を複数に分け、 各ビームを一方向に走査させ、 それと直角方向 に試料台を連続移動させて検査等を行うことが公知である。
半導体デバイス製造用のマスクパターン、 あるいは半導体ゥェ一八に形成され たパターンの欠陥検査に使用する電子線装置として、 単一電子銃より放出された 電子線を複数の開口を有する開口板に照射して得られる複数の開口像を試料に入 射させ、 該試料から放出される二次電子を二次光学系を用いて検出器面に写像投 影して試料上のパターンの欠陥を検査する電子線装置は公知である。
しかしながら、 上記従来のものは電子銃から放出される電子線の角度依存性を 考慮しておらず、 電子線の強度を照射角度に拘わらず一様であるものとして取り 扱っている。 すなわち、 電子銃から放出される電子線は光軸方向には高輝度の電 子線が放出されるが、 光軸から離れるに従って電子線の輝度 (強度) が次第に減 少する問題を考慮していない。
また、 試料から放出される二次電子の検出率は、 光軸付近から放出された二次 電子の検出率は高いが、 光軸から離れた位置から放出された二次電子の検出率が 低いといった問題点があつたが、 上記従来の電子線装置は、 かかる問題点をも考 慮していなかった。
超 L S I回路のような微細な回路パターンを有する回路における欠陥検査や線 幅測定を行うために、 複数の電子ビームを用いる電子線装置は公知である。 こう したマルチビームを利用する電子線装置は、 微細回路パターンの作成や検査に 1 本の電子ビームを使用すると、 多大な時間を必要とし、 満足なスループットを与 えなかった従来の欠点を解決するために提案された。 こうしたマルチビームの電子線装置に関連して、 例えば、 多数の電子エミッ夕 をマトリクス状に配列した電子線装置においては、 反射電子又は二次電子の検出 器の間隔が極めて狭いため、 隣接する照射領域から反射電子又は二次電子が飛び 込みやすく、 検出精度を高めることができないという欠点を解決するため、 試料 面と検知面との間に穴開きマスクを配置することが知られている。
また、 1本の電子線で試料上のパターンを走査してパターンの 0 . 1ミクロン 程度の欠陥を検查する場合、 走査に長時間を要するのでスループッ卜が低下する という欠点を解決するため、 単一の電子銃から放出された電子線で複数の開口を 持つマスクを照射することにより、 複数の電子線を形成するようにした電子線装 置も知られている。
最小線幅が 0 . 1 m 以下のデバイスパターンを有する試料の欠陥検査等を 行う場合、 光方式では光の回折により解像度から見て限界にきており、 そのため 、 電子線を利用した検査 ·評価装置が提案されている。 電子線を用いると解像度 は向上するがスループットが極端に小さくなるため生産性の観点から問題がある 。 生産性を向上させるベくマルチビームを用いた電子線装置、 即ち、 単一の電子 銃から放出した電子線を複数の開口に照射し、 それらの開口を通過した電子ビー ムで試料の表面 (以下試料面と呼ぶ) を走査し、 各像からでた二次電子を複数の 検出器に導いて試料を検査する電子線装置は既に公知である。
半導体ウェハ等の試料の表面に形成されたパターンの評価を、 電子線による走 查の結果を用いて高精度で行う場合、 試料の高さの変化を考慮することが必要で ある。 これは、 試料の高さにより、 該試料の表面上のパターンと該パターンに電 子線を集束させる対物レンズとの間の距離が変化して、 合焦条件はずれにより解 像度が低下してしまい、 正確な評価ができないためである。
これを解消するため、 試料面に対して斜めに光を入射させ、 その反射光を利用 して試料の高さを測定し、 その測定結果を、 電子線を試料に集束させるための電 子光学系に帰還させて、 電子光学系の構成要素に供給する電流や電圧を制御する ことにより、 電子光学系の焦点合わせを行う電子線装置はすでに提案されている しかしながら、 試料に対して斜めに光を入射させる方式においては、 試料面と 電子光学系の下面との間のスペースに、 入射光を反射させるための、 絶縁物を主 体とする光学部品を配置しなければならない。 このためには、 試料面と電子光学 系の下面との間の間隔を必要以上に大きく取る必要があり、 一方、 間隔を大きく すると、 電子光学系の収差等の問題が無視し得なくなる。 したがって、 電子光学 系の焦点合わせと電子光学系の収差等の問題の解消とを同時に行うことが必要で あるが、 このような手法が未だ提案されていない。
また、 電子光学系の焦点合わせは、 試料面と電子光学系の下面との間の距離ば かりでなく、 試料面上の帯電状態や、 電子線の空間電荷効果をも考慮して行う必 要があるので、 電子光学系の焦点合わせに関係するパラメータを電子光学的に測 定しないならば、 誤差が発生する可能性がある。
更に、 電子光学系に含まれる磁気レンズの励磁電流を調整して焦点合わせを行 う場合、 この励磁電流を所定値に設定してから電子光学系の焦点距離が安定的に 定まるまでの時間、 即ち整定時間を長く取ることが必要であるため、 高速で焦点 合わせを行うことが困難であるという問題もあった。 また、 静電レンズの励起電 圧を変えて電子光学系の焦点合わせを行う場合、 静電レンズに印加された高電圧 を変化させなければならないので、 同様に、 整定時間が長くかかるという問題が あった。 さらにまた、 電子線による評価は、 スループットが低いという問題点も あつこ。
本発明は、 上記した種々の問題点を解決するために提案されたものであり、 そ の目的は、 電子光学的かつ短時間で電子光学系の焦点合わせを行うことができる 電子線装置、 及び該装置を用いた半導体デバイス製造方法を提供することである 最小線幅が 0 . 1 m 以下のデバイスパターンを有する試料の欠陥検査等を 行う場合、 光方式では光の回折により解像度から見て限界にきており、 そのため 、 電子線を利用した検査 ·評価装置が提案されている。 電子線を用いると解像度 は向上するがスループッ卜が極端に小さくなるため生産性の観点から問題がある
。 生産性を向上させるベくマルチビームを用いた電子線装置、 即ち、 単一の電子 銃から放出した電子線を複数の開口に照射し、 それらの開口を通過した電子ビー ムで試料を走査し、 各像からでた二次電子を相互にクロストークなしに複数の検 出器に導いて試料を検査する電子線装置については出願されている。 , 絶縁材料を含む試料を観察、 評価する装置には種々の技術が報告されている。 このような技術の中で、 走査電子顕微鏡について言えば、 一次ビームのビーム電 流、 試料への吸収電流、 照射装置からの反射電子量、 二次電子放出量等を測定し てチャージァップ状態を評価するチヤ一ジァップ検知機能を有する装置が公知で める。
従来、 電場と磁場とを直交させた直交フィールドにおいて、 電場及び磁場それ ぞれに直交する方向に荷電粒子を直進させることによって、 エネルギ分析を行う E X B型エネルギ ·フィル夕が知られている。 このフィルタは、 電子線の電場に よる偏向作用を磁場による電子線の偏向作用によって打ち消すことによって、 電 子線中の特定のエネルギを持った荷電電子のみを直進させるようにしている。 このような E X B型エネルギ ·フィルタとして、 図 4に示した構成が提案され ている。 図 4において、 1及び 1 ' はアース電位に保持されている磁極片、 2及 び 2 ' は電極である。 電極 2には電庄 + Vが印加され、 電極 2 ' には電圧一 Vが 印加され、 これら電圧は絶対値が等しくかつ可変である。 荷電電子は、 電場及び 磁場の双方に直交する方向、 すなわち図表面に垂直方向に直進する。
半導体ウェハ等の試料表面等に電子ビーム等の荷電ビームを照射することによ つて、 その試料表面上を半導体回路等のパターンで露光し若しくは試料表面上に 形成されたパターンを検査する装置、 或いは荷電ビームを照射することによって 試料に対して超精密加工を施す装置においては、 試料を真空中で精度良く位置決 めするステージが使用されている。
かかるステージに対して非常に高精度な位置決めが要求される場合には、 ステ 一ジを静圧軸受けによって非接触支持する構造が採用されている。 この場合、 静 圧軸受けから供給される高圧ガスが直接真空チャンバに排気されないように、 高 圧ガスを排気する差動排気機構を静圧軸受けの範囲に形成することによって、 真 空チャンバの真空度を維持している。
かかる従来技術によるステージの一例が図 1 8 A Bに示される。 同図のステー ジにおいて、 真空チャンバ Cを構成するハウジング 2 0 0 8に、 荷電ビームを発 生し試料に照射する荷電ビーム装置の鏡筒 2 0 0 1の先端部、 即ち荷電ビーム照 射部 2 0 0 2が取り付けられる。 試料 Sは試料台 2 0 0 4に取り外し可能に保持 される。 図 1 8 A Bのステージのその他の構造は、 後述される。
静圧軸受け 2 0 0 9 bの周りには、 静圧軸受けに供給される高圧ガスが真空チ ヤンバ Cの内部にリークしないように差動排気機構が設けられる。 この様子を図 1 9に示す。 静圧軸受け 2 0 0 9 bの周囲に二重に溝 2 0 1 7と 2 0 1 8が構成 されており、 これらの溝は図示されていない真空配管と真空ポンプにより常時真 空排気される。 このような構造により、 Y方向可動部 2 0 0 5は真空中を非接触 状態で支持され Y方向に自在に移動することができる。 これらの二重の溝 2 0 1 7と 2 0 1 8は可動部 2 0 0 5の静圧軸受け 2 0 0 9 bが設けられる面にその静 圧軸受けを囲むようにして形成される。 これらの Y方向可動部 2 0 0 5と X方向 可動部 2 0 0 6の移動を組み合わせることによって、 試料 Sを鏡筒の先端部すな わち荷電ビーム照射部 2 0 0 2に関して水平方向任意の位置に移動させ、 試料の 所望の位置に荷電ビームを照射することができる。
しかしながら、 上記の静圧軸受けと差動排気機構を組み合わせたステージでは 、 差動排気機構を設けたため、 大気中で使用される静圧軸受け式ステージに比べ て構造が複雑で大型になり、 ステージとしての信頼性が低く、 高コストになると いう問題があった。
電子光学系での倍率色収差と回転色収差を補正する方法は、 対称磁気ダブレツ トレンズを用いる方法が知られている。 静電レンズ系では回転色収差は発生しな いので、 倍率色収差を対称ダブレットレンズを用いて補正することが行われる。 半導体デバイスの高集積化、 パターンの微細化に伴い、 高分解能、 高スループ ットの検査装置が要求されている。 1 0 0 n mデザィンルールのウェハ基板の欠 陥を調べるためには、 1 0 0 n m以下の分解能が必要であり、 デバイスの高集積 化による製造工程の増加により、 検査量が増大するため、 高スループットが要求 されている。 また、 デバイスの多層化が進むにつれて、 層間の配線をつなぐビア のコンタクト不良 (電気的欠陥) を検出する機能も、 検査装置に要求されている
。 現在は主に光方式の欠陥検査装置が使用されているが、 分解能及びコンタクト 不良検査の点では、 光方式の欠陥検査装置に代わって電子ビームを用いる欠陥検 査装置が、 今後検査装置の主流になると予想される。 但し電子ビーム方式欠陥検 査装置にも弱点があり、 それはスループッ卜の点で光方式に劣ることである。 このため高分解能、 高スループット、 且つ電気的欠陥検出が可能な検査装置の 開発が要求されている。 光方式での分解能は使用する光の波長の 1 / 2が限界と 言われており、 実用化されている可視光の例では 0. 2 m程度である。
一方電子ビームを使用する方式では、 通常走査型電子ビーム方式 (SEM方式 ) が実用化され、 分解能は 0. l m、 検査時間は 8時間 Z枚 (20 cmウェハ ) である。 電子ビーム方式は、 電気的欠陥 (配線の断線、 導通不良、 ビアの導通 不良等) も検査可能であることが大きな特徴である。 しかし検査時間は非常に遅 いため、 検査速度の速い欠陥検査装置の開発が期待されている。
一般に検査装置は高価でありまたスループットも他のプロセス装置に比べて低 いために、 現状では重要な工程の後、 例えばエッチング、 成膜、 又は CMP (化 学機械研磨) 平坦化処理後等に使用されている。
電子ビームを用いた走査 (SEM) 方式の検查装置について説明する。 SEM 方式の検査装置は電子ビームを細く絞って (このビーム径が分解能に相当する) これを走査してライン状に試料を照射する。 一方、 ステージを電子ビームの走査 方向に直角の方向に移動させることにより、 平面状に観察領域を電子ビームで照 射する。 電子ビームの走查幅は一般に数 100 である。 前記細く絞られた電 子ビーム (一次電子線と呼ぶ) 照射により発生した試料からの二次電子を検出器 (シンチレ一夕 +フォトマルチプライヤー (光電子増倍管) 又は半導体方式の検 出器 (P I Nダイオード型) 等) で検出する。
照射位置の座標と二次電子の量 (信号強度) を合成して画像化し、 記憶装置に 記憶し、 あるいは CRT (ブラウン管) 上に画像を出力する。 以上は SEM (走 査型電子顕微鏡) の原理であり、 この方式で得られた画像から工程途中の半導体 (通常は S i ) ウェハの欠陥を検出する。 検査速度 (スループットに相当する) は一次電子線の量 (電流値)、 ビ一ム径、 検出器の応答速度で決まる。 ビーム径
0. 1 m (分解能と同じと考えてよい) 電流値' 100 nA、 検出器の応答速度
100 MHzが現在の最高値で、 この場合で検査速度は 20 cm径のウェハー枚 あたり約 8時間と言われている。 この場合で検査速度は 20 cm径のェゥハ 1枚 当り約 8時間と言われている。 この検査速度が光に比べて極めて遅い (1/20 以下) ことが大きな問題 (欠点) となっている。
—方、 S E M方式の欠点である検査速度を向上する方法として、 複数の電子線 を用いた S E M (マルチビーム S E M) が知られる。 この方法は、 複数の電子線 の本数分だけ検査速度を向上できるが、 複数の電子線を斜め入射し、 試料からの 複数の二次電子線を斜め方向へ取出すため、 試料からの二次電子も斜め方向へ放 出されたもののみ検出器が拾うことになり、 また画像に影ができること、 更に複 数の電子線からのそれぞれの二次電子を分離することが困難であり、 二次電子信 号が互いに混入する問題が生じている。
発明の概要
S E Mを応用した欠陥検査装置では、 ビーム寸法が小さく、 当然画素寸法が小 さく、 ラスタ幅も小さいため、 欠陥検査に多くの時間を必要としていた。 また、 高スループットにするため、 ビーム電流を大きくすると絶縁物が表面にあるゥェ ハでは帯電して良好な S E M像が得られない問題があった。
また、 マルチビームを用いた装置では電子光学系のみでなく、 装置の全体構成 が不明であり、 電子光学系と他のサブシステムとの間の相互作用等については今 までほとんど明らかにされていなかった。 更に、 検査対象となるウェハの大径化 が進められ、 サブシステムもそれに対応可能にする要請もでてきた。
本発明は上記の問題点に鑑みなされたものであって、 発明が解決しょうとする 一つの課題は、 マルチビームを用いた電子光学系を使用すると共に、 その電子光 学系と、 検査装置を構成するその他の構成機器との調和を図ってスループットを 向上した検査装置を提供することである。
本発明が解決しょうとする他の課題は、 S E Mで問題のあった帯電の問題を解 決して検査対象を精度良く検査可能な検査装置を提供することである。
本発明が解決しょうとする更に別の課題は、 上記のような検査装置を用いてゥ ェハ等の検査対象の検査を行うことにより歩留まりの良いデバイス製造方法を提 供することである。
本発明は、 電子線でパターンが形成された検査対象を照射し、 前記検査対象の パターンを検査する装置を提供する。 この検査装置は、 電子源、 対物レンズ、 E
X B分離器、 及び少なくとも 1段の拡大レンズを含み、 複数の一次電子線を成形 して前記検査対象に照射し、 前記一次電子線の照射により放出された二次電子を 前記対物レンズで加速させて前記 E X B分離器で分離し、 前記少なくとも 1段の 拡大レンズで二次電子像を投影する。 検査装置は、 更に前記電子光学系により投 影された二次電子像を検出する複数の検出器と、 前記検査対象を保持して前記電 子光学系に関して相対的に移動させるステージ装置と、 前記ステージ装置を収容 しておりかつ真空雰囲気に制御可能になっているワーキングチャンバと、 前記ヮ —キングチャンバ内の前記ステージ装置上に検査対象を供給するローダーと、 前 記ワーキングチヤンバ内に配置された、 前記検査対象に電位を印加する電位印加 機構と、 前記電子光学系に対する前記検査対象の位置決めのために前記検査対象 の表面を観察してァライメントを制御するァライメント制御装置とを備える。 前 記真空チャンバは床からの振動を遮断する振動遮断装置を介して支持される。 上記検査装置において、 前記ローダーが、 それぞれが独立して雰囲気制御可能 になっている第 1の口一ディングチャンバ及び第 2の口一ディングチャンバと、 前記検査対象を第 1のローディングチヤンバ内とその外部との間で搬送する第 1 の搬送ユニットと、 前記第 2のローデイングチャンバに設けられていて前記検査 対象を前記第 1のローディングチャンバ内と前記ステージ装置上との間で搬送す る第 2の搬送ユニットとを備え、 前記検査装置が、 前記ローダーに検査対象を供 給するための仕切られたミニエンバイロメン卜空間を更に備えていてもよい。 また、 前記ステージ装置上の前記検査対象の座標を検出するレーザ干渉測距装 置を備え、 前記ァライメント制御装置により検査対象に存在するパターンを利用 して検査対象の座標を決めしてもよく、 この場合、 前記検査対象の位置合わせは 、 前記ミニエンバイロメント空間内で行われる粗位置合わせと、 前記ステージ装 置上で行われる X Y方向の位置合わせ及び回転方向の位置合わせとを含んでいて もよい。 本願の他の発明は、 検査装置を用いてプロセス途中又はその後のウェハ の欠陥を検出するデバイス製造方法である。
従来の装置は、 複数の電子線間のクロストークを防止できず、 試料面からの 2 次電子を効率良く検出することができなかった。 本発明は、 クロストークを防止 し、 放出される 2次電子を効率よく検出器に導くことができる荷電粒子線装置を 提供することを目的とする。 本発明の荷電粒子線装置 1 0 0 0は、 複数の 1次荷電粒子線を試料に照射する 少なくとも 1以上の 1次光学系と、 2次荷電粒子を少なくとも 1以上の検出器に 導く少なくとも 1以上の 2次光学系とを有し、 前記複数の 1次荷電粒子線は、 互 いに前記 2次光学系の距離分解能より離れた位置に照射されるようにしたもので ある。 また、 前記 1次光学系に、 前記 1次荷電粒子線の照射間隔より広い間隔で 、 前記 1次粒子線を走查する機能を設けたものである。
上記の静圧軸受けと差動排気機構を組み合わせた図 1 8 A又は Bステージでは 、 ステージが移動する際に、 静圧軸受け 2 0 0 9に対向するガイド面 2 0 0 6 a や 2 0 0 7 aは、 静圧軸受け部の高圧ガス雰囲気とチャンバ内の真空環境の間を 往復運動する。 この時ガイド面では、 高圧ガス雰囲気に曝されている間にガスが 吸着し、 真空環境に露出されると吸着していたガスが放出されるという状態が繰 り返される。 このためステージが移動する度に、 チャンバ C内の真空度が悪化す るという現象が起こり、 上述した荷電ビームによる露光や検査や加工等の処理が 安定に行えなかった、 試料が汚染されてしまうという問題があった。
本発明が解決しょうとする一つの課題は、 真空度の低下を防止して荷電ビーム による検査や加工等の処理を安定して行える荷電ビーム装置を提供することであ る。 本発明が解決しょうとする他の課題は、 静圧軸受けによる非接触支持機構と 差動排気による真空シール機構を有し、 荷電ビームの照射領域と静圧軸受けの支 持部との間に圧力差を発生させるようにした荷電ビーム装置を提供することであ る。
本発明が解決しょうとする別の課題は、 静圧軸受けに面する部品表面から放出 するガスを低減した荷電ビーム装置を提供することである。 本発明が解決しょう とする更に別の課題は、 上記の荷電ビーム装置を用いて試料表面を検查する欠陥 検査装置、 或いは試料の表面にパターンを描画する露光装置を提供することであ る。
本発明が解決しょうとする更に別の課題は、 上記のような荷電ビーム装置を用 いて半導体デバイスを製造する半導体製造方法を提供することである。
本発明は、 X Yステージに試料を載置し、 該試料を真空中で任意の位置に移動 して試料面に荷電ビームを照射する装置 2 0 0 0を提供する。 この装置において 、 該 X Yステージには、 静圧軸受けによる非接触支持機構と萆動排気による真空 シール機構とを設け、 該試料面上の荷電ビームが照射される箇所と、 該 X Yステ
—ジの静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、 荷 電ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにする。 この発明によれば、 試料を載置する X Yステージの支持機構に静圧軸受けによ る非接触支持機構を適用し、 かつ静圧軸受けに使用する高圧ガスが真空チャンバ 内にもれないように静圧軸受けの周囲に作動排気による真空シール機構を設ける ことによって、 ステージ装置が真空内で高精度な位置決め性能を発揮することが でき、 更に、 荷電ビーム照射位置 2 1 0 0との間にコンダクタンスを小さくする 仕切りを形成することによって、 ステージのスライド部が高圧ガス部から真空環 境へ移動する度にスライド部表面に吸着していたガスが放出されても、 荷電ビー ム照射位置に該放出ガスが届きにくくなつているため、 荷電ビーム照射位置の圧 力が上昇しにくい。 すなわち、 上記の構成をとることによって、 試料面上の荷電 ビーム照射位置の真空度を安定させ、 かつステージを高精度に駆動させることが できるため、 試料表面を汚染することなく、 試料に対する荷電ビームによる処理 を高精度に行うことができる。
本発明は、 上記荷電ビーム装置 2 2 0 0において、 前記仕切りが差動排気構造 を内蔵することを特徴とする。 この発明によれば、 静圧軸受け支持部と荷電ビー ム照射領域との間に仕切りを設け、 その仕切りの内部に真空排気経路を配置して 差動排気機能を持たせるので、 静圧軸受け支持部から放出されたガスが仕切りを 通過して荷電ビーム照射領域側に通過することがほとんどできない。 これによつ て荷電ビーム照射位置の真空度を更に安定させることができる。
本発明は、 荷電ビーム装置 2 3 0 0において、 前記仕切りがコールドトラップ 機能を有することを特徴とする。 一般に 1 0 ·7Ρ a以上の圧力領域では、 真空中 の残留ガス及び材料表面から放出されるガスの主要成分は水分子である。 したが つて、 水分子を効率的に排出することができれば高い真空度を安定して維持し易 レ^ そこで、 ー 1 0 0 °C〜― 2 0 0 °C程度に冷却したコールドトラップを上記仕 切り部に設ければ、 静圧軸受け側で発生した放出ガスをコールドトラップで凍結 捕集することができるので、 荷電ビーム照射領域側に放出ガスが通過することが 困難になり、 荷電ビーム照射領域の真空度を安定に保ち易くなる。 このコールド トラップは、 水分子だけに有効なのではなく、 清浄な真空の阻害要因である油類 等の有機系ガス分子の除去にも有効であることは言うまでもない。
本発明は、 荷電ビーム装置 2 4 0 0において、 前記仕切りが、 荷電ビーム照射 位置の近傍と、 静圧軸受け近傍の 2力所に設けられていることを特徴とする。 こ の発明によれば、 コンダクタンスを小さくする仕切りを、 荷電ビーム照射位置の 近傍と静圧軸受けの近傍の 2箇所に形成することになるので、 真空チャンバ内が 、 荷電ビーム照射室、 静圧軸受け室及びその中間室の 3室に小さいコンダクタン スを介して分割された形になる。 そして、 それぞれの室の圧力を、 低い順に荷電 ビーム照射室、 中間室、 静圧軸受け室となるように真空排気系を構成する。
このようにすることによって、 静圧軸受け室において放出ガスによる圧力上昇 が生じても、 もともと圧力を高めに設定してある室なので圧力変動率としては低 く抑えることができる。 したがって、 中間室への圧力変動は仕切りによって更に 低く抑えられ、 荷電ビーム照射室への圧力変動は、 もう一段の仕切りによって更 に低減され、 圧力変動を実質的に問題ないレベルまで低減することが可能となる 本発明は、 荷電ビーム装置において、 前記 X Yステージの静圧軸受けに供給さ れるガスが、 ドライ窒素もしくは高純度の不活性ガスであることを特徴とする。 また前記 X Yステージの、 少なくとも静圧軸受けに面する部品表面に放出ガスを 低減するための表面処理を施したことを特徴とする。 上記のように、 静圧軸受け 部において高圧ガス雰囲気に曝されたステージのスライド部には、 その表面に高 圧ガスに含まれるガス分子が吸着し、 スライド部が真空環境に露出されると、 吸 着したガス分子が表面から離脱し放出ガスとなって真空度を悪化させる。 真空度 の悪化を抑えるためには、 吸着するガス分子の量を減らすことと、 吸着したガス 分子を速やかに排気することが必要である。
このためには、 静圧軸受けに供給する高圧ガスを十分に水分を除去したドライ 窒素もしくは高純度不活性ガス (例えば高純度の窒素ガス) にして、 表面に吸着 し易く脱離しにくいガス成分 (有機物や水分等). を高圧ガスから除去することが 有効である。 窒素のような不活性ガスは、 水分や有機物に比べて表面への吸着率 が格段に低く、 かつ表面からの脱離速度が格段に大きい。 したがって、 高圧ガス に、 水分や有機物成分を極力除去した高純度不活性ガスを用いれば、 スライド部 が静圧軸受け部から真空環境に移動しても、 放出ガス量が少なく、 かつ放出ガス 量の減衰も速いため真空度の悪化を小さくすることができる。 それ故、 ステージ が移動した時の圧力上昇を低く抑えることが可能になる。
また、 ステージの構成部品、 特にその中でも高圧ガス雰囲気と真空環境を往復 する部品表面に対して、 ガス分子との吸着エネルギーが低くなるような表面処理 を施すことも有効である。 表面処理としては、 母材が金属の場合は、 T i C (チ タンカーバイド)、 T i N (チタンナイトライド)、 ニッケルメツキ、 不動態化処 理、 電解研磨、 複合電解研磨、 ガラスビーズショット等が考えられ、 母材が S i Cセラミックの場合は、 C V Dによる緻密な S i C層のコーティング等が考えら れる。 それ故、 ステージが移動した時の圧力上昇を更に低く抑えることが可能で ある。
本発明は、 前述の装置を用いて、 半導体ウェハ表面の欠陥を検査するウェハ欠 陥検査装置にある。 この場合、 ステージの位置決め性能が高精度で、 かつ荷電ビ ームの照射領域の真空度が安定した検査装置を実現することができるので、 検査 性能が高く、 試料を汚染する恐れのない検査装置を提供することができる。
本発明は、 前述の装置を用いて、 半導体ウェハ表面又はレチクルに半導体デバ イスの回路パターンを描画する露光装置にある。 この場合、 ステージの位置決め 性能が高精度で、 かつ荷電ビーム照射領域の真空度が安定した露光装置を実現す ることができるので、 露光精度が高く、 試料を汚染する恐れのない露光装置を提 供することができる。
本発明は、 前述の装置を用いて半導体を製造する半導体製造方法にある。 この 場合、 ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が 安定した装置によって半導体を製造することにより、 微細な半導体回路を形成で さる。
従来技術では、 一次電子線を試料表面の被検査領域に照射して取得した二次電 子線の画像と、 予め用意された基準画像との間に位置ずれが発生し、 欠陥検出の 精度を低下させるという問題があった。 この位置ずれは、 一次電子線の照射領域 がゥエーハに対してずれ、 検査パターンの一部が二次電子線の検出画像内から欠 落するとき、 特に大きな問題となり、 単にマッチング領域を検出画像内で最適化 する技術だけでは対処できない。 これは、 特に、 高精細パターンの検査では致命 的欠点となり得る。
本発明は、 上記事実に鑑みなされたもので、 被検査画像と基準画像との位置ず れによる欠陥検査精度の低下を防止した欠陥検査装置を提供することを目的とす る。 更に、 本発明は、 半導体デバイスの製造プロセスにおいて、 上記のような欠 陥検査装置を用いて試料の欠陥検査を行うことにより、 デバイス製品の歩留まり の向上及び欠陥製品の出荷防止を図った半導体製造方法を提供することを別の目 的とする。
上記課題を解決するため、 本発明の欠陥検査装置 3 0 0 0は、 試料の欠陥を検 查する欠陥検査装置であって、 試料上で部分的に重なり合いながら互いから変位 された複数の被検查領域の画像を各々取得する画像取得手段と、 基準画像を記憶 する記憶手段と、 画像取得手段により取得された複数の被検査領域の画像と、 記 憶手段に記憶された基準画像とを比較することによって試料の欠陥を判断する欠 陥判断手段と、 を含んで構成される。 ここで、 検査対象となる試料は、 欠陥を検 出することができる任意のものが選択可能であるが、 本発明は、 半導体ゥェ一八 を対象とするとき、 優れた効果を奏することができる。
本発明では、 画像取得手段が、 試料上で部分的に重なり合いながら互いから変 位された複数の被検査領域の画像を各々取得し、 欠陥判断手段が、 取得された複 数の被検査領域の画像と、 予め記憶された基準画像とを比較することによって試 料の欠陥を判断する。 このように本発明は、 位置の異なる被検査領域の画像を複 数取得できるようにしたので、 基準画像と位置ずれの少ない被検査画像を後工程 で選択的に利用することができ、 位置ずれによる欠陥検出精度の低下を抑えるこ とができる。 その上、 試料及び画像取得手段が、 通常では検査パターンの一部が 被検査画像領域から欠落するような位置関係にあったとしても、 互いに位置をず らされた複数の被検査領域の画像が網羅するいずれかの領域には、 全検査パター ンが入る可能性はきわめて高いので、 このようなパターンの一部欠落による欠陥 検出の誤りを防止することができる。 比較手段は、 例えば、 取得された複数の被検査領域の各画像と基準画像との間 でいわゆるマッチング演算を行い、 複数の被検査領域のうち少なくとも 1つの画 像が基準画像と実質的に差が無かった場合、 当該試料に欠陥無しと判断する。 逆 に、 全ての被検査領域の画像が基準画像と実質的な差があった場合、 当該試料に 欠陥有りと判断することで高精度に欠陥検出を行う。
本発明の _好ましい態様は、 一次荷電粒子線を複数の被検査 域に各々照射し、 当該試料から二次荷電粒子線を放出させる荷電粒子照射手段 3 1 0 0を更に含み 、 画像取得手段は、 複数の被検査領域から放出された二次荷電粒子線を検出する ことによって該複数の被検査領域の画像を順次取得する。 ここで、 荷電粒子線は 電子線が好ましい。
更に好ましくは、 上記荷電粒子照射手段は、 一次荷電粒子を放出する粒子源と 、 一次荷電粒子を偏向させる偏向手段とを備え、 粒子源から放出された一次荷電 粒子を偏向手段で偏向させることによって、 該一次荷電粒子を複数の被検査領域 に順次照射する。 この態様では、 偏向手段により入力画像の位置を容易に変更で きるので、 高速に位置の異なる被検查画像を複数取得することができる。
本発明の更なる態様では、 一次荷電粒子線を試料に照射する 1次光学系と、 二 次荷電粒子を検出器に導く 2次光学系とを有することを特徴とする。 本発明の別 の態様に係る半導体製造方法は、 上記した各態様の欠陥検査装置を用いて、 加工 中又は完成品のゥエー八の欠陥を検査する工程を含む。
本発明の他の態様及び作用効果は、 以下の説明によって更に明らかとなる。 前 記の如き従来の技術に於ては、 1個の電子銃から 3個程度の小さい数の電子しか 発生させなかったので、 多数の鏡筒を並べる必要があった。 また前記装置では電 子光学系が部分的半球状検出電極を必要としていた。 また、 従来の技術において は、 微小な検査領域を順次検査していくという方式であったため、 電子線が当て られる検査領域を頻繁に代える必要があるために、 当該検査面 (試料)を間欠的に 移動させねばならず、 移動のための時間が無駄になり、 従って、 全体の検査に要 する時間もかなり長時間を要していた。
本発明は、 上記の如き従来の技術における課題を解決した効率的検査を可能と する電子線装置を提供することを目的としている。 すなわち、 本発明に係る電子 線装置 4 0 0 0は、 試料面上に複数の 1次電子線を照射する 1次電子線照射装置 と、 試料面上に形成される複数の 1次電子線照射点のそれぞれからの 2次電子線 を検出する 2次電子検出器とを有し、 試料を移動しながら試料面の所定領域から の 2次電子線の検出を行う電子線装置であって、 1次電子線照射装置が試料面上 に形成する 1次電子線照射点を、 上記試料の移動方向に N行、 これと直角方向に M列に配置し、 且つ、 上記 1次寧子線照射点の 1行目から N行目までの各行が、 順次、 試料移動方向と直角方向に一定量ずつずれていることを特徴とする。 より具体的には、 上記 1次電子線照射装置が、 電子銃と、 電子銃から放出され る電子を受けて上記 N行 M列の 1次電子線照射点を形成する複数の電子線を形成 する複数の開口を有する開口板とを有し、 上記開口は、 上記電子銃から放出され る電子の所定電子密度の範囲内に位置するようにされる。 更に具体的には、 上記 各 1次電子線照射点が、 試料の上記移動方向に対して直角方向に、 (上記列間の 間隔) / (上記行の数 N) + 0:だけ走查するようにする (ここで αは、 隣の列の 1次電子線照射点とともに重複スキャンを行う幅であり、 — 1 %から + 2 0 %で あればよいが、 通常は、 スキャン幅の約 1 0 %以下とされる)。 このようにする ことにより、 試料の移動方向に対する直角方向での電子線照射幅を広くとること ができ、 その広い電子線照射幅を持って連続的に試料の検查を行うことができる 。 ここで Μ及び Νは、 各々独立した 1以上の整数である。
2次電子検出器で検出される 2次電子線は、 試料面の欠陥測定、' 試料面上に形 成される集積回路の配線幅測定、 電位コントラスト測定、 合せ精度測定等、 所要 の測定に用いるようにすることができる。
また、 上記の如き電子線装置において、 1次電子線照射装置が、 上記電子銃を 複数、 及び、 それに対応する上記開口板を複数、 備え、 各電子銃及びそれに対応 する開口板が、 それぞれ、 上記試料面に照射する上記複数の 1次電子線を形成す るようにしてなる、 複数の 1次電子線照射系を有し、 各 1次電子線照射系の 1次 電子線が、 他の 1次電子線照射系の 1次電子線と干渉しないようになされ、 また
、 上記 2次電子検出器を、 上記 1次電子線照射系のそれぞれに対応して複数設け るようにすることもできる。 このようにすることにより、 より広い走査幅をもつ て試料を移動し検査することができるので、 検查効率を更に上げることができる 本発明は、 マルチビームを試料に照射し、 該試料からの二次電子をマルチ検出 器で検出する電子線装置において、 一次電子の光軸上のビームと、 光軸外のビ一 ムの強度が異なる問題点を解決し、 一次電子の各ビームがほぼ等しいビーム強度 となるようにした電子線装置を提供することを目的とする。
また、 本発明は、 マルチビームを試料に照射し、 該試料からの二次電子をマル チ検出器で検出する電子線装置 4 1 0 0において、 試料上の光軸付近から放出さ れた二次電子の検出効率が光軸から離れた位置からの二次電子の検出効率よりも 高いという問題点を解決し、 試料からの二次電子の検出効率をほぼ均一化できる 電子線装置を提供することを目的とする。 さらに、 本発明は、 上記装置を用いて 製造プロセス途中のデバイスの評価を行う方法を提供することを目的とする。 上記問題を解決するため、 電子線源から放出された電子線を複数の開口を有す る開口板に照射して得られる複数の開口像を試料に入射させ、 該試料から放出さ れる二次電子を一次光学系から分離して二次光学系に入射させ、 二次光学系で拡 大して検出器面に投影する電子線装置において、 一次光学系のレンズが作る電子 線源の像の位置より電子線源側にずらした位置に単一の開口板を設け、 この開口 板を設ける光軸方向位置を、 試料面に入射する各開口からのビーム強度の差が最 小となるようにする。
このように、 試料面に入射するマルチビーム各ビーム間でのビーム強度の差を 最小限とすることにより、 光軸付近のビームと光軸より離れた位置のビーム間の ビーム強度の差を縮小して試料面に均一に入射させることができるので検査、 測 定精度を向上させることができる。
また、 試料面に入射するビーム間の強度の差を縮小することにより、 ビーム数 を増大させ、 マルチビームを広範囲に照射することができるので、 検査、 測定効 率を向上させることができる。 . 本発明によれば、 電子線源から放出された電子線を複数の開口を有する開口板 に照射して得られる複数の開口像を試料に入射させ、 該試料から放出される二次 電子を一次光学系から分離して二次光学系に入射させ、 二次光学系で拡大して検 出器面に投影する電子線装置において、 一次光学系のレンズが作る電子線源の像 の位置から電子線源側へずらした位置に単一の開口板を設け、 該ずらせる量はパ ターンの無い試料を試料面に置いたときに得られる二次電子の検出量が前記複数 の開口間での差が最小となるようにする。
このように、 二次光学系の検出器において二次電子の検出量を開口間で最小限 とすることにより、 二次光学系における二次電子の検出率のばらつきをも抑制で きるので、 さらにより高精度の検查、 測定を行うことができる。
本発明は、 前述の電子線装置を用いて、 製造プロセス途中のウェハーの評価を 行うことを特徴とする。 本発明の電子線装置を製造プロセス途中のゥエーハ評価 に用いることにより、 より高精度、 高効率のゥエーハ評価を行うことができる。
1個の電子銃から放出された電子線で複数の開口を有する開口板を照射して複 数の電子線を作成し、 これら各開口からの電子線を一次光学系で縮小して試料面 に投影し走査する装置にあっては、 一次光学系の歪みに起因して、 各電子線が所 望の位置に投影されないという課題があった。 また、 電子線を縮小して試料面に 投影させる一次光学系に視野非点収差が存在するため、 一次光学系の光軸近くと 光軸外とでは電子線の寸法及び形状が異なるという問題もある。
また、 試料から放出される二次電子を検出器群に投影するための二次光学系に も収差が存在することに起因して、 二次電子を検出器群の所望の位置に投影させ ることができないという問題もある。
本発明は従来の電子線装置の持つ上記の課題を解決するために提案されたもの であって、 本発明の一つの目的は、 一次光学系の歪み及二次光学系の収差を補正 し、 一次光学系の非点収差を緩和することができる電子線装置を提供することに あり、 本発明の他の目的は、 こうした電子線装置を用いてプロセス途中のゥエー 八の各種の評価を行うことによってデバイスの歩留まりを向上させるデバイス製 造方法を提供することにある。
上記の目的を達成するために、 本発明は、 電子銃から放出された電子線で複数 の開口を有する開口板を照射し、 該複数の開口を通った一次電子線の縮小像を一 次光学系を用いて試料上に投影して走査し、 前記試料から放出された二次電子線 を二次光学系で拡大して検出器に投影する装置において、 前記一次光学系の歪み を補正するように前記複数の開口の位置を設定する。 本発明は、 電子銃から放出された電子線で複数の開口を有する第 1のマルチ開 口板を照射し、 該複数の開口を通った一次電子線の縮小像を一次光学系を用いて 試料上に投影して走査し、 前記試料から放出された二次電子線を二次光学系で拡 大して複数の検出素子からなる検出器で検出する装置であって、 複数の開口が形 成された第 2のマルチ開口板を前記検出器の前面に配置してなる電子線装置にお いて、 前記二次光学系の歪みを補正するように、 前記第 2のマルチ開口に形成さ れた開口の位置を設定する。
本発明によれば、 電子銃から放出された電子線で複数の開口を有する開口板を 照射し、 該複数の開口を通った一次電子線の縮小像を一次光学系を用いて試料上 に投影して走査し、 前記試料から放出された二次電子線の像を二次光学系によつ て検出器に投影する装置において、 前記一次光学系の視野非点を補正するように 前記複数の開口の形状を設定する。
本発明によれば、 電子銃から放出された電子線で複数の開口を有する開口板を 照射し、 該開口を通過した一次電子線の縮小像を、 E X B分離器を含む一次光学 系を用いて試料上に投影して走査し、 該試料から放出された二次電子線の像を写 像光学系で検出器に投影し、 マルチチャンネルで画像データを取得する装置にお いて、 前記二次電子線の像を前記 E X B分離器の偏向主面に関して試料側に結像 させ、 前記複数の開口からの一次電子線の像を前記 E X B分離器の偏向主面上に 結像させる。
前述の電子線装置は、 欠陥検査装置、 線幅測定装置、 合わせ精度測定装置、 電 位コントラスト測定装置、 欠陥レビュー装置及びストロボ S E M装置からなる群 のうちの一つであり得る。
本発明の電子線装置は、 前記試料を複数の前記電子銃からの電子線で照射し、 前記試料から放出された二次電子線を、 前記複数の電子銃に対応して設けられた 複数の前記検出器で検出するようにしてもよい。 また、 本発明の電子線装置はプ ロセス途中のゥエー八の評価を行うために使用することができる。
公知の技術では、 二次電子を複数の検出器で検出する具体的な方法が明確でな く、 高い分解能で試料を検査 ·評価することが可能であるか否かについても明確 でない。 また、 一次光学系における電子ビームを試料面に対して斜め方向から照 射しており、 静電対物レンズと試料の間が軸対称の構造ではないため、 電子ビー ムを細く絞れない等の問題がある。
更に、 試料からの二次電子を E X B分離器により分離してそれを検出器に導く 技術も既に公知であるが、 この場合、 E X B分離器の電界で偏向される電子ビー ムの偏向量及び偏向方向が低エネルギーの電子ビームと高エネルギーの電子ビー ムとで相違するため、 色収差が生じるという問題がある。 また、 E X B分離器を 設けた場合、 検査試料の付近に偏向器を配置するためのスペースを確保すること が困難である、 という問題もある。
本発明が解決しょうとする一つの課題は、 写像投影型光学系の電子線装置に E X B分離器を備え、 複数の電子ビームを用いて試料の検查を行うことにより、 試 料検査 ·評価等を高いスループッ卜でしかも高い信頼性で行うことができる具体 的な電子線装置を提供することである。 本発明が解決しょうとする他の課題は、 電子ビームを細く絞れるようにした電子線装置を提供することである。 本発明が 解決しょうとする別の課題は、 E X B分離器を使用することにより生じる色収差 を補正することができる電子線装置を提供することである。
本発明が解決しょうとする更に別の課題は、 電子線装置の光学系を 2行複数列 に配置して、 試料の検査 ·評価等を高いスループッ卜でしかも高い信頼性で行う ことができる装置を提供することである。 本発明が解決しょうとする更に別の課 題は、 E X B分離器と偏向器とを兼用することにより、 E X B分離器と偏向器の 両者を共に最適の位置に配置することが可能な電子線装置を提供することである 。 本発明が解決しょうとする更に別の課題は、 上記のような電子線装置を用いて プロセス途中の試料を評価するデバイスの製造方法を提供することである。
上記課題は以下の手段により解決される。 即ち、 本願の発明の一つは、 電子線 を放出する単一の電子銃、 複数の孔を設けた開口板、 複数のレンズ及び相互に離 隔して配置された少なくとも二つの E X B分離器を有していて前記電子銃からの 電子線を検査されるべき試料面上に照射する第一次光学系と、 前記試料から放出 された二次電子を、 前記 E X B分離器の内の一つで第一次光学系から分離し、 二 次電子検出装置に入射させて検出する第二次光学系とを備え、 前記電子銃からの 電子線を前記開口板に照射して複数の孔の像を形成し、 前記複数の孔の像の位置 を前記 E X B分離器のそれぞれの位置に一致させ、 かつ前記それぞれの E X B分 離器の電界で偏向される電子線の方向が試料面上で見て相互に逆方向となるよう にしている。 このように構成したことにより、 複数の電子線を用いて試料の検査 •評価等を高いスループットでしかも高い信頼性で行うことができる。 また、 E X B分離器により生じる色収差を補正することが可能となり、 更に電子ビームを 細く絞ることも可能となったため、 高い検査精度を確保することができる。 また、 電子線装置の発明の別の態様において、 前記 E X B分離器の電界で偏向 される電子線の偏向量が磁界による偏向量と試料面上で見て相互に逆方向であり かつその絶対値が等しいように構成してもよい。 上記のような電子線装置を、 E X B分離器によって偏向された二次電子の経路が互いに干渉しないように、 2行 複数列に配置してもよい。 それにより、 試料の検査 ·評価等を高いスループット でしかも高い信頼性で行うことができる。
本願の別の発明では、 電子線を放出する単一の電子銃、 複数の孔を設けた開口 板、 複数のレンズ及び E X B分離器を有していて前記電子銃からの電子線を検査 されるべき試料面上に照射する第一次光学系と、 前記試料から放出された二次電 子を、 前記 E X B分離器で第一次光学系から分離し、 二次電子検出装置に入射さ せて検出する第二次光学系とを備え、 前記電子銃からの電子線を前記開口板に照 射して複数の孔の像を形成し、 前記複数の孔の像の位置を前記 E X B分離器の位 置に一致させ、 かつ前記 E X B分離器の電界に走査電圧を重畳させて、 前記電子 線の偏向動作をさせるようにしている。 このように構成したことにより、 E X B 分離器と偏向器とを兼用させて、 両者を最適の位置に配置することができる。 前記一つの発明及び別の発明による電子線装置において、 前記電子線装置は、 欠陥検査装置、 線幅測定装置、 欠陥レビユウ装置、 E Bテスター装置及び電位コ ントラスト測定装置のいずれかであってもよい。 本願の更に別の発明は、 前記電 子線装置を用いてプロセス途中のウェハーの評価を行ってデバイスの製造を行う ことである。
本発明目的は、 電子光学的かつ短時間で電子光学系の焦点合わせを行うことが できる電子線装置、 及び該装置を用いた半導体デバイス製造方法を提供すること である。 この目的を達成するため、 本発明は、 一次光学系により複数の一次電子 線を試料に照射し、 試料から放出される二次電子線を、 対物レンズを通過後に E X B分離器で二次光学系に投入し、 投入後少なくとも一段のレンズで複数の二次 電子線間の間隔を拡大し、 複数の検出器で検出する電子線装置であって、 対物レンズに少なくとも 3つの異なる励起電圧を個別に供給して、 第 1の方向 に平行なパターン ·エッジを第 2の方向に走査したときに得られる、 二次電子線 の強度に対応する電気信号の立ち上がり幅を表す少なくとも 3つのデータを測定 することを特徴とする電子線装置を提供する。 これにより、 電子光学系の焦点合 わせを短時間で実行できる。
上記した電子線装置を鏡筒として複数試料に対向して配置し、 各鏡筒の一次光 学系が、 試料上に複数の一次電子線を、 他の鏡筒とは異なる位置に照射するよう 構成してもよい。 これにより、 スループットを向上させることができる。
また、 電子線装置は、 ウェハ上のパターンが帯電している状態で、 対物レンズ の励起条件を求めるよう構成されていることが好ましい。
本発明はまた、 一次光学系により複数の一次電子線を試料に照射し、 試料から 放出される二次電子線を、 対物レンズを通過後に E X B分離器で二次光学系に投 入し、 投入後少なくとも一段のレンズで複数の二次電子線間の間隔を拡大し、 複 数の検出器で検出する電子線装置を提供する。
この電子線装置において、 対物レンズは、 アースに近い第 1の電圧が印加され る第 1の電極と、 第 1の電圧より大きい第 2の電圧が印加される第 2の電極とを 備え、 第 1の電極に印加される第 1の電圧を変化させることによって、 対物レン ズの焦点距離が変化されるよう構成されており、 対物レンズを励起する励起手段 は、 対物レンズの焦点距離を大きく変化させるために第 2の電極に印加する電圧 を変更する手段と、 焦点距離を短時間で変化させるために第 1の電極に印加する 電圧を変更する手段とを備える。 本発明はさらに、 上記した電子線装置を用いて 、 プロセス途中又は終了後のウェハの評価を行うことを特徴とする半導体デバイ ス製造方法も提供する。
実際に二次電子を複数の検出器で検出し高い分解能で試料を検査 ·評価するこ とが可能な電子線装置を実用化することができるか否かについて、 必ずしも明確 でない。 また、 このような電子線装置においては、 スループットが大きいが解像 度が比較的低く比較的大きい欠陥しか検出できないモード (以下、 標準モードと 呼ぶ) と、 スループットが小さいが解像度が高く非常に小さい欠陥でも検出可能 なモード (以下、 高解像度モードと呼ぶ) との二つの異なったモードを一つの装 置で使用できることが必要である。 しかし、 そのような機能を有する実用的な装 置はまだ開発されていない。
更に、 これら二つのモードを一つの装置で使用する場合、 マルチビームの走査 幅を変更すること、 及び第二次光学系の静電レンズの拡大率を変更すること等が 必要であるが、 走査幅を標準モードから狭くするとマルチビーム間に走査の隙間 が生じたり、 また、 第二次光学系でのビーム寸法が検出器の画素寸法と一致しな くなるという問題が生じる。 本発明はこのような課題を解決することを目的とす る。
上記課題を解決するため、 本願の発明の一つは、 単一の電子銃から放出された 電子線を複数の孔を設けた開口板でマルチビームにし、 前記マルチビームを少な くとも 2段の静電レンズで縮小して検査されるべき試料を走査する第一次光学系 と、 前記試料から放出された二次電子を静電対物レンズ通過後 E X B分離器で第 一次光学系から分離し、 その後少なくとも 1段の静電レンズで拡大して複数の検 出装置に入射させる第二次光学系とを備え、 スループッ卜が大きいが解像度が比 較的低いモード及びスループッ卜が小さいが解像度が高いモードで試料を評価す るように、 少なくとも二種類の画素寸法で試料の評価を行うようにしている。 こ のように構成したことにより、 複数の電子線を用いて試料の検査'評価等を高い スループットでしかも高い信頼性で行うことができる。 また、 標準モードと高解 像度モードとの二つのモードを一つの装置で使用することが可能となる。
電子線装置の発明の別の態様において、 第一次光学系でのマルチビームの縮小 率と、 第二次光学系の静電レンズでの拡大率とを関係付けるようにしている。 また、 電子線装置の発明の別の態様において、 第一次光学系でのクロスオーバ 像を、 前記スループットが大きいが解像度が比較的低いモードにおいて、 静電対 物レンズの主面に形成するようにしている。
電子線装置の発明の更に別の態様において、 第二次光学系の拡大率は、 第二次 光学系に配置された開口アパーチャよりも検出器側に設けられた静電レンズで調 整されるようにしている。 本発明によると、 上記のような電子線装置を用いてプ ロセス途中のウェハーの評価を行ってデバイスの製造を行う。
従来の走查電子顕微鏡では細い電子線すなわちビームで試料表面を走査するた め、 大面積を有する試料を評価するとスループッ卜が大幅に低下する問題があつ た。 また、 前記公知のチャージアップ検知機能では、 各種電流を高い時間分解能 で測定する必要があり、 チャージアップの状態をかならずしも正しく検出できな かった。 '
本発明は上記の問題点に鑑みなされたものであって、 発明が解決しょうとする 一つの課題は、 スループットを向上させかつより高い信頼性の下で試料の評価を 行える電子線装置を提供することである。 本発明が解決しょうとする他の課題は 、 複数の電子線を試料に同時に照射させることによりスループットを向上させる と共に、 チャージアップ検知機能を向上させて評価の信頼性を向上した電子線装 置を提供することである。 本発明が解決しょうとする更に別の課題は、 上記のよ うな電子線装置を用いてプロセス途中又は後の試料の評価を、 高い製造歩留まり で行えるデバイスの製造方法を提供することである。
本願の一つの発明は、 一次電子線を発生し、 集束して試料上に走査させて照射 する一次光学系と、 前記試料の電子線照射部分から放出された二次電子が投入さ れる、 少なくとも 1段のレンズを有する二次光学系と、 前記二次電子を検出する 検出器とを備え、 前記電子線照射部から放出された二次電子を加速し、 E X B分 離器で一次光学系から分離して前記二次光学系に投入し、 前記二次電子の像を前 記レンズで拡大して検出器で検出する電子線装置において、 前記一次光学系が複 数の一次電子線を発生して試料に同時に照射し、 前記検出器が前記一次電子線の 数に対応して複数設けられていることと、 前記試料にリタ一ディング電圧を印加 するためのリタ一ディング電圧印加装置と、 前記試料のチャージアップ状態を調 查するチャージアップ調查機能と、 を備えて構成される。
上記発明による電子線装置が、 前記チャージアップ調査機能からのチャージァ ップ状態に関する情報に基づいて最適なリタ一ディング電圧を決定し、 それを前 記試料に印加する機能、 或いは一次電子線の照射量を変化させる機能を更に備え ていてもよい。 本願の他の発明による電子線装置は、 複数の電子線を試料に照射する光学系と 、 チャージアップ調査機能とを有し、 前記チャージアップ調査機能は、 前記試料 に一次電子線が照射されて発生した二次電子を複数の検出器で検出して画像を形 成したとき、 前記試料の特定部分のパターン歪み或いはパターンボケを評価し、 その結果パターン歪み或いはパターンボケが大きい場合をチャージアツプが大き いと評価するように構成されている。
前記各発明による電子線装置において、 前記チャージアップ調査機能は、 試料 に値が可変のリタ一ディング電圧を印加可能であり、 少なくとも二つのリターデ ィング電圧を印加した状態で、 試料のパターン密度が大きく変化している境界付 近の画像形成を行い、 上記画像をオペレータがパターン歪み或いはパターンボケ を評価可能なように表示する装置を有していてもよい。
本願の更に別の発明は、 上記の電子線装置を用いてプロセス途中或いは終了後 のウェハの欠陥を検出する事を特徴とするデバイスの製造方法を提供することで ある。
図 5 4に示した構成を有する従来例の E X B型エネルギ ·フィルタを、 半導体 ウェハを電子ビームを用いて画像データを得ることにより評価する検査装置の E X B分離器として用いても、 1次電子ビームが実質的に収差を生じないで直進す る光軸の周りの領域は、 あまり広くない。
この理由の 1つは、 従来の E X Bエネルギ ·フィル夕の構造が複雑であり、 対 称性が十分に良好ではないことである。 すなわち、 対称性が良くないため、 収差 を計算しょうとすると、 3次元の電場解析や 3次元の磁場解析を必要として、 計 算が複雑になる。 よって、 収差が最適になるように設計しょうとしても、 長時間 を要する。 別の理由は、 従来の E X Bエネルギ ·フィル夕において、 電界及び磁 界が光軸に直交しておりかつこれらの強度分布がほぼ一様である領域が、 狭いこ とである。
本発明は、 このような従来例の問題点に鑑みてなされたものであり、 その第 1 の目的は、 構造が簡単で収差計算が容易にでき、 しかも、 磁界及び電界の強度が 一様な光軸周囲の領域が大きい E X B分離器を提供することである。 本発明の第
2の目的は、 第 1の目的を達成する E X B分離器を用いた電子線装置、 及び該電 子線装置を用いて半導体ウェハの評価を行うことを含んだ半導体デバイス製造方 法を提供することである。
上記した第 1の目的を達成するために、 本発明に係る、 光軸に直交する電界及 び磁界を生成し、 進行方向の異なる少なくとも 2つの電子ビームを分離するため の E X B分離器は、 電界を生成するための一対の平行な平板状の電極であって、 電極相互の間隔が電界と直交する電極の長さよりも短く設定されている電極を備 えた静電偏向器と、 該静電偏向器と反対方向に電子ビームを偏向させるトロイダ ル型又はサドル型の電磁偏向器とを備える。 また、 上記した E X B分離器におい て、 静電偏向器を、 電界を生成するための少なくとも 6極の電極を有し、 回転可 能な電界を生成するように構成しても良い。
さらに、 上記した E X B分離器において、 トロイダル型又はサドル型の電磁偏 向器は、 電界及び磁界の両方向の磁界を発生させる 2組の電磁コイルを有し、 こ れら 2組のコイルに流す電流比を調整することにより、 電磁偏向器による偏向方 向が、 静電偏向器による偏向方向と反対となるように調整可能に構成することが 好ましい。
さらにまた、 上記した E X B分離器において、 サドル型又はトロイダル型の電 磁偏向器の内部に、 静電偏向器を配置することが好ましく、 これにより、 電磁偏 向器を 2分割状態に形成し、 これらを静電偏向器の外周に装着し一体化すること ができ、 よって、 E X B分離器の製造が容易になる。
本発明はまた、 複数の 1次電子ビームを半導体ウェハに照射して該ウェハから の 2次電子ビームを複数の検出器で検出して画像データを得ることにより、 半導 体ウェハの加工状態を評価する検査装置において、 1次電子ビームと 2次電子ビ ームとの分離用に、 上記した E X B分離器を用いた検査装置も提供する。
本発明が解決しょうとする課題は、 X Yステージの差動排気機構をなくして構 造が簡単でコンパク卜化が可能な荷電ビーム装置を提供することである。 本発明 が解決しょうとする他の課題は、 X Yステージを収容しているハウジング内を真 空排気すると共に該試料面上の荷電ビームが照射される領域を排気する差動排気 機構をもうけた荷電ビーム装置を提供することである。 本発明が解決しょうとす る更に別の課題は、 上記の荷電ビーム装置を用いて試料表面を検査する欠陥検査 装置、 或いは試料の表面にパターンを描画する露光装置を提供することである。 本発明が解決しょうとする更に別の課題は、 上記のような荷電ビーム装置を用い て半導体デバイスを製造する半導体製造方法を提供することである。
本発明の X Yステージ上に載置された試料に荷電ビームを照射する装置におい ては、 該 X Yステージはハウジング内に収容されかつ静圧軸受けによりハウジン グに対して非接触で支持されており、 該ステージが収容されたハウジングは真空 排気され、 該荷電ビーム装置の該試料面上に荷電ビームを照射する部分の周囲に は、 試料面上の該荷電ビームが照射される領域を排気する差動排気機構が設けら れる。
この発明の荷電ビーム装置によれば、 真空チャンバ内に漏れ出た静圧軸受け用 の高圧ガスは、 まず真空チャンバに接続された真空排気用配管によつて排気され る。 そして荷電ビームが照射される領域を排気する差動排気機構を荷電ビームを 照射する部分の周囲に設けることによって、 荷電ビーム照射領域の圧力を真空チ ヤンバ内の圧力より大幅に減少させ、 荷電ビームによる試料への処理が問題なく 実施できる真空度を安定して達成することができる。 すなわち、 大気中で一般に 用いられる静圧軸受け式のステージと同様の構造を持ったステージ (差動排気機 構を持たない静圧軸受け支持のステージ) を使用して、 ステージ上の試料に対し て荷電ビームによる処理を安定に行うことができる。
本発明の荷電ビーム装置において、 前記 X Yステージの静圧軸受けに供給され るガスはドライ窒素もしくは高純度の不活性ガスであり、 該ドライ窒素もしくは 高純度不活性ガスは、 該ステージを収納するハウジングから排気された後加圧さ れ、 再び前記静圧軸受けに供給される。
本発明によれば、 真空のハウジング内の残留ガス成分は高純度の不活性ガスと なるので、 試料表面やハウジングにより形成される真空チャンバ内の構造物の表 面を水分や油分等で汚染する恐れがない上に、 試料表面に不活性ガス分子が吸着 しても、 差動排気機構或いは荷電ビーム照射領域の高真空部に晒されれば速やか に試料表面から離脱するので、 荷電ビーム照射領域の真空度に対する影響を最小 限に抑えることが可能になり、 荷電ビームによる試料への処理を安定化させるこ とができる。 本発明は、 前記荷電ビーム装置を用いて、 半導体ウェハ表面の欠陥を検査する ウェハ欠陥検査装置に存する。 これにより、 ステージの位置決め性能が高精度で 、 かつ荷電ビームの照射領域の真空度が安定した検査装置を安価に提供すること ができる。 本発明は、 前記荷電ビーム装置を用いて、 半導体ウェハ表面又はレチ クルに半導体デバイスの回路パターンを描画する露光装置にある。 これにより、 ステージの位置決め性能が高精度で、 かつ荷電ピーム照射領域の真空度が安定し た露光装置を安価に提供することができる。
本発明は、 前記荷電ビーム装置を用いて半導体を製造する半導体製造方法にあ り、 ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が安 定した装置によって半導体を製造することにより、 微細な半導体回路を形成でき る。
対称ダブレットレンズでは、 例えば縮小レンズ系を作る場合、 2段のレンズを 必要とし、 それぞれのレンズの寸法比を縮小比と同じ比率にする必要がある。 例 えば 1 Z 1 0縮小の系を作るとすると、 小さい側のレンズは加工精度等で決る寸 法より小さくできないので、 例えばポ一ァ径が 5 mm φ、 レンズギャップが 5 m m程度とすると、 大きい側のレンズはポーァ径が 5 0 ηιηΊ φ、 レンズギャップも 5 0 mmとなり、 かなり大寸法のレンズを作らざるを得ず、 また、 実際の装置で 倍率を変化させようとすると、 対称ダブレツ卜条件が狂ってしまう等の問題があ つた。
本発明は上記の如き問題に鑑み、 2段以上のレンズ系で倍率が調整可能で、 単 レンズで倍率色収差を補正可能な電子光学系を得ることを目的とする。 また、 デ パイス製造における歩留りを低下させる原因を、 上記の装置を用いて早期に発見 するためのゥエーハ評価を行う方法を提供することも目的とする。
本発明は、 複数の電子線を、 コンデンサレンズを含むレンズ系で集束し、 対物 レンズで試料上に結像する電子線装置であって、 上記対物レンズの前段のレンズ が作る上記電子線のクロスオーバ位置を、 同対物レンズの上記レンズ系側近傍位 置にしたことを特徴とする電子線装置を提供する。 具体的には、 上記クロスォー バ位置は、 対物レンズの主面より上記レンズ系側とする。 クロスオーバ位置を上 記の如くすることにより、 試料上で結像される電子線に生じる収差、 特に色収差 を低減することができる。
上記複数の電子線は、 単一の電子銃から放射されて複数の開口を通過して形成 された複数の電子線、 複数の電子銃から放出された複数の電子線、 若しくは、 単 一の電子銃に形成された複数のエミッターから放出された複数の電子線とするこ とができる。 本発明はまた、 上記の如き電子線装置を用いて、 製造プロセス途中 のゥエー八の評価を行うことを特徴とするデバイス製造方法を提供する。
本発明は、 一次電子線を複数とし、 複数の電子線を一次元方向 (X方向) に走 査しながら E X Bフィルタ (ウィーンフィルタ) を通して試料表面へ垂直に入射 させ、 試料からの二次電子を E X Bフィルタにより一次電子線と分離して一次電 子線の軸に対して斜め方向へ取出し、 更にレンズ系により検出系に結像或いは集 光させる。 一次電子線の走査方向 (X方向) に対してステージを垂直方向 (y方 向) に移動させ、 連続した画像を取得する。
一次電子線が E X Bフィルタを通過するときは電子線が電界から受ける力と磁 界から受ける強さが逆向きで等しくなる条件 (ウィーン条件) に設定され、 一次 電子線は直進する。
一方、 二次電子線は、 一次電子線とは方向が逆向きのために二次電子に作用す る電界及び磁界の力の方向が同じになるために一次電子線の軸方向から曲げられ る。 この結果、 一次電子線と二次電子線は分離される。 E X Bフィル夕を電子線 が通過するとき、 直進のときよりも曲げられた場合の収差が大きくなるため、 高 い精度が必要とされる一次電子線の一本一本に対応した検出器が備えられ、 対応 する一次電子線からの二次電子は前記結像系により必ず対応する検出器へ入射す る。 このため、 信号の混入を無くすことが可能となる。 検出器としてはシンチレ 一夕 +光電子増倍管 (フォトマルチプライヤ) を使用する。 また P I Nダイォー ド (半導体検出器) 等を使用することもできる。 本発明では 1 6本の一次電子線 でそれぞれビーム径 0 . 1 m、 ビーム電流 2 0 n Aであり、 市販の装置の約 3 倍の電流値が得られた。
電子銃 (電子線源)
本発明において、 電子線源として熱電子線源を使用している。 電子放出 (エミ ッタ) 材は L a B 6である。 高融点 (高温での蒸気圧が低い) で仕事関数の小さ い材料であれば、 他の材料を使用することが可能である。 複数の電子線を得るた めに、 2通りの方法を用いている。 1つは、 1本のェミッタ (突起が 1つ) から 1本の電子線を引出し、 複数の穴のあいた薄板 (開口板) を通すことにより、 複 数の電子線を得る方法であり、 もう 1つの方法は、 1本のェミッタに複数の突起 を形成してそこから直に複数の電子線を引出す方法である。 いずれの場合にも電 子線が突起の先端から放出され易い性質を利用している。 他の方式の電子線源、 例えば熱電界放出型の電子線も使用可能である。
なお、 熱電子線源は電子放出材を加熱することにより電子を放出する方式であ り、 熱電界放出電子線源とは、 電子放出材に高電界をかけることにより電子を放 出させ、 更に電子線放出部を加熱することにより、 電子放出を安定させた方式で ある。 本発明において、 真空排気系は、 真空ポンプ、 真空バルブ、 真空ゲージ、 真空 配管等から構成され、 電子光学系、 検出器部、 試料室、 ロードロック室を所定の シーケンスに従い真空排気を行う。 各部においては必要な真空度を達成するよう に真空バルブが制御される。 常時、 真空度のモニタ一を行い、 異常時には、 イン ターロック機能により隔離バルブ等の緊急制御を行い、 真空度の確保をする。 真 空ポンプとしては主排気に夕一ポ分子ポンプ、 粗引き用としてルーツ式のドライ ポンプを使用する。 検査場所 (電子線照射部) の圧力は 1 0 _ 3 ~ 1 0— 5 P a、 好ましくはその 1桁下の 1 0 -4〜1 0— 6 P aが実用的である。
制御系
本発明において、 制御系は主にメインコントローラ、 制御コントローラ、 ステ ージコントローラから構成される。 メインコントローラにはマン一マシンインタ 一フェースが備えられており、 オペレータの操作はここを通して行われる (種々 の指示/命令、 レシピなどの入力、 検査スタートの指示、 自動と手動検査モード の切り替え、 手動検査モード時のときの必要な全てのコマンドの入力等)。 その 他、 工場のホストコンピュータとのコミュニケーション、 真空排気系の制御、 ゥ ェハ等の試料搬送、 位置合わせの制御、 他の制御コントローラやステージコント ローラへのコマンドの伝達や情報の受け取り等もメインコントローラで行われる また、 光学顕微鏡からの画像信号の取得、 ステージの変動信号を電子光学系に フィードバックさせて像の悪化を補正するステージ振動補正機能、 試料観察位置 の Z方向 (二次光学系の軸方向) の変位を検出して、 電子光学系へフィードバッ クし、 自動的に焦点を補正する自動焦点補正機能を備えている。 電子光学系への フィードバック信号等の授受、 及びステージからの信号の授受は、 それぞれ制御 コントローラ及びステージコントローラを介して行われる。
制御コントローラは主に電子線光学系の制御 (電子銃、 レンズ、 ァライナー、 ウィーンヒルター用などの高精度電源の制御等) を担う。 具体的には照射領域に 、 倍率が変わったときにも常に一定の電子電流が照射されるようにすること、 各 倍率に対応した各レンズ系ゃァライナーへの自動電圧設定等の、 各オペレーショ ンモードに対応した各レンズ系ゃァライナーへの自動電圧設定等の制御 (連動制 御) が行われる。
ステージコントローラは主にステージの移動に関する制御を行い精密な X方向 および Y方向の mオーダーの移動 (± 0 . 5 x m程度の誤差) を可能にしてい る。 また、 本ステージでは誤差精度 ± 0 . 3秒程度以内で、 回転方向の制御 (Θ 制御) も行われる。
検査手順
本発明において検査手順 (図 6 3 ) は次のように行われる。 一般に電子線を用 いた欠陥検査装置は高価であり、 またスループットも他のプロセス装置に比べて 低いために、 現状では最も検査が必要と考えられている重要な工程 (例えばエツ チング、 成膜、 又は C M P (化学機械研磨) 平坦化処理等) の後に使用されてい る。
検査されるウェハは大気搬送系及び真空搬送系を通して、 超精密 X— Yステー ジ上に位置合わせ後、 静電チャック機構等により固定され、 以後、 図 6 3のフロ 一の従って欠陥検査等が行われる。 はじめに光学顕微鏡により、 必要に応じて各 ダイの位置確認や、 各場所の高さ検出が行われ記憶される。 光学顕微鏡はこの他 に欠陥等の見たい所の光学顕微鏡像を取得し、 電子線像との比較等にも使用され る。 次にウェハの種類 (どの工程後か、 ウェハのサイズは 2 0 c mか 3 0 c mか等 ) に応じたレシピの情報を装置に入力し、 以下検査場所の指定、 電子光学系の設 定、 検査条件の設定等を行なった後、 画像取得を行ないながら通常はリアルタイ ムで欠陥検査を行なう。 セル同士の比較、 ダイ比較等が、 アルゴリズムを備えた 高速の情報処理システムにより検査が行なわれ、 必要に応じて C R T等に結果を 出力や、 メモリーへ記憶を行なう。 欠陥にはパーティクル欠陥、 形状異常 (パ夕 —ン欠陥)、 及び電気的 (配線又はビア等の断線及び導通不良等) 欠陥等が有り 、 これらを区別したり欠陥の大きさや、 キラー欠陥 (チップの使用が不可能にな る重大な欠陥等) の分類を自動的にリアルタイムで行うことも出来る。
電気的欠陥の検出はコントラスト異状を検出することで達成される。 例えば導 通不良の場所は電子線照射 (5 0 0 e V程度) により、 通常正に帯電し、 コント ラストが低下するので正常な場所と区別ができる。 この場合の電子線照射手段と は、 通常検査用の電子線照射手段以外に別途、 電位差によるコントラストを際立 たせるために設けた低エネルギーの電子線発生手段 (熱電子発生、 U VZ光電子 ) をいう。 検查対象領域に検査用の電子線を照射する前に、 この低エネルギーの 電子線を発生 ·照射している。
検査用の電子線を照射すること自体正に帯電させることができる写像投影方式 の場合は、 仕様によっては、 別途低電位の電子線発生手段を設ける必要はない。 また、 ウェハ等の試料に基準電位に対して、 正又は負の電位をかけること等によ る (素子の順方向又は逆方向により流れ易さが異なるために生じる) コントラス 卜の違いから欠陥検出が出来る。 線幅測定装置及び合わせ精度測定にも利用でき る。 本発明の電子ビーム装置が作動すると、 近接相互作用 (表面近くでの粒子の帯 電) により標的物質が遊離して高圧領域に引きつけられるので、 電子ビームの形 成や偏向に使用される様々な電極には有機物質が堆積する。 表面の帯電により徐 々に堆積していく絶縁体は電子ビームの形成や偏向機構に悪影響を及ぼすので、 堆積した絶縁体は周期的に除去しなければならない。 絶縁体の周期的な除去は絶 緣体の堆積する領域の近傍の電極を利用して真空中で水素や酸素あるいはフッ素 及びそれらを含む化合物 H F、 02、 H 20、 C M F N等のプラズマを作り出し、 空間内のプラズマ電位を電極面にスパッ夕が生じる電位 (数 k V、 例えば 2 0 V - 5 k V) に維持することで、 有機物質のみ酸化、 水素化、 フッ素化により除去 する。
図面の簡単な説明
図 1は、 本発明の実施例 1の検査装置の主要構成要素を示す立面図であって、 図 2の線 A _ Aに沿って見た図である。
図 2は、 図 1に示す検査装置の主要構成要素の平面図であって、 図 1の線 B— Bに沿って見た図である。
図 3 Aは、 図 1のミニエンバイロメント装置の線 C— Cに沿に沿う断面図、 図 3 Bは、 別の形式のミニエンバイロメント装置の側面図である。
図 4は、 図 1のローダハウジングを示す図であって、 図 1の線 D— Dに沿って 見た図である。
図 5 A及び図 5 Bは、 ウェハラックの拡大図であって、 図 5 Aは側面図で、 図 5 Bは図 5 Aの線 E— Eに沿って見た断面図である。
図 6 A及び図 6 Bは主ハウジングの支持方法の第 1及び第 2の変形例を示す図 である。
図 7は、 図 1の検査装置に用いられる本発明の実施例 2の電子光学装置の概略 構成を示す配置図である。
図 8は、 図 7の電子光学装置の一次光学系に使用されているマルチ開口板の開 口の位置関係を示す図である。
図 9は、 電位印加機構を示す図である。
図 1 O A及び図 1 0 Bは、 電子ビームキャリブレーション機構を説明する図で あって、 図 1 0 Aは側面図であり、 図 1 0 Bは平面図である。
図 1 1は、 ウェハのァライメント制御装置の概略説明図である。
図 1 2は、 本発明による半導体デバイスの製造方法の一実施例を示すフローチ ヤー卜である。
図 1 3は、 図 1 2のウェハプロセッシング工程の中核をなすリソグラフィーェ 程を示すフローチャートである。 図 1 4 Aは、 本発明の実施例 3の電子線装置の光学系の概略を示す図、 図 1 4 Bは試料面上の複数ビームによる像を示す拡大図である。
図 1 5は、 本発明の実施例 3の 2次光学系と開口角を示した図。
図 1 6は、 試料面 1 0での収差と開口半角ひ iとの関係を示す図。
図 1 7 Aは、 マルチェミッタの平面図、 図 1 7 Bは図 1 7 Aの線 1 7 B— 1 7 Bに沿う断面図。
図 1 8 A及び図 1 8 Bは、 従来の荷電ビーム装置の真空チャンバ及び X Yステ ージを示す図であって、 図 1 8 Aは正面図、 図 1 8 Bは側面図である。
図 1 9は、 図 1 8 A及び図 1 8 Bの X Yステージに使用される排気機構の概略 斜視図である。
図 2 O A及び図 2 0 Bは本発明の実施例 4の荷電ビーム装置の真空チャンバ及 び X Yステージを示す正面図及び側面図である。
図 2 1は、 本発明の実施例 5の荷電ビーム装置の真空チャンバ及び X Yステー ジを示す断面図である。
図 2 2は、 本発明の実施例 6の荷電ビーム装置の真空チャンバ及び X Yステー ジを示す断面図である。
図 2 3は、 本発明の実施例 7の荷電ビーム装置の真空チャンバ及び X Yステー ジを示す図である。
図 2 4は、 本発明の実施例 8の荷電ビーム装置の真空チャンバ及び X Yステー ジを示す図である。
図 2 5は、 図 1 8乃至図 2 4の実施例の鏡筒に設けられる本発明の実施例 9の 光学系及び検出系を示す概略配置図である。
図 2 6は、 本発明の実施例 1 0の欠陥検査装置の概略構成図である。
図 2 7は、 図 2 6の欠陥検査装置で取得される複数の被検査画像及び基準画像 の例を示す図である。
図 2 8は、 図 2 6の欠陥検査装置によるゥエーハ検査のメインル一チンの流れ を示すフローチヤ一トである。
図 2 9は、 図 2 8のフローチャートの複数の被検査画像データ取得工程 (ステ ップ 3 3 0 4 ) のサブルーチンの詳細な流れを示すフローチャートである。 図 3 0は、 図 2 8における比較工程 (ステップ 3 0 8 ) のサブルーチンの詳細 な流れを示すフローチャートである。
図 3 1は、 図 2 6の欠陥検査装置の検出器の具体的構成例を示す図である。 図 3 2は、 半導体ゥエー八の表面上で部分的に重なり合いながら互いから位置 がずらされた複数の被検査領域を概念的に示す図である。
図 3 3は、 本発明の実施例 1 1の欠陥検査装置を構成する走査型電子線装置の 構成図である。
図 3 4は、 本発明の実施例 1 2の電子線装置の主要エレメントを示す配置図で ある。
図 3 5 Aは、 図 3 4の装置の開口板の平面図、 図 3 5 B及び図 3 5 Cは開口の 配置を示す平面図である。
図 3 6は、 図 3 4の電子線装置による試料面上に形成される 1次電子線照射点 の配置を示す図である。
図 3 7は、 本発明の実施例 1 3の電子線装置の概略構成図である。
図 3 8は、 本発明の実施例 1 4の電子線装置の光学系を示す概略配置図である 図 3 9は、 図 3 8の電子線装置に使用されるマルチ開口板の例を示す平面図で ある。
図 4 0は、 図 3 8の電子線装置に使用される検出器開口板の例を示す平面図で ある。
図 4 1 A及び図 4 1 Bは、 図 3 8の電子線装置に使用されるマルチ開口板の他 の例を示す平面図である。
図 4 2は、 本発明の実施例 1 5の電子線装置の光学系示す配置図である。 図 4 3は、 図 4 2の電子線装置の光学系を、 2行複数列にウェハ上で並列して 配置した状態を示す平面図である。
図 4 4 Aは本発明の実施例 1 6の電子線装置の概略配置図であり、 図 4 4 Bは マルチ開口板の開口を示す平面図であり、 図 4 4 Cは対物レンズに電圧を印加す る構造を示す配置図である。
図 4 5は、 図 4 5 Aは対物レンズに印加する電圧と電気信号の立上り幅との関 係を示すグラフであり、 図 4 5 Bは電気信号の立上り幅を説明するためのグラフ である。
図 4 6は、 本発明の実施例 1 7の電子線装置の光学系の概略配置図である。 図 4 7は、 本発明の図 4 6の電子線装置の第一の開口板及び第二の開口板にお けるそれぞれの開口の配置を示した平面図である。
図 4 8は、 本発明の実施例 1 8の電子線装置の概略配置図である。
図 4 9は、 図 4 8の電子線装置の一次光学系に使用されているマルチ開口板の 開口の位置関係を示す平面図である。
図 5 O Aはチャージアップの評価場所と評価方法を説明する図であり、 図 5 0 Bは信号強度のコントラストを比較するための図である。
図 5 1は、 本発明の実施例 1 9の E X B分離器の光軸に直交する断面図である 図 5 2は、 本発明の実施例 2 0の E X B分離器の光軸に直交する断面図である 図 5 3 Aは図 5 1又は図 5 2の E X B分離器を用いることが可能な本発明の実 施例 2 1のウェハの欠陥検査装置の概略配置図、 図 5 3 Bはマルチ開口板の開口 の位置関係を示す図である。 '
図 5 4は、 従来例の E X Bエネルギ ·フィルタの構成を示す説明図である。 図 5 5は、 本発明の実施例 2 2の荷電ビーム装置の真空チャンバ及び X Yステ —ジを示す断面図である。
図 5 6は、 図 5 5の荷電ビーム装置に設けた作動排気機構の 1例を示す図であ る。
図 5 7は、 図 5 5の荷電ビーム装置のガスの循環配管系を示す図である。
図 5 8は、 本発明の実施例 2 3の荷電ビーム装置の光学系及び検出系を示す概 略配置図である。
図 5 9は、 本発明の電子線装置の概略配置図である。
図 6 0は、 図 5 8の電子線装置で用いられる開口板の平面図である。
図 6 1は、 本発明の電子線装置の対物レンズのシュミレーシヨンを示す図であ る。 図 6 2は、 図 6 1のシユミレーションにおける結果を示すグラフである。 図 6 3は、 検査の手順を示す検査フロー図である。
図 6 4は、 電子ビーム偏向器を示す水平断面図である。
図 6 5は、 電子ビーム偏向器におけるビームの偏向状態を示す側面図である。 図 6 6は、 本発明における一次電子線の照射方法を説明するための平面図であ る。
発明の実施の形態
図 1及び図 2を参照して、 本発明の実施例 1について、 検査対象として表面に パターンが形成された基板即ちウェハを検査する半導体検査装置として説明する t 図 1及び図 2において、 半導体検査装置 1の主要構成要素が立面及び平面で示さ れている。
実施例 1の半導体検査装置 1は、 複数枚のウェハを収納したカセッ卜を保持す るカセットホルダ 1 0と、 ミニエンバイロメント装置 2 0と、 ワーキングチャン バを画成する主ハウジング 3 0と、 ミニエンバイロメント装置 2 0と主ハウジン グ 3 0との間に配置されていて、 二つのローデイングチャンバを画成するローダ ハウジング 4 0と、 ウェハをカセットホルダ 1 0から主ハウジング 3 0内に配置 されたステージ装置 5 0上に装填するローダー 6 0と、 真空ハウジングに取り付 けられた電子光学装置 7 0と、 を備え、 それらは図 1及び図 2に示されるような 位置関係で配置されている。 半導体検査装置 1は、 更に、 真空の主八ウジング 3 0内に配置されたプレチャージュニット 8 1と、 ウェハに電位を印加する電位印 加機構 8 3 (図 8に図示) と、 電子ビームキャリブレーション機構 8 5 (図 1 0 に図示) と、 ステージ装置上でのウェハの位置決めを行うためのァライメント制 御装置 8 7を構成する光学顕微鏡 8 7 1とを備えている。
カセットホルダ 1 0は、 複数枚 (例えば 2 5枚) のウェハが上下方向に平行に 並べられた状態で収納されたカセット c (例えば、 アシスト社製の S M I F、 F 〇U Pのようなクローズドカセット) を複数個 (この実施形態では 2個) 保持す るようになっている。 このカセットホルダとしては、 カセットをロボット等によ り搬送してきて自動的にカセットホルダ 1 0に装填する場合にはそれに適した構 造のものを、 また人手により装填する場合にはそれに適したオープンカセット構 造のものをそれぞれ任意に選択して設置できるようになつている。 カセットホル ダ 1 0は、 この実施形態では、 自動的にカセット cが装填される形式であり、 例 えば昇降テーブル 1 1と、 その昇降テール 1 1を上下移動させる昇降機構 1 2と を備え、 カセット cは昇降テーブル上に図 2で鎖線図示の状態で自動的にセット 可能になっていて、 セット後、 図 2で実線図示の状態に自動的に回転されてミニ 装置内の第 1の搬送ュニットの回動軸線に向けられる。 また、 昇降テーブル 1 1は図 1で鎖線図示の状態に降下される。 このように、 自動的に 装填する場合に使用するカセットホルダ、 或いは人手により装填する場合に使用 するカセットホルダはいずれも公知の構造のものを適宜使用すれば良いので、 そ の構造及び機能の詳細な説明は省略する。
図 3 Bに示す別の実施の形態では、 複数の 3 0 Ο ιηιη φ基板 Wを、 箱本体 5 0 1に固定した溝型ポケット (図示しない) に収納し、 搬送及び保管する。 この基 板搬送箱 2 4は、 角筒状の箱本体 5 0 1と基板搬出ドア自動開閉装置に連絡され て箱本体 5 0 1の側面の開口部を開閉可能な基板搬出入ドア 5 0 2と、 開口部に 対して反対側に位置されるフィルタ類、 及びファンモータの着脱を行うための開 閉部を覆う蓋体 5 0 3と、 基板 Wを保持するための溝型ポケット (図示しない) と、 11 ? フィル夕 5 0 5、 ケミカルフィルタ 5 0 6、 ファンモータ 5 0 7に より構成される。 この事例では、 基板 Wは、 ローダー 6 0のロボット式の第 1搬 送ュニット 6 1 2により出し入れされる。
カセット c内に収納される基板即ちウェハは、 検査を受けるウェハであり、 そ のような検査は、 半導体製造工程中でウェハを処理するプロセスの後、 若しくは プロセスの途中で行われる。 具体的には、 成膜工程、 C M P、 イオン注入等を受 けた基板即ちウェハ、 表面に配線パターンが形成されたウェハ、 又は配線パター ンが未だに形成されていないウェハが、 カセット内に収納される。 カセット c内 に収容されるウェハは多数枚上下方向に隔ててかつ平行に並べて配置されている ため、 任意の位置のウェハと後述する第 1の搬送ユニットで保持できるように、 第 1の搬送ュニッ卜のアームを上下移動できるようになつている。
図 1乃至図 3において、 ミニエンバイロメント装置 2 0は、 雰囲気制御される ようになつているミニエンバイロメント空間 2 1を画成するハウジング 2 2と、 ミニエンバイロメント空間 2 1内で清浄空気のような気体を循環して雰囲気制御 するための気体循環装置 2 3と、 ミニエンバイロメント空間 2 1内に供給された 空気の一部を回収して排出する排出装置 2 4と、 ミニエンバイロメント空間 2 1 内に配設されていて検査対象としての基板即ちウェハを粗位置決めするプリァラ イナ一 2 5とを備えている。
ハウジング 2 2は、 頂壁 2 2 1、 底壁 2 2 2及び四周を囲む周壁 2 2 3を有し ていてい、ミニエンバイロメント空間 2 1を外部から遮断する構造になっている。 ミニエンバイロメント空間を雰囲気制御するために、 気体循環装置 2 3は、 図 3 に示されるように、 ミニエンバイロメント空間 2 1内において、 頂壁 2 2 1に取 り付けられていて、 気体 (この実施形態では空気) を清浄にして一つ又はそれ以 上の気体吹き出し口 (図示せず) を通して清浄空気を真下に向かって層流状に流 す気体供給ュニット 2 3 1と、 ミニエンバイロメント空間内において底壁 2 2 2 の上に配置されていて、 底に向かって流れ下った空気を回収する回収ダクト 2 3 2と、 回収ダクト 2 3 2と気体供給ュニット 2 3 1とを接続して回収された空気 を気体供給ュニッ卜 2 3 1に戻す導管 2 3 3とを備えている。
この実施形態では、 気体供給ュニット 2 3 1は供給する空気の約 2 0 %をハウ ジング 2 2の外部から取り入れて清浄にするようになっ いるが、 この外部から 取り入れられる気体の割合は任意に選択可能である。気体供給ュニッ卜 2 3 1は、 清浄空気をつくりだすための公知の構造の H E P A若しくは U L P Aフィルタを 備えている。 清浄空気の層流状の下方向の流れ即ちダウンフローは、 主に、 ミニ エンバイロメント空間 2 1内に配置された後述する第 1の搬送ュニットによる搬 送面を通して流れるように供給され、 搬送ュニットにより発生する虞のある塵埃 がウェハに付着するのを防止するようになっている。
従って、 ダウンフローの噴出口は必ずしも図示のように頂壁に近い位置である 必要はなく、 搬送ユニットによる搬送面より上側にあればよい。 また、 ミニエン バイロメント空間全面に亘つて流す必要もない。 なお、 場合によっては、 清浄空 気としてイオン風を使用することによって清浄度を確保することができる。また、 ミニエンパイロメント空間内には清浄度を観察するためのセンサを設け、 清浄度 が悪化したときに装置をシャツトダウンすることもできる。 ハウジング 2 2の周 壁 2 2 3のうちカセットホルダ 1 0に隣接する部分には出入り口 2 2 5が形成さ れている。 出入り口 2 2 5近傍には公知の構造のシャツ夕装置を設けて出入り口 2 2 5をミニエンバイロメント装置側から閉じるようにしてもよい。 ウェハ近傍 でつくる層流のダウンフローは、 例えば 0 . 3乃至 0 . 4 m/ s e cの流速でよ レ^ 気体供給ュニットはミニエンバイロメント空間内でなくその外側に設けても よい。 排出装置 2 4は、 前記搬送ュニッ卜のウェハ搬送面より下側の位置で搬送ュニ ッ卜の下部に配置された吸入ダクト 2 4 1と、 ハウジング 2 2の外側に配置され たブロワ一 2 4 2と、 吸入ダクト 2 4 1とブロワ一 2 4 2とを接続する導管 2 4 3と、 を備えている。 この排出装置 2 4は、 搬送ユニットの周囲を流れ下り搬送 ユニットにより発生する可能性のある塵埃を含んだ気体を、 吸入ダクト 2 4 1に より吸引し、 導管 2 4 3、 2 4 4及びブロワ一 2 4 2を介してハウジング 2 2の 外側に排出する。 この場合、ハウジング 2 2の近くに引かれた排気管(図示せず) 内に排出してもよい。
ミニエンバイロメント空間 2 1内に配置されたァライナー 2 5は、 ウェハに形 成されたオリエンテーションフラット (円形のウェハの外周に形成された平坦部 分を言い、 以下においてオリフラと呼ぶ) や、 ウェハの外周縁に形成された一つ 又はそれ以上の V型の切欠き即ちノッチを光学的に或いは機械的に検出して ウェハの軸線 — の周りの回転方向の位置を約士 1度の精度で予め位置決 めしておくようになっている。 ブリアライナーは請求項に記載された発明の検査 対象の座標を決める機構の一部を構成し、 検査対象の粗位置決めを担当する。 こ のブリアライナー自体は公知の構造のものでよいので、 その構造、 動作の説明は 省略する。
なお、 図示しないが、 ブリアライナ一の下部にも排出装置用の回収ダクトを設 けて、 ブリアライナーから排出された塵埃を含んだ空気を外部に排出するように してもよい。
図 1及び図 2において、 ワーキングチャンバ 3 1を画成する主ハウジング 3 0 は、 ハウジング本体 3 2を備え、 そのハウジング本体 3 2は、 台フレーム 3 6上 に配置された振動遮断装置即ち防振装置 3 7の上に載せられたハウジング支持装 置 3 3によって支持されている。 ハウジング支持装置 3 3は矩形に組まれたフレ —ム構造体 3 3 1を備えている。 ハウジング本体 3 2はフレーム構造体 3 3 1上 に配設固定されていて、 フレーム構造体上に載せられた底壁 3 2 1と、 頂壁 3 2 2と、 底壁 3 2 1及び頂壁 3 2 2に接続されて四周を囲む周壁 3 2 3とを備えて いてワーキングチャンバ 3 1を外部から隔離している。 底壁 3 2 1は、 この実施 形態では、 上に載置されるステージ装置等の機器による加重で歪みの発生しない ように比較的肉厚の厚い鋼板で構成されているが、 その他の構造にしてもよい。 この実施形態において、 ハウジング本体及びハウジング支持装置 3 3は、 剛構 造に組み立てられていて、 台フレーム 3 6が設置されている床からの振動がこの 剛構造に伝達されるのを防振装置 3 7で阻止するようになっている。 ハウジング 本体 3 2の周壁 3 2 3のうち後述するローダハウジングに隣接する周壁にはゥェ ハ出し入れ用の出入り口 3 2 5が形成されている。
防振装置は、 空気バネ、 磁気軸受け等を有するアクティブ式のものでも、 或い はこれらを有するパッシブ式のもよい。 いずれも公知の構造のものでよいので、 それ自体の構造及び機能の説明は省略する。 ワーキングチャンバ 3 1は公知の構 造の真空装置 (図示せず) により真空雰囲気に保たれるようになつている。 台フ レーム 3 6の下には装置全体の動作を制御する制御装置 2が配置されている。 図 1、 図 2及び図 4において、 ローダハウジング 4 0は、 第 1のローデイング チャンバ 4 1と第 2の口一ディングチャンバ 4 2とを画成するハウジング本体 4 3を備えている。 ハウジング本体 4 3は底壁 4 3 1と、 頂壁 4 3 2と、 四周を囲 む周壁 4 3 3と、 第 1のローデイングチャンバ 4 1と第 2のローデイングチャン バ 4 2とを仕切る仕切壁 4 3 4とを有していて、 両口一ディングチャンバを外部 から隔離できるようになつている。 仕切壁 4 3 4には両口一ディングチャンバ間 でウェハのやり取りを行うための開口即ち出入り口 4 3 5が形成されている。 ま た、 周壁 4 3 3のミニエンバイロメント装置及び主ハウジングに隣接した部分に は出入り口 4 3 6及び 4 3 7が形成されている。
このローダハウジング 4 0のハウジング本体 4 3は、 ハウジング支持装置 3 3 のフレーム構造体 3 3 1上に載置されてそれによつて支持されている。 従って、 このローダハウジング 4 0にも床の振動が伝達されないようになっている。 ロー ダハウジング 4 0の出入り口 4 3 6とミニエンバイロメント装置のハウジング 2 2の出入り口 2 2 6とは整合されていて、 そこにはミニエンバイロメント空間 2 1と第 1のローディングチャンバ 4 1との連通を選択的に阻止するシャツ夕装置 2 7が設けられている。 シャツ夕装置 2 7は、 出入り口 2 2 6及び 4 3 6の周囲 を囲んで側壁 4 3 3と密に接触して固定されたシール材 2 7 1、 シール材 2 7 1 と協働して出入り口を介しての空気の流通を阻止する扉 2 7 2と、 その扉を動か す駆動装置 2 7 3とを有している。
また、 ローダハウジング 4 0の出入り口 4 3 7とハウジング本体 3 2の出入り 口 3 2 5とは整合されていて、 そこには第 2のローディングチャンバ 4 2とヮー キンググチャンバ 3 1との連通を選択的に密封阻止するシャツ夕装置 4 5が設け られている。 シャツタ装置 4 5は、 出入り口 4 3 7及び 3 2 5の周囲を囲んで側 壁 4 3 3及び 3 2 3と密に接触してそれらに固定されたシール材 4 5 1、 シール 材 4 5 1と協働して出入り口を介しての空気の流通を阻止する扉 4 5 2と、 その 扉を動かす駆動装置 4 5 3とを有している。
更に、 仕切壁 4 3 4に形成された開口には、 扉 4 6 1によりそれを閉じて第 1 及び第 2のローデイングチャンバ間の連通を選択的に密封阻止するシャツ夕装置 4 6が設けられている。 これらのシャツ夕装置 2 7、 4 5及び 4 6は、 閉じ状態 にあるとき各チャンバを気密シールできるようになつている。 これらのシャッ夕 装置は公知のものでよいので、その構造及び動作の詳細な説明は省略する。なお、 ミニエンバイロメント装置 2 0のハウジング 2 2の支持方法とローダハウジング の支持方法が異なり、 ミニエンバイロメント装置を介して床からの振動がローダ ハウジング 4 0、 主ハウジング 3 0に伝達されるのを防止するために、 ハウジン グ 2 2とローダハウジング 4 0との間には出入り口の周囲を気密に囲むように防 振用のクッション材を配置しておけば良い。
第 1のローデイングチャンバ 4 1内には、 複数 (この実施形態では 2枚) のゥ ェハを上下に隔てて水平の状態で支持するウェハラック 4 7が配設されている。 ウェハラック 4 7は、 図 5の示されるように、 矩形の基板 4 7 1の四隅に互いに 隔てて直立状態で固定された支柱 4 7 2を備え、 各支柱 4 7 2にはそれぞれ 2段 の支持部 4 7 3及び 4 7 4が形成され、 その支持部の上にウェハ Wの周縁の載せ て保持するようになっている。 そして後述する第 1及び第 2の搬送ュニットのァ —ムの先端を隣接する支柱間からウェハに接近させてアームによりウェハを把持 するようになつている。
ローデイングチャンバ 4 1及び 4 2は、 図示しない真空ポンプを含む公知の構 造の真空排気装置 (図示せず) によって高真空状態 (真空度としては 1 0 _ 5〜1 0 一 6 P a ) に雰囲気制御され得るようになつている。 この場合、 第 1のローディ ングチャンバ 4 1を低真空チャンバとして低真空雰囲気に保ち、 第 2のローディ ングチャンバ 4 2を高真空チャンバとして高真空雰囲気に保ち、 ウェハの汚染防 止を効果的に行うこともできる。 このような構造を採用することによってローデ ィングチャンバ内に収容されていて次に欠陥検査されるウェハをワーキングチヤ ンバ内に遅滞なく搬送することができる。 このようなローデイングチャンバを採 用することによって、 後述するマルチビーム型電子装置原理と共に、 欠陥検査の スループットを向上させ、 更に保管状態が高真空状態であることを要求される電 子源周辺の真空度を可能な限り高真空度状態にすることができる。
第 1及び第 2のローデイングチャンバ 4 1及び 4 2は、 それぞれ真空排気配管 と不活性ガス (例えば乾燥純窒素) 用のベント配管 (それぞれ図示せず) が接続 されている。 これによつて、 各ローデイングチャンバ内の大気圧状態は不活性ガ ベン卜 (不活性ガスを注入して不活性ガス以外の酸素ガス等が表面に付着するの を防止する) によって達成される。 このような不活性ガスベントを行う装置自体 は公知の構造のものでよいので、 その詳細な説明は省略する。
電子線を使用する本発明の検査装置において、 後述する電子光学系の電子源と して使用される代表的な六硼化ランタン (L a B 6) 等は一度熱電子を放出する 程度まで高温状態に加熱された場合には、 酸素等に可能な限り接触させないこと がその寿命を縮めないために肝要であるが、 電子光学系が配置されているヮーキ ングチャンバにウェハを搬入する前段階で上記のような雰囲気制御を行うことに より、 より確実に実行できる。
ステージ装置 5 0は、 主ハウジング 3 0の底壁 3 0 1上に配置された固定テー ブル 5 1と、 固定テーブル上で Y方向 (図 1において紙面に垂直の方向) に移動 する Yテーブル 5 2と、 Yテーブル上で X方向 (図 1において左右方向) に移動 する Xテーブル 5 3と、 Xテーブル上で回転可能な回転テーブル 5 4と、 回転テ 一ブル 5 4上に配置されたホルダ 5 5とを備えている。 そのホルダ 5 5のウェハ 載置面 5 5 1上にウェハを解放可能に保持する。 ホルダは、 ウェハを機械的に或 いは静電チャック方式で解放可能に把持できる公知の構造のものでよい。
ステージ装置 5 0は、 サーポモータ、 エンコーダ及び各種のセンサ(図示せず) を用いて、 上記のような複数のテーブルを動作させることにより、 載置面 5 5 1 上でホルダに保持されたウェハを電子光学装置から照射される電子ビームに対し て X方向、 Y方向及び Z方向 (図 1において上下方向) に、 更にウェハの支持面 に鉛直な軸線の回り方向 (0方向) に高い精度で位置決めできるようになつてい る。 なお、 Z方向の位置決めは、 例えばホルダ上の載置面の位置を Z方向に微調 整可能にしておけばよい。 この場合、 載置面の基準位置を微細径レーザによる位 置測定装置 (干渉計の原理を使用したレーザ干渉測距装置) によって検知し、 そ の位置を図示しないフィードバック回路によつて制御したり、 それと共に或いは それに代えてウェハのノッチ或いはオリフラの位置を測定してウェハの電子ビー ムに対する平面位置、 回転位置を検知し、 回転テーブルを微小角度制御可能なス テツピンダモー夕などにより回転させて制御する。
ワーキングチヤンバ内での塵埃の発生を極力防止するために、 ステージ装置用 のサーポモー夕 5 2 1、 5 3 1及びエンコーダ 5 2 2、 5 3 2は、 主ハウジング 3 0の外側に配置されている。 なお、 ステージ装置 5 0は、 例えばステッパー等 で使用されている公知の構造のもので良いので、 その構造及び動作の詳細な説明 は省略する。 また、 上記レーザ干渉測距装置も公知の構造のものでよいので、 そ の構造、 動作の詳細な説明は省略する。
電子ビームに対するウェハの回転位置や、 X、 Y位置を予め後述する信号検出 系或いは画像処理系に入力することで得られる信号の基準化を図ることもできる。 更に、 このホルダに設けられたウェハチャック機構は、 ウェハをチャックするた めの電圧を静電チャックの電極に与えられるようになつていて、 ウェハの外周部 の 3点 (好ましくは周方向に等隔に隔てられた) を押さえて位置決めするように なっている。 ウェハチャック機構は、 二つの固定位置決めピンと、 一つの押圧式 クランクピンとを備えている。 クランプピンは、 自動チャック及び自動リリース を実現できるようになつており、 かつ電圧印加の導通箇所を構成している。
この実施形態では図 2で左右方向に移動するテーブルを Xテーブルとし、 上下 方向に移動するテーブルを Yテーブルとしたが、 同図で左右方向に移動するテー ブルを Yテーブルとし、上下方向に移動するテーブルを Xテーブルとしてもよい。 ローダー 6 0は、 ミニエンバイロメント装置 2 0のハウジング 2 2内に配置さ れたロポット式の第 1の搬送ュニット 6 1と、 第 2の口一ディングチャンバ 4 2 内に配置されたロポット式の第 2の搬送ュニット 6 3とを備えている。 第 1の搬 送ュニット 6 1は、駆動部 6 1 1に関して軸線 O i—〇 の回りで回転可能になつ ている多節のアーム 6 1 2を有している。 多節のアームとしては任意の構造のも のを使用できるが、 この実施形態では、 互いに回動可能に取り付けられた三つの 部分を有している。 第 1の搬送ユニット 6 1のアーム 6 1 2の一つの部分即ち最 も駆動部 6 1 1側の第 1の部分は、 駆動部 6 1 1内に設けられた公知の構造の駆 動機構 (図示せず) により回転可能な軸 6 1 3に取り付けられている。
アーム 6 1 2は、 軸 6 1 3により軸線〇 一 の回りで回動できると共に、 部 分間の相対回転により全体として軸線 0 一 に関して半径方向に伸縮可能に なっている。 アーム 6 1 2の軸 6 1 3から最も離れた第 3の部分の先端には公知 の構造の機械式チャック又は静電チャック等のウェハを把持する把持装置 6 1 6 が設けられている。 駆動部 6 1 1は、 公知の構造の昇降機構 6 1 5により上下方 向に移動可能になっている。
この第 1の搬送ユニット 6 1は、 アーム 6 1 2がカセットホルダに保持された 二つのカセット cの内いずれか一方の方向 M 1又は M 2に向かってアームが伸び、 カセット c内に収容されたウェハを 1枚アームの上に載せ或いはアームの先端に 取り付けたチャック (図示せず) により把持して取り出す。 その後アームが縮み (図 2に示すような状態)、アームがブリアライナー 2 5の方向 M 3に向かって伸 長できる位置まで回転してその位置で停止する。 するとアームが再び伸びてァ一 ムに保持されたウェハをブリアライナ一 2 5に載せる。 ブリアライナーから前記 と逆にしてウェハを受け取った後はアームは更に回転し第 2のローディングチヤ ンバ 4 1に向かって伸長できる位置 (向き M 3 ) で停止し、 第 2のローデイング チャンバ 4 1内のウェハ受け 4 7にウェハを受け渡す。
機械的にウェハを把持する場合は、ウェハの周縁部(周縁から約 5 mmの範囲) 又は裏面を把持する。 これはウェハには周縁部を除いて全面にデバイス (回路配 線) が形成されており、 この部分を把持するとデバイスの破壊、 欠陥の発生を生 じさせるからである。
第 2の搬送ュニット 6 3も第 1の搬送ュニッ卜と構造が基本的に同じであり、 ウェハの搬送をウェハラック 4 7とステージ装置の載置面上との間で行う点での み相違するだけであるから、 詳細な説明は省略する。
上記ローダー 6. 0では、 第 1及び第 2の搬送ユニット 6 1及び 6 3は、 カセッ トホルダに保持されたカセットからワーキングチャンバ 3 1内に配置されたステ ージ装置 5 0上への及びその逆のウェハの搬送をほぼ水平状態に保ったままで行 レ 搬送ユニットのアームが上下動するのは、 単に、 ウェハのカセットからの取 り出し及びそれへの挿入、 ウェハのウェハラックへの載置及びそこからの取り出 し及びウェハのステージ装置への載置及びそこからの取り出しのときだけである。 従って、 大型のウェハ、 例えば直径 3 0 c mのウェハの移動もスムースに行うこ とができる。
次にカセットホルダに支持されたカセット cからワーキングチャンバ 3 1内に 配置されたステージ装置 5 0までへのウェハの搬送を順を追って説明する。
カセットホルダ 1 0は、 前述のように人手によりカセットをセットする場合に はそれに適した構造のものが、 また自動的にカセットをセットする場合にはそれ に適した構造のものが使用される。 この実施形態において、 カセット cがカセッ トホルダ 1 0の昇降テーブル 1 1の上にセットされると、 昇降テーブル 1 1は昇 降機構 1 2によって降下されカセット cが出入り口 2 2 5に整合される。
カセットが出入り口 2 2 5に整合されると、 カセットに設けられたカバー (図 示せず) が開きまたカセット cとミニエンバイロメントの出入り口 2 2 5との間 には筒状の覆いが配置されてカセット内及びミニエンバイロメント空間内を外部 から遮断する。 これらの構造は公知のものであるから、 その構造及び動作の詳細 な説明は省略する。 なお、 ミニエンバイロメント装置 2 0側に出入り口 2 2 5を 開閉するシャツ夕装置が設けられている場合にはそのシャツ夕装置が動作して出 入り口 2 2 5を開く。
一方第 1の搬送ュニット 6 1のアーム 6 1 2は方向 M l又は M 2のいずれかに 向いた状態 (この説明では M lの方向) で停止しており、 出入り口 2 2 5が開く とアームが伸びて先端でカセット内に収容されているウェハのうち 1枚を受け取 る。 なお、 アームと、 カセットから取り出されるべきウェハとの上下方向の位置 調整は、 この実施形態では第 1の搬送ユニット 6 1の駆動部 6 1 1及びアーム 6 1 2の上下移動で行うが、 カセットホルダの昇降テーブルの上下動行っても或い はその両者で行ってもよい。
アーム 6 1 2によるウェハの受け取りが完了すると、 アームは縮み、 シャツ夕 装置を動作して出入り口を閉じ(シャツ夕装置がある場合)、次にアーム 6 1 2は 軸線 O i— 0 iの回りで回動して方向 M 3に向けて伸長できる状態になる。すると、 アームは伸びて先端に載せられ或いはチャックで把持されたウェハをブリアライ ナー 2 5の上 載せ、 そのブリアライナーによってウェハの回転方向の向き (ゥ ェハ平面に垂直な中心軸線の回りの向き) を所定の範囲内に位置決めする。 位置 決めが完了すると搬送ュニッ卜 6 1はアームの先端にブリアライナー 2 5からゥ ェハを受け取つたのちアームを縮ませ、 方向 M 4に向けてアームを伸長できる姿 勢になる。 するとシャツ夕装置 2 7の扉 2 7 2が動いて出入り口 2 2 3及び 2 3 6を開き、 アーム 6 1 2が伸びてウェハを第 1のローデイングチャンバ 4 1内の ウェハラック 4 7の上段側又は下段側に載せる。 なお、 前記のようにシャツ夕装 置 2 7が開いてウェハラック 4 7にウェハが受け渡される前に、 仕切壁 4 3 4に 形成された開口 4 3 5はシャツ夕装置 4 6の扉 4 6 1により気密状態で閉じられ ている。
上記第 1の搬送ュニットによるウェハの搬送過程において、 ミニエンバイロメ ント装置のハウジングの上に設けられた気体供給ュニッ卜 2 3 1からは清浄空気 が層流状に流れ (ダウンフローとして)、搬送途中で塵埃がウェハの上面に付着す るのを防止する。 搬送ユニット周辺の空気の一部 (この実施形態では供給ュニッ 卜から供給される空気の約 2 0 %で主に汚れた空気) は排出装置 2 4の吸入ダク ト 2 4 1から吸引されてハウジング外に排出される。 残りの空気はハウジングの 底部に設けられた回収ダクト 2 3 2を介して回収され再び気体供給ュニット 2 3 1に戻される。
ローダハウジング 4 0の第 1のローディングチャンバ 4 1内のウェハラック 4 7内に第 1の搬送ユニット 6 1によりウェハが載せられると、 シャツ夕装置 2 7 が閉じて、 ローデイングチャンバ 4 1内を密閉する。 すると、 第 1のローデイン グチャンバ 4 1内には不活性ガスが充填されて空気が追い出された後、 その不活 性ガスも排出されてその口一ディングチャンバ 4 1内は真空雰囲気にされる。 こ の第 1のローディングチャンバの真空雰囲気は低真空度でよい。 ローディングチ ヤンバ 4 1内の真空度がある程度得られると、 シャツ夕装置 4 6が動作して扉 4 6 1で密閉していた出入り口 4 3 4を開き、 第 2の搬送ュニット 6 3のアーム 6 3 2が伸びて先端の把持装置でウェハ受け 4 7から 1枚のウェハを受け取る (先 端の上に載せて或いは先端に取り付けられたチャックで把持して)。ウェハの受け 取りが完了するとアームが縮み、 シャツ夕装置 4 6が再び動作して扉 4 6 1で出 入り口 4 3 5を閉じる。
シャツ夕装置 4 6が開く前にアーム 6 3 2は予めウェハラック 4 7の方向 N 1 に向けて伸長できる姿勢になる。 また、 前記のようにシャツ夕装置 4 6が開く前 にシャツ夕装置 4 5の扉 4 5 2で出入り口 4 3 7、 3 2 5を閉じていて、 第 2の ローデイングチャンバ 4 2内とワーキングチャンバ 3 1内との連通を気密状態で 阻止しており、 第 2のローディングチャンバ 4 2内は真空排気される。
シャツ夕装置 4 6が出入り口 4 3 5を閉じると、 第 2のローディングチャンバ 内は再度真空排気され、 第 1の口一ディングチャンバ内よりも高真空度で真空に される。 その間に、 第 2の搬送ユニット 6 1のアームはワーキングチャンバ 3 1 内のステージ装置 5 0の方向に向いて伸長できる位置に回転される。 一方ヮーキ ングチャンバ 3 1内のステージ装置では、 Yテーブル 5 2が、 Xテーブル 5 3の 中心線 0。一 0。が第 2の搬送ュニット 6 3の回動軸線〇2— 02を通る X軸線 X 1 とほぼ一致する位置まで、 図 2で上方に移動し、 また、 Xテーブル 5 3は 図 2で最も左側の位置に接近する位置まで移動し、 この状態で待機している。 第 2のローディングチャンバがワーキングチャンバの真空状態と略同じになると、 シャツ夕装置 4 5の扉 4 5 2が動いて出入り口 4 3 7、 3 2 5を開き、 アームが 伸びてウェハを保持したアームの先端がワーキングチャンバ 3 1内のステージ装 置に接近する。 そしてステージ装置 5 0の載置面 5 5 1上にウェハを載置する。 ウェハの載置が完了するとアームが縮み、 シャツ夕装置 4 5が出入り口 4 3 7、 3 2 5を閉じる。
以上は、 カセッ卜 c内のウェハをステージ装置上に搬送するまでの動作に付い て説明したが、 ステージ装置に載せられて処理が完了したウェハをステージ装置 からカセット c内に戻すには前述と逆の動作を行って戻す。 また、 ウェハラック 4 7に複数のウェハを載置しておくため、 第 2の搬送ュニッ卜でウェハラックと ステージ装置との間でウェハの搬送を行う間に、 第 1の搬送ュニッ卜でカセット とウェハラックとの間でウェハの搬送を行うことができ、 検査処理を効率良く行 うことができる。
具体的には、 第 2の搬送ユニットのウェハラック 4 7に、 処理済みのウェハ A と未処理のウェハ Bがある場合、 まずステージ装置 5 0へ未処理のウェハ Bを移 動し、 処理を開始する。 この処理中に、 処理済みのウェハ Aをアームによりステ —ジ装置 5 0からウェハラック 4 7へ移動し、 未処理のウェハ Cを同じくアーム によりウェハラック 4 7から抜き出し、 ブリアライナで位置決めした後、 ローデ イングチャンバ 4 1のウェハラック 4 7へ移動する。 このようにすることで、 ゥ ェハラック 4 7の中には、 ウェハ Bを処理中に、 処理済みのウェハ Aが未処理の ウェハ Cに置き変えられる。
また検査や評価を行うこのような装置の利用の仕方によっては、 ステージ装置 5 0を複数台並列に置き、 それぞれの装置に 1つのウェハラック 4 7からウェハ を移動することにより複数枚のウェハを同様に処理することもできる。
図 6において、 主ハウジングの支持方法の変形例がで示されている。 図 6 [A] に示された変形例では、 ハウジング支持装置 3 3 aを厚肉で矩形の鋼板 3 3 1 a で構成し、 その鋼板の上にハウジング本体 3 2 aが載せられている。 従って、 ハ ウジング本体 3 2 aの底壁 3 2 1 aは、 前記実施形態の底壁に比較して薄い構造 になっている。 図 6 [ B ] に示された変形例では、 ハウジング支持装置 3 3 bの フレーム構造体 3 3 6 bによりハウジング本体 3 2 b及びローダハウジング 4 0 bを吊り下げて状態で支持するようになっている。 フレーム構造体 3 3 6 bに固 定された複数の縦フレーム 3 3 7 bの下端は、 ハウジング本体 3 2 bの底壁 3 2 1 bの四隅に固定され、 その底壁により周壁及び頂壁を支持するようになつ.てい る。 そして防振装置 3 7 bは、 フレーム構造体 3 3 6 bと台フレーム 3 6 との 間に配置されている。
また、 ローダハウジング 4 0もフレーム構造体 3 3 6に固定された吊り下げ部 材 4 9 bによって吊り下げられている。 ハウジング本体 3 2 bのこの図 6 [ B ] に示された変形例では、 吊り下げ式に支えるので主ハウジング及びその中に設け られた各種機器全体の低重心化が可能である。 上記変形例を含めた主ハウジング 及びローダハウジングの支持方法では主ハウジング及びローダハウジングに床か らの振動が伝わらないようになっている。
図示しない別の変形例では、 主ハウジングのハウジング本外のみがハウジング 支持装置よつて下から支えられ、 ローダハウジングは隣接するミニエンバイロメ ント装置と同じ方法で床上に配置され得る。 また、 図示しない更に別の変形例で は、 主ハウジングのハウジング本体のみがフレーム構造体に吊り下げ式で支持さ れ、 ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に 配置され得る。
電子光学装置 7 0 (実施例 1、 図 1 ) は、 ハウジング本体 3 2に固定された鏡 筒 7 1を備え、 その中には、 図 7及び図 8に概略図示するような、 一次電子光学 系 (以下単に一次光学系) 7 2と、 二次電子光学系 (以下単に二次光学系) 7 4 とを備える電子光学系と、 検出系 7 6とが設けられている。 一次光学系 7 2は、 電子線を検査対象であるウェハ Wの表面に照射する光学系で、 電子線を放出する 電子銃 7 2 1と、 電子銃 7 2 1から放出された一次電子線を集束する静電レンズ 即ちコンデンサレンズ 7 2 2と、 コンデンサレンズ 7 2 2の下方に配置されかつ 複数の開口が形成されていて一次電子線を複数の一次電子ビーム即ちマルチビー ムに形成するマルチ開口板 7 2 3と、 一次電子ビームを縮小する静電レンズであ る縮小レンズ 7 2 4と、 ウィーンフィルタ即ち E X B分離器 7 2 5と、 対物レン ズ 7 2 6と、 を備え、 それらは、 図 7に示されるように電子銃 7 2 1を最上部に して順に、 しかも電子銃から放出される一次電子線の光軸が検査対象 Sの表面に 鉛直になるように配置されている。
縮小レンズ 7 2 4及び対物レンズ 7 2 6の像面湾曲収差の影響をなくすため、 マルチ開口板 7 2 3に形成される複数 (この実施形態では 9個) の開口 7 2 3 a は、 図 8に示されるように光軸を中心とした円の円周上に形成され、 しかもその 開口の X軸上への投影像の X方向の間隔 L Xが同じになるように配置されている。 二次光学系 7 4は、 E X B型偏向器 7 2 4により一次光学系から分離された二 次電子を通す 2段の静電レンズである拡大レンズ 7 4 1及び 7 4 2と、 マルチ開 口検出板 7 4 3を備えている。 マルチ開口検出板 7 4 3に形成される開口 7 4 3 aは、 一次光学系のマルチ開口板 7 2 3に形成されている開口 7 2 3 aと一対一 で対応するようになっている。
検出系 7 6は、 二次光学系 7 4のマルチ開口検出板 7 4 3の各開口 7 4 3 aに 対応してそれに近接して配置された複数 (この実施形態では 9個) 検出器 7 6 1 と、 各検出器 7 6 1に A/D変換器 7 6 2を介して電気的に接続された画像処理 部 7 6 3とを備えている。
次に、 上記構成の電子光学装置 (実施例 2、 図 7 ) の動作に付いて説明する。 電子銃 7 2 1から放出された一次電子線は、 一次光学系 7 2のコンデンサレンズ 7 2 2によって集束されて点 P 1においてクロスオーバを形成する。 一方、 コン デンサレンズ 7 2 2によって集束された一次電子線は、 マルチ開口板の複数の開 口 7 2 3 aを通して複数の一次電子ビームが形成され、 縮小レンズ 7 2 4によつ て縮小されて位置 P 2に投影される。 位置 P 2で合焦した後、 更に対物レンズ 7 2 6によってウェハ Wの表面上に合焦される。 一方、 一次電子線ビームは縮小レ ンズ 7 2 4と対物レンズ 7 2 6との間に配置された偏向器 7 2 7によってウェハ Wの表面上を走査するように偏向される。
合焦された複数 (この実施形態では 9本) の一次電子ビームによって試料 Sは 複数の点が照射され、照射されたこれらの複数の点からは二次電子が放出される。 この二次電子は、 対物レンズ 7 2 6の電界に引かれて細く集束され、 E X B分離 器 7 2 5で偏向されて二次光学系 7 4に投入される。 二次電子による像は偏向器 7 2 5に関して位置 P 2より近い位置 P 3において焦点を結ぶ。 これは、 一次電 子ビームがウェハ面上で 5 0 0 e Vのエネルギを有しているのに対して、 二次電 子が数 e Vのエネルギしか有していないためである。
位置 P 3で合焦された二次電子の像は 2段の拡大レンズ 7 4 1 , 7 4 2でマル チ開口検出板 7 4 3の対応する開口 7 4 3 aに合焦され、 その像を各開口 7 4 3 aに対応して配置された検出器 7 6 1で検出する。 検出器 7 6 1は、 検出した電 子線を、 その強度を表す電気信号に変換する。 このようにして変換された電気信 号は、 各検出器 7 6 1から出力されてそれぞれ AZD変換器 7 6 2にデジタル信 号に変換された後、 画像処理部 7 6 3に入力される。 画像処理部 7 6 3は入力さ れたデジタル信号を画像データに変換する。 画像処理部 7 6 3には、 一次電子線 を偏向させるための走査信号が供給されるようになっているので、 画像処理部は ウェハの面を表す画像を表示することになる。 この画像を設定器 (図示せず) に 予め設定された標準パターンと、 比較器 (図示せず) において比較することによ つてウェハ Wの被検出 (評価) パターンの良否を検出する。 更に、 レジストレ一 シヨンによウェハ Wの被測定パターンを一次光学系の光軸の近くへ移動させ、 ラ インスキャンする事によって線幅評価信号を取り出し、 これを適宜校正すること によって、 ウェハの表面に形成されたパターンの線幅を測定することができる。 なお、 一次光学系のマルチ開口板 7 2 3の開口を通過した一次電子ビームをゥ ェハ Wの表面に合焦させ、 ウェハから放出される二次電子を検出器 7 6 1に結像 させる際に、 一次光学系で生じる歪み、 軸上色収差及び視野非点という 3つの収 差による影響を最小にするよう特に配慮する必要がある。
また、 複数の一次電子ビーム間の間隔と、 二次光学系との関係については、 一 次電子ビーム間の間隔を二次光学系の収差よりも大きい距離だけ離せば複数のビ —ム間のクロストークを無くすことができる。
プレチャージユニット 8 1は、 図 1に示されるように、 ワーキングチャンバ 3 1内で電子光学装置 7 0の鏡筒 7 1に隣接して配設されている。 本検査装置では 検査対象である基板即ちウェハに電子線を走査して照射することによりウェハ表 面に形成されたデバイスパターン等を検査する形式の装置であるから、 電子線の 照射により生じる =次電子等の情報をウェハ表面の情報とするが、 ウェハ材料、 照射電子のエネルギ等の条件によってウェハ表面が帯電 (チャージアップ) する ことがある。 更に、 ウェハ表面でも強く帯電する箇所、 弱い帯電箇所が生じる可 能性がある。 ウェハ表面の帯電量にむらがあると二次電子情報もむらを生じ、 正 確な情報を得ることができない。 そこで、 本実施形態では、 このむらを防止する ために、 荷電粒子照射部 8 1 1を有するプレチャージユニット 8 1が設けられて いる。 検査するウェハの所定の箇所に検査電子を照射する前に、 帯電むらをなく すためにこのプレチャージュニッ卜の荷電粒子:照射部 8 1 1から荷電粒子を照射 して帯電のむらを無くす。 このウェハ表面のチャージアップは予めウェハ面の画 像を形成し、 その画像を評価することで検出し、 その検出に基づいてプレチヤ一 ジュニット 8 1を動作させる。 また、 このプレチャージュニットでは一次電子線 をぼかして照射してもよい。 図 9において、 電位印加機構 8 3は、 ウェハから放出される二次電子情報 (二 次電子発生率) が、 ウェハの電位に依存すると言う事実に基づいて、 ウェハを載 置するステージの設置台に士数 Vの電位を印加することにより二次電子の発生を 制御するものである。 また、 この電位印加機構は、 照射電子が当初有しているェ ネルギーを減速し、 ウェハに 1 0 0〜5 0 0 e V程度の照射電子エネルギーとす るための用途も果たす。
電位印加機構 8 3は、 図 9に示されるように、 ステージ装置 5 0の載置面 5 4 1と電気的に接続された電圧印加装置 8 3 1と、 チャージアップ調査及び電圧決 定システム (以下調査及び決定システム) 8 3 2とを備えている。 調査及び決定 システム 8 3 2は、 電子光学装置 7 0の検出系 7 6の画像形成部 7 6 3に電気的 に接続されたモニター 8 3 3と、 モニター 8 3 3に接続されたオペレータ 8 3 4 と、 オペレータ 8 3 4に接続された C P U 8 3 5とを備えている。 C P U 8 3 5 は、 前記電圧印加装置 8 3 1並びに偏向器 7 2 7に信号を供給するようになって いる。 上記電位印加機構は、 検査対象であるウェハが帯電し難い電位を探し、 そ の電位を印加するように設計されている。
検査試料の電気的欠陥を検査する方法としては、 本来電気的に絶縁されている 部分とその部分が通電状態にある場合では、 その部分の電圧が異なることを利用 することもできる。 それは、'まず、 試料に事前に電荷を付与することで、 本来電 気的に絶縁されている部分の電圧と、本来電気的に絶縁されている部分であるが、 何らかの原因で通電状態にある部分の電圧とに電位差を生じさせ、 その後、 本発 明のビームを照射することで、 電位差があるデータを取得し、 この取得データを 解析して、 通電状態となっていることを検出する。
図 1 0において、 電子ビームキャリブレーション機構 8 5は、 前記回転テープ ル上でウェハの載置面 5 4 1の側部の複数箇所に設置された、 ビーム電流測定用 のそれぞれ複数のファラデーカップ 8 5 1及び 8 5 2を備えている。 ファラデー カップ 8 5 1は細いビーム用 (約 φ 2 ζ πι) で、 ファラデーカップ 8 5 2太いビ ーム用 (約 φ 3 0 m) である。 細いビーム用のファラデーカップ 8 5 1では回 転テーブルをステップ送りすることで、 ビームプロフィルを測定し。 太いビーム 用のファラデーカップ 8 5 2ではビームの総電流量を計測する。 ファラデーカツ プ 8 5 1及び 8 5 2は、 上表面が載置面 5 4 1上に載せられたウェハ Wの上表面 と同じレベルになるように配置されている。 このようにして電子銃から放出され る一次電子線を常時監視する。 これは、 電子銃が常時一定の電子線を放出できる わけでなく、 使用しているうちにその放出量が変化するためである。
ァライメント制御装置 8 7は、 ステージ装置 5 0を用いてウェハ Wを電子光学 装置 7 0に対して位置決めさせる装置であって、 ウェハを光学顕微鏡 8 7 1を用 いた広視野観察による概略合わせ(電子光学系によるよりも倍率が低い測定)、電 子光学装置 7 0の電子光学系を用いた高倍率合わせ、 焦点調整、 検査領域設定、 パターンァライメント等の制御を行うようになっている。 このように光学系を用 いて低倍率でウェハを検査するのは、 ウェハのパターンの検查を自動的に行うた めであり、 電子線を用いた狭視野でウェハのパターンを観察してウェハァライメ ントを行う時には電子線でァライメントマークを容易に検出することが必要であ るからである。
光学顕微鏡 8 7 1は、 ハウジングに設けられ (ハウジング内で移動可能な設け られていてもよい) ており、 光学顕微鏡を動作させるための光源も図示しないが ハウジング内に設けられている。 また高倍率の観察を行う電子光学系は電子光学 装置 7 0の電子光学系 (一次光学系 7 2及び二次光学系 7 4 ) を共用するもので ある。 その構成を概略図示すれば、 図 1 1に示されるようになる。 ウェハ上の被 観察点を低倍率で観察するには、 ステージ装置 5 0の Xステージ 5 3を X方向に 動かすことによってウェハの被観察点を光学顕微鏡の視野内に移動させる。 光学 顕微鏡 8 7 1で広視野でウェハを視認してそのウェハ上の観察すべき位置を C C D 8 7 2を介してモニタ 8 7 3に表示させ、 観察位置をおおよそ決定する。 この 場合光学顕微鏡の倍率を低倍率から高倍率に変化させていってもよい。'
次に、 ステージ装置 5 0を電子光学装置 7 0の光軸と光学顕微鏡 8 7 1の光軸 との間隔 δ Xに相当する距離だけ移動させて光学顕微鏡で予め決めたウェハ上の 被観察点を電子光学装置の視野位置に移動させる。 この場合、 電子光学装置の軸 線 0 3— 0 3と光学顕微鏡 8 7 1の光軸 04— 04との間の距離 δ X (この実施形態 では X軸線に沿った方向にのみ両者は位置ずれしているものとするが、 Υ軸方向 に位置ずれしていてもよい) は予めわかっているのでその値 δ Xだけ移動させれ ば被観察点を視認位置に移動させることができる。 電子光学装置の視認位置への 被観察点の移動が完了した後、 電子光学系により高倍率で被観察点を S E M撮像 して画像を記憶したり、 モニタ 7 6 5に表示させる。
このようにして電子光学系により高倍率でウェハの観察点をモニタに表示させ た後、 公知の方法によりステージ装置 5 0の回転テーブル 5 4の回転中心に関す るウェハの回転方向の位置ずれ、 即ち電子光学系の光軸 O 3— O 3に対するゥェハ の回転方向のずれ δ Θを検出し、 また電子光学装置に関する所定のパターの X軸 及び Υ軸方向の位置ずれを検出する。 そしてその検出値並びに別途得られたゥェ ハに設けられた検查マークのデータ或いはウェハのパターンの形状等に関するデ 一夕に基づいてステージ装置 5 0の動作を制御してウェハのァライメントを行う。 次に図 1 2及び図 1 3を参照して本発明による半導体デバイスの製造方法の実 施例を説明する。 図 1 2は、 本発明による半導体デバイスの製造方法の一実施例 を示すフローチャートである。 この実施例の製造工程は以下の主工程を含んでい る。
( 1 )ウェハを製造するウェハ製造工程(又はウェハを準備するウェハ準備工程)
( 2 ) 露光に使用するマスクを製造するマスク製造工程 (又はマスクを準備する マスク準備工程)
( 3 ) ウェハに必要な加工処理を行うウェハプロセッシング工程
( 4 ) ウェハ上に形成されたチップを 1個ずつ切り出し、 動作可能にならしめる チップ組立工程
( 5 ) できたチップを検査するチップ検査工程
なお、 上記のそれぞれの主工程は更に幾つかのサブ工程からなっている。
これらの主工程中の中で、 半導体デバイスの性能に決定的な影響を及ぼすのが ( 3 ) のウェハプロセッシング工程である。 この工程では、 設計された回路パ夕 ーンをウェハ上に順次積層し、 メモリや M P Uとして動作するチップを多数形成 する。 このウェハプロセッシング工程は以下の各工程を含んでいる。
(Α) 絶縁層となる誘電体薄膜や配線部、 或いは電極部を形成する金属薄膜等を 形成する薄膜形成工程 (C V Dやスパッタリング等を用いる)
( Β ) この薄膜層やウェハ基板を酸化する酸化工程 ( C ) 薄膜層やウェハ基板等を選択的に加工するためにマスク (レチクル) を用 いてレジストパターンを形成するリソグラフィー工程
(D ) レジストパターンに従って薄膜層や基板を加工するエッチング工程 (例え ばドライエッチング技術を用いる)
( E ) イオン ·不純物注入拡散工程
( F ) レジス卜剥離工程
( G) 加工されたウェハを検査する工程
なお、 ウェハプロセッシング工程は必要な層数だけ繰り返し行い、 設計通り動作 する半導体デバイスを製造する。
図 1 3は、 図 1 2のウェハプロセッシング工程の中核をなすリソグラフィーェ 程を示すフローチヤ一トである。このリソグラフィー工程は以下の各工程を含む。
( a ) 前段の工程で回路パターンが形成されたウェハ上にレジストをコートする レジスト塗布工程
( b ) レジストを露光する工程
( c ) 露光されたレジストを現像してレジストのパターンを得る現像工程
( d ) 現像されたレジストパターンを安定化するためのァニール工程
上記の半導体デバイス製造工程、 ウェハプロセッシング工程、 リソグラフィー 工程については、 周知のものでありこれ以上の説明を要しないであろう。
上記(G) の検査工程に本発明に係る欠陥検査方法、 欠陥検査装置を用いると、 微細なパターンを有する半導体デバイスでも、スループッ卜良く検査できるので、 全数検査も可能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能と成 る。
本発明によれば、 次のような効果を奏することが可能である。
(ィ) 複数の電子線即ちマルチビームを用いた検査装置の各構成機器を機能的に 組み合わせることができたため、 高いスループットで検查対象を処理することが できる。
(口) エンバイロメント空間内に清浄度を観察するセンサを設けることによりそ の空間内の塵埃を監視しながら検査対象の検査を行うことができる。
(ハ) プレチャージユニットを設けているので、 絶縁物でできたウェハも帯電に よる影響を受けがたい。
図 14 Aは、 本発明の実施例 3の電子線装置 1000の光学系の概略を示す図 である。 マルチェミッタ 1001、 1002、 1003から放出された 1次電子 線は、 コンデンサレンズ 1004で像面 1005に縮小投影され、 更にレンズ 1 006、 対物レンズ 1008で縮小され、 試料面 10 10に縮小投影される。 マ ルチェミツ夕は、 図 14 Aでは 1列のみ示したが、 図 17 Aに示すように複数列 設けられる。 図 1 7 Aは、 3 X 3のェミッタであり、 図 17 Bは図 1 7 Aの線 1 .7 B— 17 Bにおける断面図である。 図 17 A及び 17 Bにおいて、 1021は S i基板、 1022は Moェミッタ、 1023は Au引出し電極、 1024は3 i 3N4絶縁膜である。 ェミッタの数は適宜選択できる。 レンズは、 2〜10mm 直径の開口を持つ 2枚〜 3枚の平面電極を光軸方向に 2〜 10 mm間隔で配置し、 各電極に異なる電圧を与えたもので、 凸レンズ作用を示す。
マルチェミッタ 1001、 1002、 1003から放出された 1次電子線によ つて照射された試料面 1010から放出された 2次電子は、 試料面 1 010と対 物レンズ 1008間に印加された加速電界によって加速され、 大きい放出角で放 出された 2次電子も対物レンズ 1008に入射するまでに細く絞られ、 更に開口 絞り 1 007を通過し、 レンズ 1006で 1次ビームと同じ像面 1005に結像 する。
像面 1005位置には、 EXB分離器 1009が設けられ、 レンズ 1006を 通過した 2次電子は、 1次光学系から分離される。 £ズ:6分離器1009は、 試 料面 1 010の法線 (紙面の上方向) に垂直な平面内において、 電界と磁界とを 直行させた構造となっており、 電界、 磁界、 1次電子エネルギーの関係が、 1次 電子が直進するように設定されている。
分離された 2次電子は、 レンズ 101 1、 1 012で光学的に拡大され、 検出 面 10 13に複数の像を形成する。 検出面 101 3には、 マルチエミッ夕 1 00 1、 1 002、 1003からの 1次電子線に対応する検出器 1014、 1015、 101 6が設けられ、 それぞれの電子線によって照射された試料面から放出され た 2次電子を検出する。 なお、 マルチェミッタ 1001、 1002、 1003は、 1次光学系の像面湾曲を補正するため、 Z軸方向に少しずつ位置をずらして配置 される。即ち、光軸上のエミッ夕 1001は、最も試料から遠い位置に設けられ、 光軸から離れたエミッタ 1 002は、 像面湾曲の値だけエミッ夕 1001の位置 より試料側に、 更に光軸から離れたエミッ夕 1003は、 更に試料に近い位置に ずらされる。
試料の全面を照射するため、 マルチェミツ夕からの 1次電子線は、 静電偏向器 10 17によって走査される。 また、 1次電子線の走査に連動して、 2次光学系 に設けた静電偏向器 10 18も走査され、 2次電子が走査位置によらず常に所定 の検出器 1014、 1015、 1 016に入射するように制御される。 即ち、 ェ ミツ夕 1001、 1002、 1003からの 1次電子線による 2次電子は、 それ ぞれ検出器 1014、 1015、 1016に入射するように制御される。 検出器 等は、 20 kV程度の電圧が印加された P I Nダイォードの前面に検出器の数の 孔が設けられた曲面上の電極であり、 この電極には 1 kV程度の電圧が印加され る。 孔から漏れる 20 kVの電圧による電界の凸レンズ作用によって、 孔の近傍 に来た 2次電子はすべて孔を通り、 検出器に入る。 曲面の形状は、 2次光学系の 像面湾曲を補正する形状としている。
次に、 複数の 1次電子線の照射位置間隔と、 2次光学系との関係について、 説 明する。 図 15は、 2次光学系と開口角を示した図である。 図 15に示すように、 受け入れ角度 α 1内の 2次電子が、 対物レンズ 1008、 絞り 1007、 レンズ 1006を経て、 像面 1005に結像されるものとする。 このとき、 像面 1 00 5での開口半角は、 aiであり、 対物レンズ 1008から見た見掛けの角度ひ0 と Qiiは、 2次光学系の拡大倍率を Mとすると、 αί/α;0= 1 ZMとなる。 また、 角度《0と αΐは、 対物レンズ 1008でのビームポテンシャルを V8、 2次電子 の初期エネルギーを Viniとすると、 (αΙΖαΟ) 二 V8ノ Viniとなる。
試料面 1010での収差と開口半角ひ iとの関係を図 16に示す。 図 16にお いて、 は、 球面収差、 δ comaは、 コマ収差、 δ Cは、 色収差であり、 δ total は、 それらの合計である。
今、 20 imの収差を許容すると、 開口半角ひ iは、 5. 3mrad以下にする必要 がある。 また、 検出すべき 2次電子の初期エネルギー Viniは、 0. l eV〜l 0 e Vを考えれば十分であり、 拡大倍率 Mを 5、 対物レンズ 1 008でのビーム ポテンシャル V8を 20 kVとすると、 α1= 1 185mrad= 67. 9° となる。 受け入れ角度 0 ° から 60 ° までで 90%以上の 2次電子を取り込める (例え ば、 米国特許第 5, 412, 2 10号明細書 F i g. 6参照) ので、 2次光学系 の開口半角 i即ち分解能を 5. 3 mrad程度とし、検出器の寸法を試料面換算で 2 0 zmの 4倍程度とすれば、 90 %以上の 2次電子をクロストークなしに集める ことができる。 また、 マルチェミッタの間隔も 100 m程度とすれば、 ェミツ 夕間のクロストークも問題とならなレ^ 2次電子を 90 %以上集める必要がなく、 50%以上集めれば SZN比を十分取れる場合は、 45° より小さい角度で放出 された 2次電子を検出器に集めるようにすればよい。 何故なら、 2次電子の収率 ?7は、 次のように表されるからである。
? = S 0 45° s i n 0 c o s 0 d 0/ 5 o 9O° s i n ^ c o s 0 d 0 = O. 5 このようにして、 1次電子線は、 互いに二次光学系の距離分解能より離れた位 置に照射される。 図 14 Bは電子線照射面を上から見た拡大図であり、 同図にお いて、 距離 Nがレンズ 1008、 101 1、 1012を通した試料面換算の分解 能である。 図 14Bにおいて、 距離 Nが、 識別できる 2点間の距離と等しいかそ れ以上であることにより、 クロストークのないマルチビームが得られ、 高スルー プットを得ることができる。 このように構成した電子線装置は、 半導体デバイス の欠陥検査や微小距離の測定に利用することができる。
図 12及び図 1 3の半導体デバイスの製造方法の一例を示すフローチャートの るチップ検査工程において、 図 14A電子線装置を利用すると、 スループットよ く検査ができ、 全数検査も可能となり、 製品の歩留向上、 欠陥製品の出荷防止が 可能となる。
以上の説明から明らかなように、 図 14Aの電子線装置によれば、 試料から放 出される 2次荷電粒子の大部分をクロストークを生じることなく検出できるので、 S/N比の高い欠陥検査あるいはパターン線幅測定ができる。
また、 2次光学系の収差を試料面で 20 m程度としても、 十分な検出結果が 得られるので、 2次光学系を高精度のものとする必要がないとともに、 1次光学 系を試料に対して垂直なものとしたので、複数の荷電粒子線の形成が簡単になる。 更に、 試料面と 2次光学系の初段レンズ間には、 1次光学系に対して減速電界 が、 2次光学系に対しては加速電界がかかっているため、 1次荷電粒子線を絞り やすく、 かつ広い角度範囲に放出された 2次荷電粒子を初段レンズ位置で細い粒 子束にでき、 効率良く 2次荷電粒子を検出できるので S ZN比の良い信号が得ら れ測定精度が向上する。
図 1 8 A及び Bは、 荷電ビーム装置の従来の真空チャンバ及びステージ (移動 台) を示す断面図であり、 図 1 9は従来の排気機構の概略斜視図、 図 2 O A及び Bは、本発明の実施例 4の荷電ビーム装置(ステージ等) 2 0 0 0の概略断面図、 図 2 1は本発明の実施例 5の荷電ビーム装置 (ステージ等) 2 1 0 0の概略断面 図、 図 2 2は本発明の実施例 6の荷電ビーム装置 (ステージ等) 2 2 0 0の概略 断面図、 図 2 3は本発明の実施例 7の荷電ビーム装置 (ステージ等) 2 3 0 0の 概略断面図、 図 2 4は本発明の実施例 8の荷電ビーム装置 (ステージ等) 2 4 0 0の概略断面図である。 図 1 8 —図 2 4において同様の構成部材は、 同一の参照 番号により指示される。
図 2 0 A、 図 2 O Bは、 実施例 4の荷電ビーム装置 2 0 0 0を示す。 ステージ 2 0 0 3の Y方向可動部 2 0 0 5の上面には + Y方向と— Y方向 (図 2 0 Bで左 右方向) に大きくほぼ水平に張り出した仕切り板 2 0 1 4が取り付けられ、 X方 向可動部 2 0 0 6の上面との間に常にコンダクタンスが小さい絞り部 2 0 5 0が 構成されるようになっている。 また、 X方向可動部 2 0 0 6の上面にも同様の仕 切り板 2 0 1 2が ± X方向 (図 2 O Aで左右方向) に張り出すように構成されて おり、 ステージ台 2 0 0 7の上面との間に常に絞り部 2 0 5 1が形成されるよう になっている。 ステージ^ 2 0 0 7は、 ハウジング 2 0 0 8内において底壁の上 に公知の方法で固定されている。
このため、 試料台 2 0 0 4がどの位置に移動しても常に絞り部 2 0 5 0と 2 0 5 1が形成されるので、 可動部 2 0 0 5及び 2 0 0 6の移動時にガイド面 2 0 0 6 aや 2 0 0 7 aからガスが放出されても、 絞り部 2 0 5 0と 2 0 5 1によって 放出ガスの移動が妨げられるため、 荷電ビームが照射される試料近傍の空間 2 0 2 4の圧力上昇を非常に小さく押さえることができる。
ステージの可動部 2 0 0 3の側面及び下面並びに可動部 2 0 0 6の下面には、 静圧軸受け 2 0 0 9の周囲に、 図 1 9に示されるような差動排気用の溝が形成さ れていてこの溝によって真空排気されるため、 絞り部 2 0 5 0、 2 0 5 1が形成 されている場合は、 ガイド面からの放出ガスはこれらの差動排気部によって主に 排気されることになる。 このため、 ステージ内部の空間 2 0 1 3や 2 0 1 5の圧 力は、 チャンバ C内の圧力よりも高い状態になっている。 従って、 空間 2 0 1 3 や 2 0 1 5を、 差動排気溝 2 0 1 7や 2 0 1 8で排気するだけでなく、 真空排気 する箇所を別に設ければ空間 2 0 1 3や 2 0 1 5の圧力を下げることができ、 試 料近傍 2 0 2 4の圧力上昇を更に小さくすることができる。 このための真空排気 通路 2 0 1 1— 1と 2 0 1 1— 2とが設けられている。 排気通路はステージ台 2 0 0 7及びハウジング 2 0 0 8を貫通してハウジング 2 0 0 8の外部に通じてい る。 また、 排気通路 2 0 1 1— 2は X方向可動部 2 0 0 6に形成され X方向可動 部 2 0 0 6の下面に開口している。
また、 仕切り板 2 0 1 2及び 2 0 1 4を設置すると、 チャンバ Cと仕切り板が 干渉しないようにチャンバを大きくする必要が生じるが、 仕切り板を伸縮可能な 材料や構造にすることによってこの点を改善することが可能である。 この実施例 としては、 仕切り板をゴムで構成したり蛇腹状にして、 その移動方向の端部を、 仕切り板 2 0 1 4の場合は X方向可動部 2 0 0 6に、 仕切り板 2 0 1 2の場合は ハウジング 2 0 0 8の内壁にそれぞれ固定する構成とすることが考えられる。 図 2 1に本発明の実施例 5の荷電ビーム装置 2 1 0 0が示される。 実施例 5で は、 鏡筒の先端部即ち荷電ビーム照射部 2 0 0 2の周囲に、 試料 Sの上面との間 に絞り部ができるように円筒状の仕切り 2 0 1 6が構成されている。 このような 構成では、 X Yステ一ジからガスが放出されてチヤンバ C内の圧力が上昇しても、 仕切りの内部 2 0 2 4は仕切り 2 0 1 6で仕切られており真空配管 2 0 1 0で排 気されているので、チャンバ C内と仕切りの内部 2 0 2 4との間に圧力差が生じ、 仕切り内部の空間 2 0 2 4の圧力上昇を低く抑えられる。 仕切り 2 0 1 6と試料 面との隙間は、 チャンバ C内と照射部 2周辺の圧力をどの程度に維持するかによ つて変わるが、 凡そ数十 z/ m乃至数 mm程度が適当である。 なお、 仕切り 2 0 1 6内と真空配管とは公知の方法により連通されている。
また、 荷電ビーム照射装置では、 試料 Sに数 k V程度の高電圧を印加すること があり、 導電性の材料を試料の近傍に設置すると放電を起こす恐れがある。 この 場合には、 仕切り 2 0 1 6の材質をセラミックス等の絶縁物で構成すれば、 試料 Sと仕切り 2 0 1 6との間で放電を起こすことがなくなる。
試料 S (ウェハ) の周囲に配置したリング部材 2 0 0 4— 1は試料台 2 0 0 4 に固定された板状の調整部品であり、 ウェハのような試料の端部に荷電ビームを 照射する場合であっても、 仕切り 2 0 1 6の先端部全周に亘つて微小隙間 2 0 5 2が形成されるように、 ウェハと同一の高さに設定されている。 これによつて、 試料 Sのどの位置に荷電ビームが照射しても、 仕切り 2 0 1 6の先端部には常に 一定の微小隙間 2 0 5 2が形成され、 鏡筒先端部周囲の空間 2 0 2 4の圧力を安 定に保つことができる。
図 2 2に本発明の実施例 6の荷電ビーム装置 2 2 0 0が示される。 鏡筒 2 0 0 1の荷電ビーム照射部 2 0 0 2の周囲に差動排気構造を内蔵した仕切り 2 0 1 9 が設けられている。 仕切り 2 0 1 9は円筒状の形状をしており、 その内部に円周 溝 2 0 2 0が形成され、その円周溝からは上方に排気通路 2 0 2 1が延びている。 その排気通路は内部空間 2 0 2 2を経由して真空配管 2 0 2 3に繋がれている。 仕切り 2 0 1 9の下端は試料 Sの上面との間に数十/ z m乃至数 mm程度の微小隙 間を形成している。
このような構成では、 ステージの移動に伴ってステージからガスが放出されて チャンバ C内の圧力が上昇し先端部即ち荷電ビーム照射部 2 0 0 2にガスが流入 しょうとしても、 仕切り 2 0 1 9が試料 Sとの隙間を絞ってコンダクタンスを非 常に小さくしているためガスは流入を邪魔され流入量は減少する。 更に、 流入し たガスは、 円周溝 2 0 2 0から真空配管 2 0 2 3へ排気されるため、 荷電ビーム 照射部 2 0 0 2の周囲の空間 2 0 2 4へ流入するガスはほとんどなくなり、 荷電 ビーム照射部 2 0 0 2の圧力を所望の高真空のまま維持することができる。
図 2 3に本発明の実施例 7の荷電ビーム装置 2 3 0 0が示される。 チャンバ C と荷電ビーム照射部 2 0 0 2の周囲には仕切り 2 0 2 6が設けられ、 荷電ビーム 照射部 2 0 0 2をチャンバ Cから隔てている。 この仕切り 2 0 2 6は、 銅やアル ミニユウム等の熱伝導性の良い材料からなる支持部材 2 0 2 9を介して冷凍機 2 0 3 0に連結されており、 — 1 0 0 °C乃至 2 0 0 °C程度に冷却されている。 部材 2 0 2 7は冷却されている仕切り 2 0 2 6と鏡筒の間の熱伝導を阻害するための ものであり、 セラミックスや樹脂材等の熱伝導性の悪い材料から成っている。 ま た、 部材 2 0 2 8はセラミツクス等の非絶縁体から成り、 仕切り 2 0 2 6の下端 に形成され試料 Sと仕切り 2 0 2 6が放電することを防ぐ役割を持っている。 このような構成により、 チャンバ C内から荷電ビーム照射部に流入しようとす るガス分子は、 仕切り 2 0 2 6で流入を阻害される上、 流入しても仕切り 2 0 2 6の表面に凍結捕集されてしまうため、 荷電ビーム照射部 2 0 2 4の圧力を低く 保つことができる。 なお、 冷凍機としては、 液体窒素による冷却や、 H e冷凍機、 パルスチューブ式冷凍機等の様様な冷凍機が使用できる。
図 2 4に本発明の実施例 8の荷電ビーム装置 2 4 0 0が示される。 ステージ 2 0 0 3の両可動部には、 図 2 0に示したのと同様に仕切り板 2 0 1 2、 2 0 1 4 が設けられており、 試料台 2 0 0 4が任意の位置に移動しても、 これらの仕切り によってステージ内の空間 2 0 1 3とチャンバ C内とが絞り 2 0 5 0、 2 0 5 1 を介して仕切られる。 更に、 荷電ビーム照射部 2 0 0 2の周りには図 2 1に示し たのと同様の仕切り 2 0 1 6が形成されており、 チャンバ C内と荷電ビーム照射 部 2 0 0 2のある空間 2 0 2 4が絞り 2 0 5 2を介して仕切られている。 このた め、 ステージ移動時、 ステージに吸着しているガスが空間 2 0 1 3に放出されて この部分の圧力を上昇させても、 チャンバ Cの圧力上昇は低く抑えられ、 空間 2 0 2 4の圧力上昇は更に低く抑えられる。 これにより、 荷電ビーム照射空間 2 0 2 4の圧力を低い状態に保つことができる。 また、 仕切り 2 0 1 6に示したよう に差動排気機構を内蔵した仕切り 2 0 1 9としたり、 図 2 2に示したように冷凍 機で冷却された仕切り 2 0 2 6とすることによって、 空間 2 0 2 4を更に低い圧 力で安定に維持することができるようになる。
図 2 5において、 本実施の実施例 9の荷電ビーム装置 2 5 0 0の光学系及び検 出系が模式的に示されている。 光学系は鏡筒内に設けられているがこの光学系及 び検出器はあくまでも例示であり、 必要に応じて任意の光学系、 検出器を使用で きる。 荷電ビーム装置の光学系 2 0 6 0は、 荷電ビームをステージ 2 0 0 3上に 載置された試料 Sに照射する一次光学系 2 0 6 1と、 試料から放出された二次電 子が投入される二次光学系 2 0 7 1と、 を備えている。 一次光学系 2 0 6 1は、 荷電ビームを放出する電子銃 2 0 6 2と、 電子銃 2 0 1 1から放出された荷電ビ 一ムを集束する 2段の静電レンズからなるレンズ系 2 0 6 3、 2 0 6 4と、 偏向 器 2 0 6 5と、 荷電ビームをその光軸が対象の面に垂直になるように偏向するゥ ィーンフィル夕即ち E X B分離器 2 0 6 6と、 2段の静電レンズからなるレンズ 系 2 0 6 7、 2 0 6 8と、 を備え、 それらは、 図 1 8に示されるように電子銃 2 0 6 1を最上部にして順に、 荷電ビームの光軸が試料 Sの表面 (試料面) に鉛直 な線に対して傾斜して配置されている。 E X B偏向器 2 0 6 6は電極 2 6 6 1及 び磁石 2 6 6 2を備えている。
二次光学系 2 0 7 1は試料 Sから放出された二次電子が投入される光学系で、 一次光学系の E X B型偏向器 2 0 6 6の上側に配置された 2段の静電レンズから なるレンズ系 2 0 7 2、 2 0 7 3を備えている。 検出器 2 0 8 0は、 二次光学系 2 0 7 1を介して送られた二次電子を検出する。 上記光学系 2 0 6 0及び検出器 2 0 8 0の各構成要素の構造及び機能は従来のものと同じであるから、 それらに ついての詳細な説明は省略する。
電子銃 2 0 1 1から放出された荷電ビームは、電子銃の正方形開口で整形され、 2段のレンズ系 2 0 6 3及び 2 0 6 4によって縮小され、 偏光器 2 0 6 5で光軸 を調整されて E X B偏向器 2 0 6 6の偏向中心面に一辺が 1 . 2 5 mmの正方形 に結像される。 £ 8偏向器2 0 6 6は、 試料の法線に垂直な平面内において、 電界と磁界とを直交させた構造となっており、 電界、 磁界、 電子のエネルギの関 係が一定の条件を満たす時には電子を直進させ、 それ以外の時にはこれら電界、 磁界及び電界のエネルギの相互の関係により所定方向に偏向されるようになって いる。 図 2 5においては、 電子銃からの荷電ビームを試料 Sに垂直に入射させ、 また試料から放出された二次電子を検出器 2 0 8 0の方向に直進させるように設 定されている。 E X B偏光器で偏向された成形ビームはレンズ系 2 0 6 7、 2 0
6 8で 1 Z 5に縮小されて試料 Sに投影される。 試料 Sから放出されたパターン 画像の情報を持った二次電子はレンズ系 2 0 6 7、 2 0 6 8及び 2 0 7 2、 2 0
7 3で拡大され、 検出器 2 0 8 0で二次電子画像を形成する。 この 4段の拡大レ ンズは、 レンズ系 2 0 6 7及び 2 0 6 8が対称夕ブレットレンズを形成し、 レン ズ系 2 0 7 2及び 2 0 7 3もやはり対称タブレツトレンズを形成しているので無 歪みレンズとなっている。 図 1 2及び図 1 3の半導体デバイスの製造方法の一例を示すフローチャートの ( G) の検査工程又は (c ) の露光工程に、 本発明の実施例 3乃至 8に係る欠陥 検査装置及び欠陥検査方法、 露光装置及び露光方法を用いると、 微細なパターン を高精度で安定して検査又は露光ができるので、 製品の歩留まりの向上、 欠陥製 品の出荷防止が可能と成る。
本発明による電子線装置の実施例 3乃至 8によれば、 次のような効果を奏する ことが可能である。
(ィ) 実施例 4及び 5 (図 2 0、 図 2 1 ) によれば, ステージ装置が真空内で高 精度な位置決め性能を発揮することができ、 更に、 荷電ビーム照射位置の圧力が 上昇しにくレ^ 即ち、 試料に対する荷電ビームによる処理を高精度に行うことが できる。
(口) 実施例 6 (図 2 2 ) によれば、 静圧軸受け支持部から放出されたガスが仕 切りを通過して荷電ビーム照射領域側に通過することがほとんどできない。 これ によって荷電ビーム照射位置の真空度を更に安定させることができる。
(ハ) 実施例 7 (図 2 3 ) によれば、 荷電ビーム照射領域側に放出ガスが通過す ることが困難になり、 荷電ビーム照射領域の真空度を安定に保ち易くなる。
(二) 実施例 8 (図 2 4 ) によれば、 真空チャンバ内が、 荷電ビーム照射室、 静 圧軸受け室及びその中間室の 3室に小さいコンダクタンスを介して分割された形 になる。 そして、 それぞれの室の圧力を、 低い順に荷電ビーム照射室、 中間室、 静圧軸受け室となるように真空排気系を構成する。 中間室への圧力変動は仕切り によって更に低く抑えられ、 荷電ビーム照射室への圧力変動は、 もう一段の仕切 りによって更に低減され、 圧力変動を実質的に問題ないレベルまで低減すること が可能となる。
(ホ) 本発明の実施例 5— 7によれば、 ステージが移動した時の圧力上昇を低く 抑えることが可能になる。
(へ) 本発明の実施例 8 (図 2 4 ) によれば、 ステージが移動した時の圧力上昇 を更に低く抑えることが可能である。
(ト) 本発明の実施例 5— 8によれば、 ステージの位置決め性能が高精度で、 か つ荷電ビームの照射領域の真空度が安定した検査装置を実現することができるの で、 検査性能が高く、 試料を汚染する恐れのない検査装置を提供することができ る。
(チ) 本発明の実施例 5— 8によれば、 ステージの位置決め性能が高精度で、 か つ荷電ビーム照射領域の真空度が安定した露光装置を実現することができるので, 露光精度が高く、 試料を汚染する恐れのない露光装置を提供することができる。 (リ) 本発明の実施例 5— 8によれば、 ステージの位置決め性能が高精度で、 か つ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することに より、 微細な半導体回路を形成できる。
次に図 2 6—図 3 3を参照して本発明の実施例 9一 1 0の欠陥検査装置を説明 する。 図 2 6には、 本発明の実施例 1 0に係る欠陥検査装置 3 0 0 0の概略構成 が示される。 この欠陥検査装置 3 0 0 0は、 いわゆる写像投影型の検査装置であ り、 一次電子線を放出する電子銃 3 0 0 1、 放出された一次電子線を偏向、 成形 させる静電レンズ 3 0 0 2、 成形された一次電子線を電場 E及び磁場 Bの直交す る場で半導体ゥェ一ハ 3 0 0 5に略垂直に当たるように偏向させる E X B偏向器 3 0 0 3、 偏向された一次電子線をゥエーハ 3 0 0 5上に結像させる対物レンズ 3 0 1 0、 真空に排気可能な図示しない試料室内に設けられ、 ゥエーハ 3 0 0 5 を載置した状態で水平面内を移動可能なステージ 3 0 0 4、 一次電子線の照射に よりゥエーハ 3 0 0 5から放出された二次電子線及び/又は反射電子線を所定の 倍率で写像投影して結像させる写像投影系の静電レンズ 3 0 0 6、 結像された像 をゥエー八の二次電子画像として検出する検出器 3 0 0 7、 及び装置全体を制御 すると共に、 検出器 3 0 0 7により検出された二次電子画像に基づいてゥエー八 3 0 0 5の欠陥を検出する処理を実行する制御部 3 0 1 6を含む。 上記二次電子 画像には、二次電子だけでなく散乱電子や反射電子による寄与も含まれているが、 ここでは二次電子画像と称することにする。
対物レンズ 3 0 1 0とゥエーハ 3 0 0 5との間には、 一次電子線のゥエーハ 3 0 0 5への入射角度を電場等によって偏向させる偏向電極 3 0 1 1が介在されて いる。 この偏向電極 3 0 1 1には、 該偏向電極の電場を制御する偏向制御器 3 0 1 2が接続されている。 この偏向制御器 3 0 1 2は、制御部 3 0 1 6に接続され、 制御部 3 0 1 6からの指令に応じた電場が偏向電極 3 0 1 1で生成されるように 偏向電極を制御する。 なお、 偏向制御器 3 0 1 2は、'偏向電極 3 0 1 1に与える 電圧を制御する電圧制御装置として構成することができる。
検出器 3 0 0 7は、 静電レンズ 3 0 0 6によって結像された二次電子画像を後 処理可能な信号に変換することができる限り、 任意の構成とすることができる。 例えば、 図 3 1にその詳細を示すように、 検出器 3 0 0 7は、 マルチチャンネル プレート 3 0 5 0と、 蛍光面 3 0 5 2と、 リレー光学系 3 0 5 4と、 多数の C C D素子からなる撮像センサ 3 0 5 6と、 を含んで構成することができる。 マルチ チャンネルプレート 3 0 5 0は、 プレート内に多数のチャンネルを備えており、 静電レンズ 3 0 0 6によって結像された二次電子が該チャンネル内を通過する間 に、 更に多数の電子を生成させる。 即ち、 二次電子を増幅させる。 蛍光面 3 0 5 2は、 増幅された二次電子によつて蛍光を発することにより二次電子を光に変換 する。 リレーレンズ 3 0 5 4がこの蛍光を C C D撮像センサ 3 0 5 6に導き、 C C D撮像センサ 3 0 5 6は、 ゥエーハ 3 0 0 5表面上の二次電子の強度分布を素 子毎の電気信号即ちデジタル画像データに変換して制御部 3 0 1 6に出力する。 制御部 3 0 1 6は、 図 2 6に例示されたように、 汎用的なパーソナルコンビュ 一夕等から構成することができる。 このコンピュータは、 所定のプログラムに従 つて各種制御、 演算処理を実行する制御部本体 3 0 1 4と、 本体 3 0 1 4の処理 結果を表示する C R T 3 0 1 5と、 オペレータが命令を入力するためのキーポー ドゃマウス等の入力部 3 0 1 8と、 を備える、 勿論、 欠陥検査装置専用のハード ウェア、或いは、 ワークステーションなどから制御部 3 0 1 6を構成してもよい。 制御部本体 3 0 1 4は、 図示しない C P U、 R AM, R O M. ハードディスク、 ビデオ基板等の各種制御基板等から構成される。 R AM若しくはハードディスク などのメモリ上には、 検出器 7から受信した電気信号即ちゥエーハ 3 0 0 5の二 次電子画像のデジタル画像データを記憶するための二次電子画像記憶領域 3 0 0 8が割り当てられている。 また、 ハードディスク上には、 予め欠陥の存在しない ゥエー八の基準画像データを記憶しておく基準画像記憶部 3 0 1 3が存在する。 ハードディスク上には、 欠陥検査装置全体を制御する制御プログラムの他、 記 憶領域 3 0 0 8から二次電子画像データを読み出し、 該画像データに基づき所定 のアルゴリズムに従ってゥエーハ 3 0 0 5の欠陥を自動的に検出する欠陥検出プ ログラム 3 0 0 9が格納されている。 この欠陥検出プログラム 3 0 0 9は、 詳細 を更に後述するように、 基準画像記憶部 3 0 1 3から読み出した基準画像と、 実 際に検出された二次電子線画像とをマッチングして、欠陥部分を自動的に検出し、 欠陥有りと判定した場合、 オペレータに警告表示する機能を有する。 このとき、 C R T 3 0 1 5の表示部に二次電子画像 3 0 1 7を表示するようにしてもよい。 次に、 実施例 1 0に係る欠陥検査装置 3 0 0 0の作用を図 2 8乃至図 3 0のフ ローチャートを例にして説明する。 先ず、 図 2 8のメインルーチンの流れに示すように、 検查対象となるゥエーハ 3 0 0 5をステージ 3 0 0 4の上にセッ卜する (ステップ 3 3 0 0 )。 これは、 図 示しないローダーに多数格納されたゥエーハを一枚毎に自動的にステージにセッ 卜する形態であってもよい。
次に、 ゥエーハ表面の X Y平面上で部分的に重なり合いながら互いから変位さ れた複数の被検査領域の画像を各々取得する(ステップ 3 3 0 4 )。 これら画像取 得すべき複数の被検查領域とは、 図 3 2に示すように、 例えばゥエーハ検査表面 3 0 3 4上に、 参照番号 3 0 3 2 a、 3 0 3 2 b , . . . 3 0 3 2 k , . . . で示す矩 形領域のことであり、 これらは、 ゥエー八の検査パターン 3 0 3 0の回りで、 部 分的に重なり合いながら位置がずらされていることがわかる。 例えば、 図 2 7に 示されたように、 1 6個の被検査領域の画像 3 0 3 2 (被検査画像) が取得され る。 ここで、 図 2 7に示す画像は、 矩形の桝目が 1画素 (或いは、 画素より大き いブロック単位でもよい) に相当し、 このうち黒塗りの桝目がゥエーハ上のパ夕 ーンの画像部分に相当する。 このステップ 3 3 0 4の詳細は図 2 9のフローチヤ 一卜で後述する。
次に、 ステップ 3 0 3 4で取得した複数の被検査領域の画像データを記憶部 3 0 1 3に記憶された基準画像データと、 各々比較照合し (図 2 8のステップ 3 3 0 8 )、上記複数の被検査領域により網羅されるゥェ一ハ検査面に欠陥が有るか否 かが判定される。 この工程では、 いわゆる画像データ同士のマッチング処理を実 行するが、 その詳細については図 3 0のフローチャートで後述する。
ステップ 3 3 0 8の比較結果より、 上記複数の被検査領域により網羅されるゥ エーハ検査面に欠陥が有ると判定された場合(ステップ 3 3 1 2肯定判定)、オペ レー夕に欠陥の存在を警告する (ステップ 3 3 1 8 )。 警告の方法として、 例えば、 C R T 3 0 1 5の表示部に欠陥の存在を知らせるメッセージを表示したり、 これ と同時に欠陥の存在するパターンの拡大画像 3 0 1 7を表示してもよい。 このよ うな欠陥ゥエーハを直ちに試料室 3から取り出し、 欠陥の無いゥエーハとは別の 保管場所に格納してもよい (ステップ 3 3 1 9 )。
ステップ 3 3 0 8の比較処理の結果、 ゥェ一ハ 3 0 0 5に欠陥が無いと判定さ れた場合(ステップ 3 3 1 2否定判定)、現在検査対象となっているゥエーハ 3 0 0 5について、 検査すべき領域が未だ残っているか否かが判定される (ステップ 3 3 1 4 )。 検査すべき領域が残っている場合 (ステップ 3 3 1 4肯定判定)、 ス テージ 4を駆動し、 これから検査すべき他の領域が一次電子線の照射領域内に入 るようにゥエーハ 3 0 0 5を移動させる (ステップ 3 3 1 6 )。その後、 ステップ 3 3 0 2に戻って当該他の検査領域に関して同様の処理を繰り返す。
検査すべき領域が残っていない場合(ステップ 3 3 1 4否定判定)、或いは、 欠 陥ゥエー八の抜き取り工程 (ステップ 3 3 1 9 ) の後、 現在検査対象となってい るゥエーハ 3 0 0 5が、 最終のゥエー八であるか否か、 即ち図示しないローダー に未検査のゥエーハが残つていないか否かが判定される(ステップ 3 3 2 0 )。最 終のゥエーハでない場合(ステップ 3 3 2 0否定判定)、検査済みゥエーハを所定 の格納箇所に保管し、 その代わりに新しい未検査のゥエーハをステージ 3 0 0 4 にセッ卜する (ステップ 3 3 2 2 )。 その後、 ステップ 3 3 0 2に戻って当該ゥェ —八に関して同様の処理を繰り返す。 最終のゥエー八であった場合 (ステップ 3 3 2 0肯定判定)、検査済みゥ: 一八を所定の格納箇所に保管し、全工程を終了す る。 '
次に、 ステップ 3 3 0 4の処理の流れを図 2 9のフローチャートに従って説明 する。 図 2 9では、 先ず、 画像番号 iを初期値 1にセットする (ステップ 3 3 3 0 )。 この画像番号は、複数の被検査領域画像の各々に順次付与された識別番号で ある。 次に、 セットされた画像番号 iの被検査領域について画像位置 (X i, Y i) を決定する (ステップ 3 3 3 2 )。 この画像位置は、被検査領域を画定させるため の該領域内の特定位置、 例えば該領域内の中心位置として定義される。 現時点で は、 i = lであるから画像位置 (X l, Y 1) となり、 これは例えば図 3 2に示さ れた被検査領域 3332 aの中心位置に該当する。 全ての被検査画像領域の画像 位置は予め定められており、 例えば制御部 3316のハードディスク上に記憶さ れ、 ステップ 3332で読み出される。
次に、 図 26の偏向電極 3011を通過する一次電子線がステップ 3332で 決定された画像位置 (Xi, Yi) の被検査画像領域に照射されるように、 偏向制 御器 3312が偏向電極 3311に電位を加える (図 29のステップ 3334)。 次に、 電子銃 3001から一次電子線を放出し、 静電レンズ 3002、 EXB 偏向器 3003、 対物レンズ 3010及び偏向電極 3011を通して、 セットさ れたゥエーハ 3005表面上に照射する (ステップ 3336)。 このとき、 一次電 子線は、 偏向電極 3011の作り出す電場によって偏向され、 ゥエーハ検査表面
3034上の画像位置 (Xi, Yi) の被検査画像領域全体に亘つて照射される。 画像番号 i = 1の場合、 被検査領域は 3032 aとなる。
一次電子線が照射された被検査領域からは二次電子及び Z又は反射電子(以下、 「二次電子」 のみ称する) が放出される。 そこで、 発生した二次電子線を拡大投 影系の静電レンズ 3006により所定の倍率で検出器 3007に結像させる。 検 出器 3007は、 結像された二次電子線を検出し、 検出素子毎の電気信号即ちデ ジ夕ル画像データに変換出力する (ステップ 3338)。そして、検出した画像番 号 iのデジタル画像データを二次電子画像記憶領域 8に転送する (ステップ 33
40)。
次に、 画像番号 iを 1だけインクリメントし (ステップ 3342)、 インクリメ ントした画像番号 (i + 1) が一定値 i MAXを越えているか否かを判定する (ス テツプ 3344)。 この i MAXは、 取得すべき被検査画像の数であり、 図 27の上 述した例では、 「16」 である。
画像番号 iが一定値 i MAXを越えていない場合(ステップ 3344否定判定)、 再びステップ 3332に戻り、 インクリメントした画像番号 (i + 1) について 画像位置 (Xi+1, Yi+1) を再び決定する。 この画像位置は、 前のルーチンで決 定した画像位置 (Xi, Yi)から X方向及び Z又は Y方向に所定距離 (AXi, Δ Yi) だけ移動させた位置である。 図 32の例では、 被検査領域は、 (XI, Y1) から Y方向にのみ移動した位置 (X2, Y2) となり、 破線で示した矩形領域 30 3 2 bとなる。 なお、 (Δ Χ ί, Δ Y i) ( i = 1 , 2 , . . . i MAX) の値は、 ゥエー ハ検查面 3 0 3 4のパターン 3 0 3 0が検出器 3 0 0 7の視野から実際に経験的 にどれだけずれるかというデータと、 被検査領域の数及び面積から適宜定めてお くことができる。
そして、 ステップ 3 3 3 2乃至 3 3 4 2の処理を i MAX個の被検査領域につい て順次繰り返し実行する。 これらの被検査領域は、 図 3 2に示すように、 k回移 動した画像位置 (Xk, Yk) では被検査画像領域 3 0 3 2 kとなるように、 ゥェ 一八の検査面 3 0 3 4上で、 部分的に重なり合いながら位置がずらされていく。 このようにして、 図 2 7に例示した 1 6個の被検查画像データが画像記憶領域 3 0 0 8に取得される。 取得した複数の被検査領域の画像 3 0 3 2 (被検査画像) は、 図 2 7に例示されたように、 ゥエーハ検查面 3 0 3 4上のパターン 3 0 3 0 の画像 3 0 3 0 aを部分的若しくは完全に取り込んでいることがわかる。
インクリメントした画像番号 iが i MAXを越えた場合 (ステップ 3 3 4 4肯定 判定)、 このサブルーチンをリターンして図 2 8のメインルーチンの比較工程 (ス テツプ 3 3 0 8 ) に移行する。
なお、 ステップ 3 3 4 0でメモリ転送された画像データは、 検出器 3 0 0 7に より検出された各画素毎の二次電子の強度値(いわゆるべ夕データ)からなるが、 後段の比較工程 (図 2 8のステップ 3 3 0 8 ) で基準画像とマッチング演算を行 うため、 様々な演算処理を施した状態で記憶領域 3 0 0 8に格納しておくことが できる。 このような演算処理には、 例えば、 画像データのサイズ及び Z又は濃度 を基準画像データのサイズ及び/又は濃度に一致させるための正規化処理や、 所 定画素数以下の孤立した画素群をノイズとして除去する処理などがある。更には、 単純なベタデータではなく、 高精細パターンの検出精度を低下させない範囲で検 出パターンの特徴を抽出した特徴マトリクスにデータ圧縮変換しておいてもよい。 このような特徴マトリクスとして、 例えば、 M X N画素からなる 2次元の被検 査領域を、 m X n (m<M, n <N) ブロックに分割し、 各ブロックに含まれる 画素の二次電子強度値の総和 (若しくはこの総和値を被検査領域全体の総画素数 で割った正規化値) を、 各マトリックス成分としてなる、 m X n特徴マトリック スなどがある。 この場合、 基準画像データもこれと同じ表現で記憶しておく。 本 発明の実施例 10でいう画像データとは、 単なるべ夕データは勿論のこと、 この ように任意のアルゴリズムで特徴抽出された画像データを包含する。
次に、 ステップ 3308の処理の流れを図 30のフローチャートに従って説明 する。
先ず、 制御部 3016の CPUは、 基準画像記憶部 3013 (図 26 ) から基 準画像データを RAM等のワーキングメモリ上に読み出す(ステップ 3350)。 この基準画像は、 図 27では参照番号 3036で表される。 そして、 画像番号 i を 1にリセッ卜し(ステップ 3352)、記憶領域 3008から画像番号 iの被検 査画像データをワーキングメモリ上に読み出す (ステップ 3354)。
次に、 読み出した基準画像データと、 画像 iのデータとをマッチングして、 両 者間の距離値 Diを算出する (ステップ 3356)。 この距離値 Diは、 基準画像 と、 被検査画像 iとの間の類似度を表し、 距離値が大きいほど基準画像と被検查 画像との差異が大きいことを表している。 この距離値 Diとして類似度を表す量 であれば任意のものを採用することができる。 例えば、 画像データが MXN画素 からなる場合、 各画素の二次電子強度 (又は特徴量) を MXN次元空間の各位置 べクトル成分とみなし、 この MXN次元空間上における基準画像べクトル及び画 像 iベクトル間のユークリッド距離又は相関係数を演算してもよい。 勿論、 ユー クリッド距離以外の距離、例えばいわゆる市街地距離等を演算することもできる。 更には、 画素数が大きい場合、 演算量が膨大になるので、 上記したように mXn 特徴べクトルで表した画像データ同士の距離値を演算してもよい。
次に、 算出した距離値 Diが所定の閾値 Thより小さいか否かを判定する (ス テツプ 3358)。 この閾値 Thは、基準画像と被検査画像との間の十分な一致を 判定する際の基準として実験的に求められる。
距離値 Diが所定の閾値 Thより小さい場合 (ステップ 3358肯定判定)、 当 該ゥェ一ハ 3005の当該検査面 3034には 「欠陥無し」 と判定し (ステップ 3360)、 本サブルーチンをリターンする。 即ち、 被検査画像のうち 1つでも基 準画像と略一致したものがあれば、 「欠陥無し」 と判定する。 このように全ての被 検査画像とのマッチングを行う必要が無いので、 高速判定が可能となる。 図 27 の例の場合、 3行 3列目の被検査画像が、 基準画像に対して位置ずれが無く略一 致していることがわかる。
距離値 D iが所定の閾値 T h以上の場合 (ステップ 3 3 5 8否定判定)、 画像番 号 iを 1だけインクリメントし(ステップ 3 3 6 2 )、インクリメントした画像番 号 ( i + 1 ) が一定値 i MAXを越えているか否かを判定する (ステップ 3 3 6 4 )。 画像番号 iが一定値 i MAXを越えていない場合(ステップ 3 3 6 4否定判定)、 再びステップ 3 3 5 4に戻り、 インクリメントした画像番号 ( i + 1 ) について 画像データを読み出し、 同様の処理を繰り返す。
画像番号 iが一定値 i MAXを越えた場合 (ステップ 3 3 6 4肯定判定)、 当該ゥ エーハ 3 0 0 5の当該検査面 3 0 3 4には 「欠陥有り」 と判定し (ステップ 3 3 6 6 )、 本サブルーチンをリターンする。 即ち、被検查画像の全てが基準画像と略 一致していなければ、 「欠陥有り」 と判定する。
本発明の欠陥検查装置 3 0 0 0においては、 上記した写像投影型の電子線装置 のみならず、 いわゆる走査型の電子線装置を利用することができる。 これを実施 例 1 0として図 3 3を用いて説明する。
図 3 3は、 本発明の実施例 1 1の電子線装置 3 1 0 0を概略的に示す図で、 同 図において、 電子銃 3 0 6 1から放出された電子線は、 コンデンサレンズ 3 0 6 2によって集束されて点 3 0 6 4においてクロスオーバを形成する。
コンデンサレンズ 3 0 6 2の下方には、 複数の開口を有する第 1のマルチ開口 板 3 0 6 3が配置され、 これによつて複数の一次電子線が形成される。 第 1のマ ルチ開口板 3 0 6 3によって形成された一次電子線の夫々は、 縮小レンズ 3 0 6 5によって縮小されて点 3 0 7 5に投影される。 点 3 0 7 5で合焦した後、 対物 レンズ 3 0 6 7によって試料 3 0 6 8に合焦される。 第 1のマルチ開口板 3 0 6 3から出た複数の一次電子線は、 縮小レンズ 3 0 6 5と対物レンズ 3 0 6 7との 間に配置された偏向器 3 0 8 0.により、 同時に試料 3 0 6 8の面上を走査するよ うに偏向される。
縮小レンズ 3 0 6 5及び対物レンズ 3 0 6 7の像面湾曲収差が発生しないよう に、 図 3 3右上部分に示すように、 マルチ開口板 3 0 6 3は、 円周上に小開口が 配置され、 その X方向へ投影したものは等間隔となる構造となっている。
合焦された複数の一次電子線によって、 試料 3 0 6 8の複数の点が照射され、 照射されたこれらの複数の点から放出された二次電子線は、 対物レンズ 3 0 6 7 の電界に引かれて細く集束され、 E X B分離器 3 0 6 6で偏向され、 二次光学系 に投入される。 二次電子像は、 点 3 0 7 5より対物レンズ 3 0 6 7に近い点 3 0 7 6に焦点を結ぶ。 これは、 各一次電子線は試料面上で 5 0 0 e Vのエネルギー を持っているのに対し、 二次電子線は数 e Vのエネルギーしか持っていないため である。
二次光学系は、 拡大レンズ 3 0 6 9、 3 0 7 0を有しており、 これらの拡大レ ンズ 3 0 6 9、 3 0 7 0を通過した二次電子線は、 第 2マルチ開口板 3 0 7 1の 複数の開口に結像する。 そして、 これらの開口を通って複数の検出器 3 0 7 2で 検出される。 図 3 3右上部分に示すように、 検出器 3 0 7 2の前に配置された第 2のマルチ開口板 3 0 7 1に形成された複数の開口と、 第 1のマルチ開口板 3 0 6 3に形成された複数の開口とは一対一に対応している。
夫々の検出器 3 0 7 2は、 検出した二次電子線を、 その強度を表す電気信号へ 変換する。 こうした各検出器から出力された電気信号は増幅器 3 0 7 3によって 夫々増幅された後、 画像処理部 3 0 7 4によって受信され、 画像データへ変換さ れる。 画像処理部 3 0 7 4には、 一次電子線を偏向させるための走査信号が偏向 器 3 0 8 0から更に供給されるので、 画像処理部 3 0 7 4は試料 3 0 6 8の面を 表す画像を表示する。 この画像は、 第 1の実施形態で説明した位置の異なる複数 の被検査画像 (図 2 7 ) のうち 1つの画像に相当している。 この画像を基準画像 3 0 3 6と比較することにより、 試料 3 0 6 8の欠陥を検出することができる。 また、 レジストレーシヨンにより試料 3 0 6 8上の被評価パターンを一次光学系 の光軸の近くへ移動させ、 ラインスキャンすることによって線幅評価信号を取り 出し、 これを適宜に校正することにより、 試料 3 0 6 8上のパターンの線幅を測 定することができる。
ここで、 第 1のマルチ開口板 3 0 6 3の開口を通過した一次電子線を試料 3 0 6 8の面上に合焦させ、 試料 3 0 6 8から放出された二次電子線を検出器 3 0 7 2に結像させる際、 一次光学系及び二次光学系で生じる歪み、 像面湾曲及び視野 非点という 3つの収差による影響を最小にするよう配慮した方がよい。
次に、 複数の一次電子線の間隔と、 二次光学系との関係については、 一次電子 線の間隔を、 二次光学系の収差よりも大きい距離だけ離せば複数のビーム間のク ロス I ^一クを無くすことができる。
図 3 3の走査型電子線装置 3 1 0 0においても、 図 2 8及び図 2 9のフローチ ヤー卜に従って、 試料 3 0 6 8の検査を行う。 この場合、 図 2 9のステップ 3 3 3 2の画像位置 (X i, Y i) は、 マルチビームを走査して得られる複数のライン 画像を合成した 2次元画像の中心位置に対応する。 この画像位置 (X i, Y i) は、 後の工程で順次、 変更されるが、 これは、 例えば偏向器 3 0 8 0のオフセット電 圧を変更することによって行う。 偏向器 3 0 8 0は、 設定されたオフセット電圧 の回りに電圧を変化させることによって、 通常のライン走査を行う。 勿論、 偏向 器 3 0 8 0とは別体の偏向手段を設け、 これにより画像位置 (X i, Y i) の変更 を行ってもよい。
上述の実施例 1 0及び 1 1の装置を図 1 2及び図 1 3の半導体デバイス製造ェ 程におけるゥエー八の評価に適用することができる。 図 1 2及び図 1 3のフロー チャートは、 ゥエーハを製造するゥェ一ハ製造工程 (又はウェハを準備する準備 工程)、露光に使用するマスクを製作するマスク製造工程(又はマスクを準備する マスク準備工程)、ゥエーハに必要な加工処理を行ぅゥエーハプロセッシング工程、 ゥエーハ上に形成されたチップを 1個ずつ切り出し、 動作可能にならしめるチッ プ組立工程、 組み立てられたチップを検査するチップ検査工程を含む。
これらの工程の中で、 半導体デバイスの性能に決定的な影響を及ぼす工程がゥ ェ一ハプロセッシング工程である。 この工程では、 設計された回路パターンをゥ ェ一ハ上に順次積層し、 メモリや M P Uとして動作するチップを多数形成する。 このゥエーハプロセッシング工程は以下の各工程を含む。
① 絶縁層となる誘電体薄膜や配線部、 或いは電極部を形成する金属薄膜等を形 成する薄膜形成工程 ( C V Dやスパッタリング等を用いる)
② 形成された薄膜層ゃゥエーハ基板を酸化する酸化工程
③ 薄膜層ゃゥエーハ基板等を選択的に加工するためにマスク (レチクル) を用 いてレジストのパ夕一ンを形成するリソグラフィー工程
④ レジストパターンに従って薄膜層や基板を加工するエッチング工程 (例えば ドライエッチング技術を用いる) ⑤ イオン ·不純物注入拡散工程
⑥ レジスト剥離工程
⑦ 加工されたゥエーハを検査する検査工程
なお、 ゥェ一ハプロセッシング工程は必要な層数だけ繰り返し行い、 設計通り 動作する半導体デバイスを製造する。
上記ゥエーハプロセッシング工程の中核をなすリソグラフィ一工程を図 1 3の フローチャートに示す。 このリソグラフィ一工程は以下の各工程を含む。
① 前段の工程で回路パターンが形成されたゥエーハ上にレジストをコー卜する レジスト塗布工程、
② レジストを露光する露光工程、
③ 露光されたレジストを現像してレジストのパターンを得る現像工程、
④ 現像されたパターンを安定化させるためのァニール工程、
以上の半導体デバイス製造工程、 ゥエーハプロセッシング工程、 リソグラフィ 一工程には周知の工程が適用される。
上記⑦のゥェ一ハ検査工程において、 本発明の上記各実施形態に係る欠陥検査 装置 3 0 0 0を用いた場合、 微細なパターンを有する半導体デバイスでも、 二次 電子画像の像障害が無い状態で高精度に欠陥を検査できるので、製品の歩留向上、 欠陥製品の出荷防止が可能となる。
本発明は、 上記例にのみ限定されるものではなく本発明の要旨の範囲内で任意 好適に変更可能である。 例えば、 被検査試料として半導体ゥエーハ 3 0 0 5を例 に掲げたが、 本発明の被検査試料はこれに限定されず、 電子線によって欠陥を検 出することができる任意のものが選択可能である。 例えばゥエー八への露光用パ ターンが形成されたマスク等を検査対象とすることもできる。
また、 本発明は、 電子以外の荷電粒子線を用いて欠陥検出を行う装置にも適用 できるばかりでなく、 試料の欠陥を検査可能な画像を取得できる任意の装置にも 適用可能である。
更に、 偏向電極 3 0 1 1は、 対物レンズ 3 0 1 0とゥエーハ 3 0 0 5との間の みならず、 一次電子線の照射領域を変更できる限り任意の位置に置くことができ る。 例えば、 E X B偏向器 3 0 0 3と対物レンズ 3 0 1 0との間、 電子銃 3 0 0 1と E X B偏向器 3 0 0 3との間などがある。 更には、 E X B偏向器 3 0 0 3が 生成する場を制御することによって、その偏向方向を制御するようにしてもよい。 即ち、 E X B偏向器 3 0 0 3に偏向電極 3 0 1 1の機能を兼用させてもよい。 また、上記実施例 1 0及び 1 1では、画像データ同士のマッチングを行う際に、 画素間のマッチング及び特徴ベクトル間のマッチングのいずれかとしたが、 両者 を組み合わせることもできる。 例えば、 最初、 演算量の少ない特徴ベクトルで高 速マッチングを行い、 その結果、 類似度の高い被検査画像については、 より詳細 な画素デ一夕でマッチングを行うという 2段階の処理によって、 高速化と精度と を両立させることができる。
また、 本発明の実施例 1 0及び 1 1では、 被検査画像の位置ずれを一次電子線 の照射領域の位置ずらしのみで対応したが、 マツチング処理の前若しくはその間 で画像データ上で最適マッチング領域を検索する処理 (例えば相関係数の高い領 域同士を検出してマッチングさせる) と本発明とを組み合わせることもできる。 これによれば、 被検査画像の大きな位置ずれを本発明による一次電子線の照射領 域の位置ずらしで対応すると共に、 比較的小さな位置ずれを後段のデジタル画像 処理で吸収することができるので、 欠陥検出の精度を向上させることができる。 更に、 欠陥検査用の電子線装置として、 図 2 6及び図 3 3の構成を示したが、 電子光学系等は任意好適に変更可能である。 例えば、 図 2 6に示された欠陥検査 装置の電子線照射手段 (3 0 0 1、 3 0 0 2、 3 0 0 3 ) は、 ゥ工ーハ 3 0 0 5 の表面に対して垂直上方から一次電子線を入射させる形式であるが、 E X B偏向 器 3 0 0 3を省略し、 一次電子線をゥエーハ 3 0 0 5の表面に斜めに入射させる ようにしてもよい。。
また、 図 2 8のフローチャートの流れも、 これに限定されない。 例えば、 ステ ップ 3 3 1 2で欠陥有りと判定された試料について、 他の領域の欠陥検査は行わ ないことにしたが、 全領域を網羅して欠陥を検出するように処理の流れを変更し てもよい。 また、 一次電子線の照射領域を拡大し 1回の照射で試料のほぼ全検查 領域をカバーできれば、 ステップ 3 3 1 4及びステップ 3 3 1 6を省略すること ができる。
以上詳細に説明したように本発明の実施例 1 0及び 1 1の欠陥検査装置によれ ば、 試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の 画像を各々取得し、 これらの被検査領域の画像と基準画像とを比較することによ つて、 試料の欠陥を検査するようにしたので、 被検査画像と基準画像との位置ず れによる欠陥検査精度の低下を防止できる、 という優れた効果が得られる。
更に本発明の実施例 1 0及び 1 1を用いるデバイス製造方法によれば、 上記の ような欠陥検査装置を用いて試料の欠陥検査を行うようにしたので、 製品の歩留 まりの向上及び欠陥製品の出荷防止が図れる、 という優れた効果が得られる。 図 3 4は本発明の実施例 1 2の電子線装置 4 0 0 0を示す配置図である。 この 電子線装置 4 0 0 0は、試料 Tに 1次電子線を照射するための電子銃 4 0 0 1と、 試料 Tからの 2次電子線を検出する 2次電子検出器 4 0 1 1とを有する。 図 3 4 において、 4 0 2 0は軸対称電極である。
電子銃 4 0 0 1から放出された電子線はコンデンサレンズ 4 0 0 2で集束され NA (ニューメリカルアパーチャ一)を決める開口 4 0 0 4にクロスオーバを形成 する。 コンデンサレンズ 4 0 0 2の下には開口板 4 0 0 3が設けられ、 該開口板 には、 図 3 5 Aに示すように、 合計 8個の開口 4 0 1 4が設けられる。 この開口 4 0 1 4は縮小レンズ 4 0 0 5で E X B分離器 4 0 0 6の偏向主面に結像し、 更 に対物レンズ 7で試料面 Tに縮小投影され、 1次電子線照射点 E (図 3 6 )を形成 する。 試料面 Tの各 · 1次電子線照射点 Eから放出された 2次電子は E X B分離器 4 0 0 6で図 3 4の右方へ偏向され、 2次光学系 4 0 0 9で拡大され、 検出器穴 群 4 0 1 0に結像される。 試料 Tは、 移動ステージ(図示せず)上に支持されてお り、 図 3 4の紙面に対して垂直な方向 (y方向) で移動される。
図 3 5 Aに示すように、 開口板 4 0 0 3の開口 4 0 1 4は、 3行 3列とされる が、 電子銃から放出される電子の輝度 (電子密度) がある程度以上大きい、 所定 直径内にのみ開口 4 0 1 4が設けられることが好ましく、従って、図示の例では、 3行 3列目は設けられていない。 また、 2行目及び 3行目の開口は、 それぞれ 1 行目及び 2行目に対して、 図 3 5 Aで見て右方へ、 列間の間隔 D 1の 1 Z 3だけ ずれている。 更に、 これら開口 4 0 1 4間の間隔 D 1、 D 2は、 試料上での 1次 電子線の照射点 Eの間隔が十分離れるようなものとする。 これは、 2次光学系は 検出効率を良くするため開口角を大きくしているので収差が大きく、 検出器穴群 4010上で 2次電子像が各ビーム間でクロストークを起す可能性があり、 これ を防ぐためである。
図 34 B及び Cは、 それぞれ円周上に開口を配置される開口板 4050、 40 60の平面図である。 図 34Bの開口板 4050の開口 4051、 4052 · · の; X軸線上への投影点は、 等間隔 Lxとされ、 同様に図 34 Cの開口板 4060 の開口 406 1、 4062 · ·の X軸線上への投影点は、 等間隔 Lxとされる。 本発明の実施例の電子線装置 4000において、 試料面上に 2次元的に配置され る隣接する 1次電子線同士の距離の最大値が最小となるように 1次電子線が配置 される。
図 34Bの開口板 4050の隣接する 2つの開口間の距離 50 a、 50 b、 5 0 e、 50 f は、 それぞれ 47mm、 63mm, 63mm, 4 lmmであり、 図 34 Cの開口板 4060の隣接する 2つの開口間の距離 60 a、 60 b、 60 f は、 それぞれ 56mm、 57 mm, 4 lmmである。 これら 2つの開口板を比較 すると開口板 4060は、 隣接する 1次電子線同士の距離の最大値が 57mmで あり、 開口板 4050の 5 O b (63 mm) より小であるから、 図 34Cの開口 板 4060の開口の配置がより適切であるということができる。
このような要件を備える開口板を使用する利点は、 実際の隣接する 1次電子線 の間隔がほぼ等しくなり、 対称性が良くなること、 非点収差が発生し難くなるこ と、 1次電子線が互いに離れるので空間電荷効果による 1次電子線のボケが小さ くなること、 試料上で対称な位置の近くへ照射が行われるので、 試料の帯電によ る影響が緩和されること等である。
1次電子線は、 これら小開口 40 14によって複数に分離され、 縮小レンズ 4 005によって EXB分離器 4066の偏向主面に結像し、 更に対物レンズ 40 07によって試料面 Tに縮小投影され、 図 36に示すように、 1次電子線の照射 点 Eを形成する。
試料面 Tの各照射点 Eから放出された 2次電子は、 対物レンズ 4007と試料 面との間に印加された電界で加速 ·集束し、 対物レンズ 4007と電子銃側レン ズとの間に設けた EXB分離器 4006によって、 図 34の右側に偏向され、 2 次光学系のレンズ 4009によって拡大され、 複数の開口を設けた検出用開口板 40 1 0に結像され、 2次電子検出器 40 1 1において検出される。 試料 Tは、 ステージ (図示せず) 上に支持されており、 該ステージの移動により、 図 34の 紙面に対して垂直な方向 (y方向) に移動する。
更に、 これら小開口 40 14間の間隔 D 1、 D 2は、 試料 T上での 1次電子線 の照射点 Eの間隔が十分離れるように設定されている。 照射点 Eの間隔が一定で ない場合には、 その間隔の最も小さい値が問題になるので、 その間隔の最小値を できるだけ大きくする必要がある。 これは、 2次光学系は検出効率を高くするた め開口角を大きくしているので収差が大きく、 検出用開口板 40 1 0上で 2次電 子像が 2次電子線間でクロスト一クを生じる可能性があり、 これを防ぐためであ る。
電子線走査用の偏向器 40 1 2、 40 1 3は、 試料 T上の 1次電子線の照射点 Eを、 図 36で見て左から右の方向 (X方向) に走査するように構成され、 その 走査距離 Sは、 照射点 Eの列間の間隔 Hの約 1Z3 (S=HX3 + Q!) に設定さ れる。
試料 Tを y方向での検出すべき領域の長さ分だけ移動させた後、 ステージを X 方向にステップ移動させて試料を X方向に 40 0 mだけ移動し、 その後、 上記 と同様に、 ステージを— y方向に連続移動させつつラスタスキャン (X方向に 4 00 um+ a) を行う。 これを繰り返すことにより、 検出すべき領域すベての画 像デー夕を得ることができる。
この電子線装置において試料面 Tの検査を行う場合には、 移動ステージ 402 0が、 試料を y方向に連続的に移動する。その間、 走査用偏向器 40 1 2, 40 1 4は、 上述の如く、 各 1次電子線照射点 Eを X方向に HZ3 + だけ走査させる ので、 例えば、 1次電子線照射点 Eの間隔 Hが 1 50 imとすると、 各 1次電子 線照射点 Eは、 (1 50 xmX 1Z3) + αの幅の走査を行い、全体として、 (1 5 0 iimX 1/3) X 8 (個) (=40 0 + O の範囲で画像データが得られる。 試料を、 試料面の y方向での長さだけ移動すると、 移動ステージは、 試料を X方 向で 400 imだけ移動し、 上記と同様の y方向での折返し移動による走査が行 われる。
この画像データを、 所定のパターンデータから得られる画像と比較することに より所要の検査が行える。 処理速度は、 図示の例では、 信号取り込みが 8チャン ネルとなり、 しかも折返し時間以外は、 連続的な検査が行えるので、 従来のもの に比べて格段に早くなる。 尚、 折返し回数は、 試料面の検査領域幅 (X方向幅) を 2 0 0 mmとした場合、 2 0 0 mmZ 0 . 4 mm= 5 0 0回であり、 各折返し に 0 . 5秒の時間を要するとしても、 1枚の試料全体を検査するときに折返し走 査に要する時間は、 約 4分であり、 極めて少ない。
線幅測定を行う場合には、 走査用偏向器 4 0 1 2、 4 0 1 3をォク夕ポールと して y方向にも走査可能にし、 X方向に偏向することにより被測定パターン位置 にビームを移動し、 y方向に走査すればよい。 X方向のパターン線幅を測定する 場合にステージ位置と y方向偏向により被測定パ夕一ン位置にビームを移動し.、 X方向に走査し従来の方法と同様の信号処理を行えばよい。 合せ精度測定の場合 は、 合せ精度が評価可能な様なパターンを作製しておき、 線幅測定と同様の走査 を行えばよい。
実施例 1 2 ' (図 3 4 ) においては、 1つの電子銃 4 0 0 1による 1つの電子線 照射系を有するものを示したが、複数の電子銃及びそれに対応する開口板、及び、 2次電子検査器等からなる、 複数の電子線照射系を、 相互に、 上記の例では、 X 方向で隣接して並べ、 一度の y方向での試料の移動において、 4 0 0 mX (電子 線照射系の数) だけの幅を検査できるようにすることができる。
本発明の実施例 1 2 (図 3 4 ) によれば、 複数の 1次電子線により広い走査幅 ( 4 0 0 m幅) をカバーした状態で、 その走査幅と直角方向に試料を連続的に 移動させることにより試料面の検查を行うようにしたので、 当該試料面全面の走 查時間を大幅に短縮することができる。 また、 複数の 1次電子線を用いたので、 各電子線の走査幅を狭くすることができ、 従って、 色収差を抑えて試料面に対す る照射点 Eを小さいものとすることができ、 しかも電子線間を十分離すことがで きる。 従って、 2次光学系におけるクロストークを抑えることができる。
試料は連続的に移動されるので、 従来の試料を静止し、 微小領域を走査した後 に、 試料を移動して他の微小領域を走査するような電子線装置に比べて、 試料移 動のために使う無駄な時間を大幅に減少することができる。 更に、 電子銃を複数 とし、 複数の電子線照射系を設定することにより、 より効率の良い検査を行うこ とが可能となる。
本発明の実施例 12 (図 34) によれば、 複数の 1次電子線の照射点を 2次元 的に配置するので、 照射間の距離を大きくすることができる。 しかも 1軸(X軸) 上への投影した照射点間の距離はすべて等しいので、 すき間なく試料面を走査す ることができる。 また EXBを使うため 1次電子線を垂直入射できるので、 電子 線を細く絞ることができる。
図 37は本発明の実施例 13の電子線装置 4100の概略構成図である。 図 3 7において、 4101は検査用の電子線を放出する一体の力ソードを有する単一 の電子銃、 4103はコンデンサレンズ、 4105はコンデンサレンズからの電 子ビームより複数の電子ビームを形成するマルチ開口板、 41 07はコンデンサ レンズが作る電子線源の拡大像位置に設けられた NA用開口板、 4109、 41 1 1はマルチ開口板で形成された複数の電子ビームを一定の縮小率で縮小した後 検査対象である試料 41 1 3面に結像させるレンズ、 41 15はレンズを通過し た二次電子を一次電子より分離させる EXB分離器である。 ここで一体のカソー ドとは、 単結晶 L a B b等の力ソード材料の先端を種々の形状に加工したものを レ、 。
EXB分離器 41 1 5は、 試料面の法線 (紙面の上方向) に垂直な平面内で電 界と磁界とを直交させた構造となっており、 電界、 磁界、 一次電子エネルギーの 関係が一次電子を直進させるように設定されている。 41 17はマルチ開口板 4 105で形成された複数の電子ビームを同時に偏向させ試料 41 13上の検查領 域を走査する偏向器、 41 19は二次光学系の拡大レンズ、 4121は一次光学 系の偏向器 41 17と同期作動され試料の走査に拘わらずマルチ開口板 4105 の開口 41 05 a, 4105 b, 4105 c, 4105 dからの各ビームの入射 点からの二次電子を対応する検出器に入射させるための偏向器、 4123は一次 光学系のマルチ開口板に対応した開口 4123 a, 4123 b, 4123 c, 4 123 dを有する二次光学系のマルチ開口板、 4125はマルチ開口板の背後に 配置された検出器群である。 検出器群 4125は入射した電子量に応じた検出信 号を発生する電子増倍管などで構成される。
図 37の電子線装置 4100において、 電子銃 41 01から放出された電子線 はコンデンサレンズ 41 0 3で収束され、 マルチビームを形成する開口板 41 0 5のマルチ開口 410 5 a— 4 1 05 dを照射する。 各開口 4 1 0 5 a, 41 0 5 b, 41 0 5 c, 41 0 5 dを通過した電子線は一次光学系の開口数を決める N A開口板 41 0 7の開口位置にクロスオーバーを結ぶ。 クロスオーバ一を通過 した電子線はコンデンサレンズ 41 0 9で対物レンズ 41 1 1の主面にクロスォ 一バー像を形成する。 ここで NAとは、 開口数 (Nume r i c a 1 Ap e r t u r e) の略である。
マルチ開口板 41 0 5の各開口の開口像はコンデンサレンズ 41 0 9で EXB 分離器 41 1 5の主面に結像してから対物レンズ 4 1 1 1により試料 41 1 3表 面に結像される。
一方、 試料から放出された二次電子は、 EXB分離器 41 1 5で一次電子から 分離されて二次光学系の方向に偏向され、 二次光学系の拡大レンズ 41 1 9で拡 大されマルチ開口板 41 2 3の開口を経て該マルチ開口板の裏面側に配置された 検出器群 41 2 5により検出される。
ここで、 電子銃 41 0 1から放出される電子線の電流密度は、 マルチ開口板 4 1 0 5の中心開口 41 0 5 dへの値が最大で、 41 0 5 c, 4 1 05 b, 41 0 5 aと光軸から離れるに従って減少し、 このため試料 41 1 3面上でのビーム電 流が異なる。
これを解決するため、 一つの実施例では、 マルチ開口板 41 05の開口 41 0 5 a— 41 0 5 dの大きさを、 光軸付近で小さく、 光軸より離れるに従って漸次 大きくなるように微調整し、 試料 41 1 3面上で各開口を通ったビーム電流が全 てのビームについてほぼ等しくなるようにする。 このため、 試料 41 1 3面上に 各ビーム電流を検出する検出器群を置き各ビームの電流を検出する。
また、 上記問題を解決する他の方法としては、 上記一次光学系の開口度を決め る NA開口板 41 07の光軸方向位置を、 一次光学系のレンズが作る電子線源の 拡大像のガウス像面 (近軸光線の焦点位置) から電子銃 1側にずらした位置に設 ける。 即ち、 コンデンサレンズ 41 03が作るクロスオーバー位置は、 レンズの 球面収差によってマルチ開口板 41 0 5の各開口を通ったビームのクロスオーバ 一位置 (光軸方向位置) がビームごとに異なる。 例えば、 開口 410 5 aからの ビームが作るクロスオーバー位置は 4 1 0 8 aの位置であり、 開口 4 1 0 5じか らのビームが作るクロスオーバー位置は 4 1 0 8 cである。 即ち、 一次光学系の レンズが作る電子線源のガウス像面は最も NA開口板 4 1 0 7より遠方にある。 従って、 N A開口板 4 1 0 7をガウス像面位置より電子銃 1側にずらし、 マル チ開口板 4 1 0 5の最外側開口 4 1 0 5 aが作るクロスオーバー位置に置くこと により、 該開口位置では、 開口 4 1 0 7を通るビームの電流密度が大きく、 かつ ビームの通過が制限されず、 一方光軸付近の開口 4 1 0 5 cを通ったビームの電 流密度は低く、 かつビームの通過量が制限されることにより、 試料 4 1 1 3面に おける輝度即ちビーム電流のばらつきを縮小することがができる。 なお、 この場 合も、 先の実施例と同様に、 各ビーム電流を検出する検出器群を試料面位置に配 置することより各開口を通ったビームの電流を検出する。
更に、 上記問題は、 マルチ開口板 4 1 0 5の開口寸法の上記調整と、 NA開口 板 4 1 0 7の光軸方向位置の上記調整とを組み合わせることによつても解決する ことができる。
上記事例は、 いれも試料 4 1 1 3面に入射するビーム電流を均一にすることを 目的としたが、 実際には二次光学系の二次電子の検出率が光軸付近と光軸から離 れた位置とで異なる問題がある。 そこで、 本発明の更に他の事例では、 パターン の無い試料を試料位置に置き、 該パターンの無い試料面からの二次電子を検出器 群 4 1 2 5にて検出し、 各検出器の出力の差が最小となるように NA用開口板 4 1 0 7の光軸方向位置を決定することにより、 二次光学系の二次電子の検出率の ばらつきを修正することができる。 この開口板をずらす量は、 開口板から試料へ の縮小率を M、 光学形の像面湾曲の z方向距離を δ mmとすると、 ずらす量 = δ / ( 2 Μ) となり、 通常 1〜1 0 mmである。
また、 二次光学系の二次電子の検出率のばらつきは、 上記と同様にパターンの 無い試料を試料位置に置き、 該パターンの無い試料面からの二次電子を検出器群 4 1 2 5にて検出し、 各検出器の出力の差が最小となるように、 一次光学系のマ ルチ開口板 4 1 0 5の開口寸法を光軸付近で小さく、 光軸より離れるにつれて漸 次大きくなるように微調整することによつても修正することができる。
更に、 二次光学系の二次電子の検出率のばらつきは、 上記と同様にパターンの 無い試料を試料位置に置き、 該パターンの無い試料面からの二次電子を検出器群
4 1 2 5にて検出し、 各検出器の出力の差が最小となるように、 二次光学系のマ ルチ開口板 4 1 2 3の開口寸法を光軸付近で小さく、 かつ光軸より離れるにつれ て漸次大きくなるように微調整することによつても修正することができる。
更に、 この問題は、 マルチ開口板 4 1 0 5の開口寸法の上記調整、 NA開口板 4 1 0 7の光軸方向調整、 二次光学系のマルチ開口板 4 1 2 3の開口寸法の上記 調整を組み合わせることによつても解決することができる。 ここで図示しない制 御、 計算方法によって各検出器 4 1 2 5の出力差を最小とする調整方法を用いる ものである。
なお、 図 3 7の実施例 1 3において、 各ビーム間の評価は偏向器 4 1 1 7によ つて全ビームを同時に偏向させ、 試料 4 1 1 3上で全ビームを走査させ、 その時 の信号を検出器で検出するようにした。 また、 ビームを走査したときも各ビーム の入射点からの二次電子が対応するマルチ開口板 4 1 2 3の穴に確実に入射する よう偏向器 4 1 1 1の走査に同期して偏向器 4 1 2 1により二次電子を走査した。 図 1 2のフローチャートのゥエーハを検査する検査工程に、 本発明の実施例 1 3の電子線装置 4 1 0 0を用いることによって、 より高精度、 高スループットの 検査、 測定を行うことができる。
本発明の実施例 1 3の電子線装置 4 1 0 0は、 フォトマスクゃレクチル、 ゥェ 一八等 (試料) の欠陥検査や、 線幅測定、 合わせ精度測定、 電位コントラスト測 定等の各種検査や測定に適用できる。
本発明の実施例 1 3の電子線装置 4 1 0 0によれば、 一体の力ソードあるいは 単一の電子銃から複 のビームを作るので、 複数のエミッタを用いる場合に比べ て電子銃が故障する確率が著しく改善され、 装置の信頼性が向上する。 またマル チビームの各ビームの電流を均一化できるのでより精度の高い高スループッ卜の 検査、 測定が可能となる。
実施例 1 3の電子線装置 4 1 0 0は熱電界放出電子銃のような狭い方向へのみ 電子放出する電子銃をも使用することができる。
実施例 1 3の電子線装置 4 1 0 0は、 各ビームの電流を均一化できるので、 マ ルチビームのビーム数を増大することができ、 マルチビームをより広い範囲に照 射することができる。 従って、 高スループットの検査、 測定ができる。 またビー ム間の信号強度をほぼ等しくすることができる。
図 3 8〜図 4 1を参照し、 本発明の実施例 1 4の電子線装置 4 2 0 0を詳述す る。 図 3 8の電子線装置 4 2 0 0において、 電子銃 4 2 0 1から放出された電子 線は、 コンデンサ ' レンズ 4 2 0 2によって集束されて点 C Oにおいてクロスォ ーバを形成する。 このクロスオーバ点 C Oに、 N Aを決める開口 4 2 0 4を有す る絞り 4 2 0 4が配置される。
コンデンサ ' レンズ 4 2 0 2の下方には、 複数の開口を有する第 1のマルチ開 口板 4 2 0 3が配置され、 これによつて複数の一次電子線が形成される。 第 1の マルチ開口板 4 2 0 3によって形成された一次電子線のそれぞれは、 縮小レンズ 4 2 0 5によって縮小されて E X B分離器 4 2 0 6の偏向主面 4 2 1 5に投影さ れ、 点 4 2 1 5で一度結像した後、 対物レンズ 4 2 0 7によって試料 4 2 0 8に 合焦される。
縮小レンズ 4 2 0 5及び対物レンズ 4 2 0 7の像面湾曲収差を補正するため、 図 3 8に示すように、 マルチ開口板 4 2 0 3は、 中央から周囲へ向かうにつれて コンデンサレンズ 4 2 0 2からの距離が大きくなるように段が付けられた構造と なっている。
合焦された複数の一次電子線によって照射された試料 4 2 0 8の複数の点から 放出された二次電子線は、 対物レンズ 4 2 0 7の電界に引かれて細く集束され、 E X B分離器 4 2 0 6の手前の点 4 2 1 6、 即ち、 E X B分離器 4 2 0 6の偏向 主面に関して試料側の点 4 2 1 6に焦点を結ぶ。 これは、 各一次電子線は試料面 上で 5 0 0 e Vにエネルギを持っているのに対して、 二次電子線は数 e vのエネ ルギしか持っていないためである。 試料 4 2 0 8から放出された複数の二次電子 線は E X B分離器 4 2 0 6により、 電子銃 4 2 0 1と試料 4 2 0 8とを結ぶ軸の 外方へ偏向されて一次電子線から分離され、 二次光学系へ入射する。
二次光学系は、 拡大レンズ 4 2 0 9、 4 2 1 0を有しており、 これらの拡大レ ンズ 4 2 0 9、 4 2 1 0を通過した二次電子線は第 2のマルチ開口板 4 2 1 1の 複数の開口を通って複数の検出器 4 2 1 2に結像する。 なお、 検出器 4 2 1 2の 前に配置された第 2のマルチ開口板 4 2 1 1に形成された複数の開口と、 第 1の マルチ開口板 4 2 0 3に形成された複数の開口とは一対一に対応している。
各検出器 4 2 1 2は、 検出した二次電子線を、 その強度を表す電気信号へ変換 する。 こうして各検出器から出力された電気信号は増幅器 4 2 1 3によってそれ ぞれ増幅された後、 画像処理部 4 2 1 4によって受信され、 画像データへ変換さ れる。 この画像データが試料の欠陥や線幅の測定に供される。 即ち、 画像処理部
4 2 1 4には、 一次電子線を偏向させるための走査信号が更に供給されるので、 画像処理部 4 2 1 4は試料 4 2 0 8の面を表す画像を表示する。
この画像を標準パターンと比較することにより、 試料 4 2 0 8の欠陥を検出す ることができ、 また、 レジストレーシヨンにより試料 4 2 0 8を一次光学系の光 軸の近くへ移動させ、ラインスキャンすることによって線幅評価信号を取り出し、 これを適宜に校正することにより、 試料 4 2 0 8上のパターンの線幅を測定する ことができる。
ここで、 第 1のマルチ開口板 4 2 0 3の開口を通過した一次電子線を試料 4 2 0 8の面上に合焦させ、 試料 4 2 0 8から放出された二次電子線を検出器 4 2 1 2に結像させる際、 一次光学系及び二次光学系で生じる歪み、 像面湾曲及び視野 非点という 3つの収差による影響を最小にするよう特に配慮する必要がある。 以 下、 このために本発明の実施例 1 4において採用した手段について、 図 3 9〜図 4 1を用いて説明する。
図 3 9〜図 4 1においては、 第 1のマルチ開口板 4 2 0 3及び第 2のマルチ開 口板 4 2 1 1に形成された開口の大きさ、 形状、 ずれの量などは理解を容易にす るため強調されており、 実際のものとは相違する。
図 3 9は、 本発明における電子線装置に使用する第 1のマルチ開口板 4 2 0 3 の第 1の例を示しており、 この例のマルチ開口板 4 2 0 3は、 試料面上に糸巻き 型 (ピンクッション型) の歪み収差が生じたときに使用され、 糸巻き型の歪み収 差を補正するため、 第 1のマルチ開口板 4 2 0 3にタル型に位置ずれした複数の 開口が形成される。 即ち、 第 1のマルチ開口板 4 2 0 3の中心 X、 即ち電子銃 4 2 0 1と試料 4 2 0 8とを結ぶ線と第 1のマルチ開口板 4 2 0 3との交点を中心 とする正方形 4 2 2 0の 4隅のそれぞれ 1個の開口 4 2 2 1〜4 2 2 4が形成さ れる。 図 3 9における縦、 横の実線は該正方形の相対向する 2辺に平行に仮想的に引 かれた線であって、 複数の開口が第 1のマルチ開口板 4 2 0 3に均一に分布する 場合には、 開口はこれらの実線の交点に配置されることになる。 実際には、 一次 光学系における歪み収差を最小にするため、 各開口は、 第 1のマルチ開口板 4 2 0 3の中心からの距離に依存して、 実線の交点から第 1のマルチ開口板 4 2 0 3 の中心の方へずれた位置に配置されるよう設計される。
図 4 0は、 本発明における電子線装置に使用する第 2のマルチ開口板 4 2 1 1 の一例を示しており、二次光学系に存在する歪みに起因して生じ得る糸巻き型(ピ ンクッション型) の歪みによる影響を最小にするために使用される。 図 4 0にお いても、 第 2のマルチ開口板 4 2 1 1のそれぞれの開口は、 中心 Yからの距離に 応じて、 開口を均一に分布させた理想的な位置から外方へずらされている。 この ずれの量は、 対物レンズ 4 2 0 7及び拡大レンズ 4 2 0 9、 4 2 1 0と E X B分 離器 4 2 0 6を含む系においてシミュレーションを行って算出された。 最も外側 の開口は大きすぎてもクロストークを生じないので、 充分大きい開口としてもよ い。 また、 図 3 9、 図 4 0のマルチ開口板 4 2 0 3、 4 2 1 1は、 1枚の板に複 数の開口を設けた実施の形態を記載しているが、 装置設計上、 マルチ開口板は 2 枚以上の複数枚で構成してもよい。
像面湾曲については、 前記のとおり、 第 1のマルチ開口板 4 2 0 3の断面形状 を段付きとすることにより、 一次光学系により発生する像面湾曲を補正すること ができる。 二次光学系によっても像面湾曲が生じるが、 検出器 4 2 1 2の前面に 配置される第 2のマルチ開口板 4 2 1 1の開口が大きいので、 実際は、 二次光学 系による像面湾曲は無視し得る。
視野非点収差は、 レンズの屈折率がレンズの; k射方向と周方向とで異なるため に発生する。 図 4 1 A及び図 4 1 Bは、 この視野非点収差を緩和するため、 この 発明に係る電子線装置に使用する第 1のマルチ開口板 4 2 0 3の第 2の例を示し ており、 図 4 1 Aに示す第 1のマルチ開口板 4 2 0 3においては、 それぞれの開 口は第 1のマルチ開口板 4 2 0 3の中心からの距離に依存して、 該中心に関して 放射方向に細長い形状とされている。 また、 図 4 1 Bにおいては、 第 1のマルチ 開口板 4 2 0 3の中心に中心を置く仮想円の半径方向と周方向とで大きさが異な るよう、 それぞれの開口の形状が設定されている。
図 3 8における参照数字 4 2 1 7はブランキング偏向器を示しており、 このブ ランキング偏向器 4 2 1 7に幅の狭いパルスを印加することにより、 パルス幅の 狭い電子線を形成することができる。 これによつて形成された幅の狭いパルスを 用いると、 試料 4 2 0 8に形成されたパターンの電位を高時間分解能で測定する ことが可能となるので、 電子線装置に対していわゆるストロボ S E M (走査型電 子顕微鏡) の機能を付加することができる。
図 3 8における参照数字 4 2 1 8は軸対称電極を示しており、 この軸対称電極 4 2 1 8に試料 4 2 0 8より数 1 0ポルト低い電位を与えると、 試料 4 2 0 8力 ら放出される二次電子を、 試料 4 2 0 8のパターンの有する電位に依存して、 対 物レンズ 4 2 0 7の方へ流し又は試料側へ追い戻すことができる。 これによつて 試料 4 2 0 8上の電位コントラストを測定することができる。
図 3 8〜図 4 0に示す本発明の実施例 1 4に係る電子線装置 4 2 0 0は、 欠陥 検査装置、 線幅測定装置、 合わせ精度測定装置、 電位コントラスト測定装置、 欠 陥レビュー装置又はストロボ S E M装置に適用することが可能である。 また、 本 発明の実施例 1 4の電子線装置 4 2 0 0は、 図 1 2、 図 1 3に示す半導体デバイ スの製造工程のウェハの評価を行うために使用することができる。
図 1 2のウェハプロセッシング工程の中核をなすリソグラフィー工程は、 前段 の工程で回路パターンが形成されたウェハ上にレジストをコーティングするレジ スト工程、 レジストを露光する露光工程、 露光されたレジストを現像してレジス トのパターンを得る現像工程、 及び、 現像されたレジストのパターンを安定化す るためのァニール工程 (図 1 3 ) を含む。 本発明の実施例 1 4の電子線装置 4 2 0 0は、 更に加工されたウェハを検査する図 1 2のウェハ検査工程において使用 することができる。
本発明は上述の実施例に限定されない。 例えば、 試料 4 2 0 1の異なる位置を 同時に照射できるよう、 電子銃 4 2 0 1、 第 1のマルチ開口板 4 2 0 3、 一次光 学系、 二次光学系、 第 2のマルチ開口板 4 2 1 1及び検出器 4 2 1 2からなる電 子線照射 ·検出系を複数系統設け、 複数の電子銃から出た複数の一次電子線で試 料を照射し、 試料から放出された複数の二次電子線を複数の検出器で受け取るよ うにしてもよい。 これにより、 検査や測定に要する時間を大幅に短縮することが できる。
以上の説明から理解されるであろうように、 この発明の実施例 1 4の電子線装 置 4 2 0 0は、 次の効果を奏する。
1 . 一次光学系による歪み収差を補正し、 合せて、 視野非点収差も緩和すること ができるので、 広い領域を複数のビームで照射して走査することができ、 試料の 欠陥検査等を高いスループットで行うことが可能になる。
2 . 二次光学系による歪みを補正することができるので、 複数の一次電子線を狭 い間隔で試料に投影、 走査してもクロストークが無く、 しかも、 二次電子の透過 率を大きくすることができ、 結果的に S /N比の大きい信号を得ることができる ので、 信頼性の高い線幅測定等を行うことができる。
3 . 一次光学系を E X B分離器 6の偏向主面に結像させることができるので、 一 次電子線の色収差を小さくすることができ、 一次電子線をマルチビームとすると きにもマルチビームを細く絞ることが可能になる。
図 4 2を参照して本発明の実施例 1 5の電子線装置 4 3 0 0を説明する。 図 4 2の電子線装置 4 3 0 1は、 一次光学系 4 3 1 0と、 二次光学系 4 3 3 0と、 検 出装置 4 3 4 0とを備える。 一次光学系 4 3 1 0は、 電子線を試料 Sの表面 (試 料面) に照射する光学系で、 電子線を放出する電子銃 4 3 1 1と、 電子銃から放 出された電子線を偏向する静電レンズ 4 3 1 2と、 二次元的に配列された複数の 小孔 (ただし、 図 4 2では 4 3 1 3 a乃至 4 3 1 3 eのみ図示する) が形成され た開口板 4 3 1 3と、 静電偏向器 4 3 1 4と、 開口アパーチャ 4 3 1 5と、 開口 板を通過した電子ビームを偏向する静電中間レンズ 4 3 1 6と、 第一の E XB分 離器 4 3 1 7と、 電子ビームを偏向する静電中間レンズ 4 3 1 8と、 静電偏向器 4 3 1 9と、 第二の E X B分離器 4 3 2 0と、 静電対物レンズ 4 3 2 1と静電偏 向器 4 3 2 2とを備える。 それらは、 図 4 2に示すように電子銃 4 3 1 1を最上 部にして順に、 かつ電子銃から放出される電子線の光軸 Aが試料の表面 S Fに垂 直になるように配置されている。 従って、 静電対物レンズ 4 3 2 1と試料 S間を 軸対象の構造とすることができ、 電子ビームを細く絞ることができる。
二次光学系 4 3 3 0は、 一次光学系 4 3 1 0の第二の E X B分離器 4 3 2 0の 近くで光軸 Aに対して傾斜している光軸 Bに沿って配置された静電拡大レンズ 4
33 1と、 二次元的に配列された複数の小孔 (図では 4332 a乃至 4332 e のみ図示する) が形成された開口板 4332とを備えている。 検出装置 4340 は開口板 4332の各開口毎に検出器 4341を備えている。 なお、 開口板 43 32の開口 (4332 a乃至 4332 e) の数は一次光学系の開口板 431 3に 形成される小孔 (4313 a乃至 431 3 e) の数及び配列に合わせた数及び配 列となっている。 上記各構成要素は公知のものであってもよく、 それらの構造の 詳細説明は省略する。
次に上記構成の電子線装置 4300の動作について説明する。 単一の電子銃 4 31 1から放出された電子線 Cは静電レンズ 4312で収束され、 開口板 431 3を照射する。 電子線 Cは開口板 431 3に形成された複数の小孔 (431 3 a 乃至 4313 e) を通過して複数の電子ビームにされる。 これら複数の電子ビー ムは開口部を有する開口アパーチャ 43 1 5でクロスオーバー C1を形成する。 クロスオーバ一した電子ビームは試料 Sに向かって進み、 途中に設けられた静電 中間レンズ 4316及び静電中間レンズ 4318により収束され、 静電対物レン ズ 4321の主面に結像されて、 ケラー照明条件を満足する。
一方、開口板 4313の各小孔の像を形成する電子ビーム Dは静電中間レンズ
43 16により収束されて第一の EXB分離器 4317の偏向主面 FP 1に結像 し、 更に静電中間レンズ 4318により収束されて第二の EXB分離器 4320 の偏向主面 FP 2に結像し、 最終的に試料面 S Fに結像する。
試料面 S Fから放出された二次電子は、 静電対物レンズ 4321と試料面 SF との間に印加された、 二次電子に対する加速電界で加速、 収束され、 静電対物レ ンズ 432 1を通過し、 第二の EXB分離器 4320の偏向主面 FP 2の少し手 前でクロスオーバーを結像する。 この結像した二次電子は第二の EXB分離器 4 320により光軸 Bに沿って移動するように偏向されて静電拡大レンズ 4331 に入射する。 二次電子は次に静電拡大レンズ 4331により拡大され、 開口板 4 332の小孔 (4332 a乃至 4332 e) において拡大結像される。
試料面 S Fと開口板 4332は二次電子強度の 2 eVの値に対して光学的共役 関係にあり、 開口板 4313の小孔 43 13 aを通った電子ビームにより試料面 S Fで放出された二次電子は開口板 4 3 3 2の小孔 4 3 3 2 aを通して、 小孔 4 3 1 3 bを通った電子ビームにより試料面 S Fで放出された二次電子は開口板 4 3 3 2の小孔 4 3 3 2 bを通して、 小孔 4 3 1 3 cを通った電子ビームにより試 料面 S Fで放出された二次電子は開口板 4 3 3 2の小孔 4 3 3 2 cを通して、 と 言ったように、 電子ビームにより試料面で放出された二次電子は開口板 4 3 1 3 の各小孔に対応する開口板 4 3 3 2の各小孔を通って検出器 4 3 4 1に入射する。 上記複数の電子ビームとそれに隣接する電子ビームの間は、 静電偏向器 4 3 1 9と第二の E X B分離器 4 3 2 0とを用いて符号 Eで示されている主光線軌道に なるように電子ビームを偏向走査して、 各電子ビームの間の走査を行うことがで きる。 第二の E XB分離器で偏向走査を行うには、 第二の E X B分離器 4 3 2 0 のウィーンフィルター条件を満足し、 電子ビームを直進させる電圧を Vw、 磁場 を B wとすると、 Vwの直流電圧を中心にしてその電圧に走査電圧が重畳するよ うな電圧波形を与えればよく、 第ニの£ 8分離器4 3 2 0の電界を与える電極 を 8極の静電偏向器とすると二次元の走査が可能となる。 従って、 静電対物レン ズ 4 3 2 1の上部に偏向器を新たに設ける必要がなく、 しかも E XB分離器も静 電偏向器も最適の位置に配置することができる。
次に、 従来技術において単一の E XB分離器を使用することにより色収差が生 じていわゆるビームボケが生じる問題点と、 その解決策について説明する。 一般 的に、 E XB分離器を使用する電子線装置においては、 電子ビームに対して開口 の像の位置と E XB分離器の偏向主面とがー致した時に収差が最も小さい。 しか も E XB分離器の偏向主面と試料面は共役になっている。 そのため、 エネルギー 幅のある電子ビームが E X B分離器に入射したとき、 低いエネルギーの電子ビー ムが電界により偏向される量はエネルギーに逆比例して大きくなるが、 磁場によ り偏向される量はエネルギーの 1 / 2乗に逆比例してしか大きくならない。
一方、 高いエネルギーの電子ビームの場合は、 電界により偏向される方向に電 子ビームが偏向される量よりも磁場により偏向される方向に偏向される量の方が 大きくなる。 この場合、 E X B分離器の下側に静電レンズが設けられかつそのレ ンズが無収差であればビームボケは生じないが、 現実的にはレンズに収差がある ためビームボケが生じる。 従って、 単一の E XB分離器を使用するのみでは電子 ビームのエネルギーに幅がある場合には色収差によりビームボケが生じることは 回避できない。
本発明では、 第一と第二の二つの E X B分離器 4 3 1 7及び 4 3 2 0を備え、 第一の E X B分離器 4 3 1 7と第二の E X B分離器 4 3 2 0との電界による偏向 方向が試料面上で見て相互に逆方向になり、 かつ偏向の大きさの絶対値が等しく なるように、 各 E X B分離器の電界を調整している。 従って、 電子ビームのエネ ルギ一に幅がある場合でも、 E X B分離器による色収差は、 第一及び第二の E X B分離器 4 3 1 7 '及び 4 3 2 0により相互に相殺される。
上記構成の電子線装置 4 3 0 0を使用して試料面の欠陥検査、 試料面に形成さ れたパターンの線幅の測定等を行うには、 検査すべき試料をセットし、 電子線装 置 1を上述のように動作させる。 この場合、 静電偏向器 4 3 1 9及び第二の E X B分離器 4 3 2 0に与える走査信号波形と、 二次電子の検出器 4 3 4 1の出力信 号とで画像データを作成し、 その画像データと、 別途得られたパターンデータか ら作成した画像データとを比較することにより欠陥検査を行うことができる。 また、 静電偏向器 4 3 1 9及び第二の E XB分離器 4 3 2 0により、 測定した パターンをその直角方向に走査し、 そのとき得られた二次電子の信号波形からパ ターンの線幅の測定が行える。 更に、 一層目のリソグラフィで形成されるパター ンの近傍に二層目のリソグラフィで形成されるパターンを形成し、 これら二本の パターンを電子線装置 4 3 0 0の複数の電子ビームのビーム間隔と略等しい間隔 で形成しておき、 これら二本のパターン間隔を測定し、 その測定値を設計値と比 較することにより、 合わせ精度を評価することができる。
また、 二次電子の検出器 4 3 4 1の一部又は全部に C R Tモニターを接続し、 走査信号波形と共に入力することにより走査型電子顕微鏡 (S E M) 像を C R T モニター上に形成することができる。 検査人はこの S E M像を見ながら欠陥の種 類等を観察できる。
図 4 2において、 静電対物レンズ 4 3 2 1と試料面 S Fとの間に同軸状の電極 4 3 2 2を設け、 この電極 4 3 2 2に負の電圧を与えることにより電位コントラ ストを測定することができる。 また、 図 4 2において、 電子ビームをブランキン グするため、 短時間だけ電子ビームを偏向させず残りは電子ビームを偏向させる ような電圧を静電偏向器 4314に与え、 偏向された電子ビームは開ロアパーチ ャ 43 15で除去するようにすることにより、短パルスの電子ビームが得られる。 この短パルスの電子ビームを試料面 S Fに入射させ、 試料面上のデバイスを作動 状態にして、 パターンの電位測定を良好な時間分解能で測定すれば、 デバイスの 動作解析が行える。
図 43は、 上述の構成を有する電子線装置の一次光学系と二次光学系の組を試 料 S上で複数組配置した状態の平面図を示しており、 この実施形態では、 6組の 一次光学系 43 10と二次光学系 4330とが 2行 3列に配列されている。 実線 で描かれた円 4310 a乃至 4310 f は一次光学系の最大外径を示し、 一点鎖 線で描かれた円 4330 a乃至 4330 f は二次光学系の最大外径を示す。また、 本実施例では、 一次光学系 43 10の開口板 4313の小孔は 3行 3列に配列さ れており、 二次光学系 4330の開口板 4332の小孔も同様に 3行 3列に配列 されている。 複数の各光学系の組は、 各々が互いに干渉しないように、 各二次光 学系 4330の光軸 Bが行の並び方向に沿って試料の外側に向かうように配置さ れている。 列の数は、 好ましくは 3, 4列程度であるが、 これ以下の 2列、 或い は 4列以上であってもよい。
本発明の実施例 15の電子線装置 4300は、 更に加工されたウェハを検査す る図 12のウェハ検查工程において使用することができる。 即ち検査工程に本発 明の実施例 1 5の欠陥検查方法、 欠陥検査装置を用いると、 微細なパターンを有 する半導体デバイスでも、 スループット良く検査できるので、 全数検査が可能と なり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。
本発明の実施例 1 5の電子線装置 4300 (図 42) は、 次の効果を奏する。
(1) 複数の電子ビームを使うのでスル一プッ卜が向上する。
(2) 複数の EXB分離器を備え、 開口板の小孔の像の位置と EXB分離器のそ れぞれの位置とを一致させ、 かつそれぞれの EXB分離器の電界で偏向される電 子ビームの方向が試料面上で見て相互に逆方向となるようにしたことにより、 E XB分離器により生じる色収差を補正することが可能となり、 電子ビームを細く 絞ることが可能となったため、 高い検査精度を確保することができる。
(3) 第二の EXB分離器の電界に走査電圧を重畳させて電子ビームの偏向動作 をさせるようにしたことにより、 第二の E X B分離器と静電偏向器とを兼用させ ることができ、 静電対物レンズ 2 1の上部に新たに静電偏向器を設ける必要性が なく、 しかも E X B分離器と静電偏向器の両者を最適の位置に配置することがで きる。 それにより、 二次電子の検出効率を向上させることと偏向収差を低減する ことが同時に可能となり、 更に、 二次光学系の光路を大幅に短くすることも可能 となる。
( 4 )電子線装置の一次光学系と二次光学系の組を試料上で複数組配置したので、 一時に複数の試料を検査することが可能となり、 スループットが更に向上する。
( 5 ) 静電対物レンズ 4 3 2 1と試料面 S Fとの間に同軸状に静電偏向器 4 3 2 2を設け、 この静電偏向器 4 3 2 2に負の電圧を与えることにより、 電位コント ラストを評価することが可能になる。
( 6 ) 電子ビームをブランキングする機能を設けて静電偏向器 4 3 1 4の電圧を 制御して短パルスの電子ビームを形成し、試料面上のデパイスを作動状態にして、 パターンの電位測定を良好な時間分解能で測定すれば、 デバイスの動作解析が可 能となる。
図 4 4 Aは、 本発明の実施例 1 6の電子線装置 4 4 0 0の概略配置図であり、 図 4 4 Aにおいて、 電子銃 4 4 0 1から放出された電子線は、 コンデンサ ' レン ズ 4 4 0 2によって集束されて、 点 4 4 0 4においてクロスオーバを形成する。 コンデンサ · レンズ 4 4 0 2の下方には、 複数の小開口を有する第 1のマルチ開 口板 4 4 0 3が配置され、 これによつて複数の一次電子線が形成される。 第 1の マルチ開口板 4 4 0 3によって形成された一次電子線のそれぞれは、 縮小レンズ 4 4 0 5によって縮小されて、 点 4 4 1 5に投影される。 一次電子線は、 点 4 4 1 5で合焦した後、 対物レンズ 4 4 0 7によって試料 4 4 0 8に合焦される。 第 1のマルチ開口板 4 4 0 3から出た複数の一次電子線は、 縮小レンズ 4 4 0 5と 対物レンズ 4 4 0 7との間に配置された偏向器 4 4 1 9により偏向されて、 x— yステージ 4 4 2 0上に載置された試料 4 4 0 8の面上を同時に走査する。
縮小レンズ 4 4 0 5及び対物レンズ 4 4 0 7の像面湾曲収差の影響を無くすた め、 図 4 4 Bに示すように、 第 1のマルチ開口板 4 4 0 3は、 円周上に小開口 4 4 3 3が配置され、 これらの X軸上に投影した点は、 等間隔 L xとなるように設 定される。
合焦された複数の一次電子線によって、 試料 4 4 0 8の複数の点が照射され、 照射されたこれらの複数の点から放出される二次電子線は、 対物レンズ 4 4 0 7 の電界に引かれて細く集束され、 E X B分離器 4 4 0 6で偏向され、 2次光学系 に投入される。 2次電子像は、 点 4 4 1 5より対物レンズ 4 4 0 7に近い点 4 4 1 6に焦点を結ぶ。 これは、 各一次電子線が試料面上で 5 0 0 e Vのエネルギー を持っているのに対して、 二次電子線が数 e Vのエネルギーしか持っていないた めである。
二次光学系は、 拡大レンズ 4 4 0 9、 4 4 1 0を有しており、 これらの拡大レ ンズを通過した二次電子線は、 第 2のマルチ開口板 4 4 1 1の複数の開口 4 4 4 3を通って、 複数の電子検出器 4 4 1 2に結像する。 図 4 4 Bに示すように、 検 出器 4 4 1 2の前に配置された第 2のマルチ開口板 4 4 1 1に形成された複数の 開口 4 4 4 3と、 第 1のマルチ開口板 4 4 0 3に形成された複数の開口 4 4 3 3 とは、 1対 1に対応する。 複数の検出器 4 4 1 2は、 それぞれ第 2のマルチ開口 板 4 4 1 1の複数の開口に対向して配置される。
検出器 4 4 1 2は、 検出した二次電子線を、 その強度を表す電気信号へ変換す る。 各検出器 4 4 1 2から出力された電気信号は、 増幅器 1 3によってそれぞれ 増幅された後、 画像処理部 1 4によって画像データへ変換される。 画像処理部 1 4には、 一次電子線を偏向させるための走査信号 S Sが更に供給されるので、 画 像処理部 4 4 1 4は、 試料 4 4 0 8の面を表す画像を生成することができる。 こ の画像を標準パターンと比較することにより、 試料 4 4 0 8の欠陥を検出するこ とができる。 立上がり幅検出部 4 4 3 0は、 プロセス中は切り離されるが、 初期 焦点合わせのための励起電圧を決定する段階で動作する。その動作は、後述する。 また、 レジストレーシヨンにより、 試料 4 4 0 8の被測定パターンを一次光学 系の光軸の近くへ移動させ、 ラインスキャンすることによって線幅評価信号を取 り出し、 これを適宜に校正することにより、 試料 4 4 0 8上のパターンの線幅を 測定することができる。
ここで、 第 1のマルチ開口板 4 4 0 3の開口 4 4 3 3を通過した一次電子線を 試料 4 4 0 8の面上に合焦させ、 試料 4 4 0 8から放出された二次電子線を検出 器 4 4 1 2に結像させる際、 一次光学系で生じる歪み、 軸上色収差、 及び視野非 点という 3つの収差による影響を最小にするよう、 特に配慮する必要がある。 ま た、 複数の一次電子線の間隔と二次光学系との関係については、 一次電子線の間 隔を、 二次光学系の収差よりも大きい距離だけ離せば、 複数の電子線間のクロス トークを無くすことができる。
対物レンズ 4 4 0 7は、 図 4 4 Cに示すように、 ュニポテンシャル · レンズで あり、 一次電子線を試料 4 4 0 8の表面に集束させるため、 対物レンズ 4 4 0 7 の中央電極には電源 4 4 2 8から正の高電圧 V。ポルトが印加され、対物レンズ 4 4 0 7の上側電極及び下側電極には、 電源 4 4 2 9からアース電位に近い小電圧 である励起電圧士 。が印加される。
電子銃 4 4 0 1、 軸合わせ用偏向器 4 4 1 7、 第 1の開口板 4 4 0 3、 コンデ ンサ · レンズ 4 4 0 2、 偏向器 4 4 1 9、 ウィーン ·フィルタ即ち Ε Χ Β分離器 4 4 0 6、 対物レンズ 4 4 0 7、 軸対称電極 4 4 2 3、 及び二次電子検出器 4 4 1 2は、 適宜のサイズの鏡筒 4 4 2 6内に収納されて、 一つの電子線走査 ·検出 系を構成する。 なお、 電子線走査 ·検出系の初期焦点合わせは、 励起電圧土 A VQ を例えば— 1 0ボルトに固定しておき、正電圧 V。を変化させることによって、実 行することができる。
上で説明したように、 鏡筒 4 4 2 6内の電子線走查 ·検出系は、 試料上のチッ プパターンを走査し、 走査の結果として試料から放出された二次電子線を検出し て、 その強度を表す電気信号を出力する。 実際には、 試料の表面に複数のチップ パターンが形成されているので、 図 4 4Aに示した電子線走査 ·検出系と同様の 構成の電子線走査 ·検出系 (図示せず) が複数、 並列する形態で、 相互の距離が 試料上のチップ寸法の整数倍の距離になるよう配置される。
電子線走査 ·検出系について更に説明すると、 電子検出器 4 4 1 2から出力さ れた電気信号は、 画像処理部 4 4 1 4において、 2値化情報へ変換され、 この 2 値化情報を画像データに変換する。 この結果、 試料の表面に形成された回路パ夕 ーンの画像データが得られ、 得られた画像データは、 適宜の記憶手段に蓄積され ると共に、 基準の回路パターンと比較される。 これにより、 試料上に形成された 回路パターンの欠陥等を検出することができる。 試料上の回路パターンを表す画像デー夕との比較のための基準回路パターンは、 種々のものを使用することができる。 例えば、 当該画像データを生じる走査が行 われた回路パターンを作製した C A Dデータから得られた画像デー夕を用いるこ ともできる。
図 4 4 Aに示す電子線装置において、 対物レンズ 4 4 0 7の上側電極又は下側 電極に印加すべき励起電圧士 。の値は、 C P U等の制御装置(不図示) の制御 下で、 以下のようにして決定される。.
まず、 試料 4 4 0 8の表面に形成された任意の一つの回路パターン上に、 第 1 の方向に平行なパターン 'エッジと、 この第 1の方向に直交する第 2の方向に平 行なパターン 'ェッジとが存在する場所を、 例えばパターン 'データから読み出 して特定する。
次いで、 偏向器 4 4 1 9及び E X B分離器 4 4 0 6を用いて、 一次電子線によ り、 第 1の方向に平行なパターン ·エッジを第 2の方向に走査し、 その結果放出 された二次電子線の強度を表す電気信号を電子検出器 4 4 1 2から取り出し、 立 上がり幅検出部 4 4 3 0において、 該電気信号の立上がり幅 p (単位: m) を 測定する。 同様に、 第 2の方向に平行なパターン ·エッジについても、 偏向器 4 4 1 9及び E X B分離器 4 4 0 6を用いて、 一次電子線により第 1の方向に走査 し、 その結果放出された二次電子線の強度を表す電気信号を電子検出器 4 4 2か ら取り出し、 立上がり検出'部 4 4 3 0において、 その電気信号の立上がり幅 pを 測定する。 この操作を、 電圧土 。を変更して、 少なくとも 3つの電圧値につい て行う。
制御装置(図示せず) は、立上がり幅検出部 4 4 3 0からのデータに基づいて、 図 4 5 Αの曲線 Α及び Βを作成する。 曲線 Aは、 第 1の方向に平行なパターン . エッジに関しての、 士 AV。それぞれに対する立上がり幅 p /z mの関係を示す。曲 線 Bは、 第 2の方向に平行なパターン ·エッジに関しての、 土 。それぞれに対 する立上がり幅 p x mの関係を示す。
電気信号の 「立上がり幅 R」は、 図 4 5 Bのグラフに示すように、 励起電圧士 V。 (及び高電圧 V。) を固定した状態で、 第 1の方向 (又は、 第 2の方向) に平 行なパターン 'エッジを第 2の方向 (又は、 第 1の方向) に走査したときに測定 される電気信号が、 その最大値の 12%から 88%まで変化するのに要する走査 距離 R (単位: m) として表したものである。
図 45Aの曲線 Aは、 励起電圧士 AV。がー AV。(x)のときに立上がり幅 pが最 小であり、 従って、 このときに立上りがもっとも鋭いことを示す。 同様に、 曲線 Βは、励起電圧士 。が + AV。(y)のときに立上がり上がり幅が最小であり、立 上りが最も鋭いことを示している。 従って、 対物レンズ 7の焦点条件、 即ち、 上 側電極及び下側電極に印加する電圧土 AV0の値は、 {—AV。(x) +AVQ(y)} Z 2に設定することが好ましい。
励起電圧士 AV。は 0〜土 20 Vの範囲でしか変化しないので、上記のようにし て対物レンズ 4407の整定を実際に行ったところ、 10マイクロ秒という高速 で対物レンズ 4412の整定を行うことができ、 図 45 Aの曲線 A及び Bを取得 するのに、 150マイクロ秒しか要しなかった。
また、曲線 A及び Bを得るために、多数の土 。について測定を行う必要はな く、 図 45 Αに示すように、 土 。の 3つの電圧値として、 一Δν (1)、 +Δ V (2)、 +Δν (3) を設定して立上がり幅 ρを測定し、 双曲線近似により曲線 Α及び Βを求め、 立上り幅 pの最小値— AVQ (X) 及び + AVQ (y) を求めれ ばよい。 その場合には、 45マイクロ秒程度で測定を行うことができる。
上記したように、 図 4 5 Aの曲線 A及び Bは、 2次曲線即ち双曲線に近似して いる。 立ち上がり幅を p (n ), 対物レンズ電圧士 。を q (ポルト) とする と、 グラフ A及び Bは、 a、 b及び cを定数として、
(pVa2) -(q - c)VB2= 1
と表せる。 そこで、 3つの q (電圧土 AV。) の値 Qい Q2、 q3と、 それらに対応 する p (立上がり幅)の値 p2、 p3を上記式に代入すると、次の 3つの式(1) 〜 (3) が得られる。
(P l a2) 一 (qj- c) Vb2= 1 ( 1)
(p2Va2) ― (q2- c ) Vb2= 1 (2)
(p3Va2) 一 (q3- c) Vb2= l (3)
これらの式 (1) 〜 (3) から、 a、 b及び cの値が算出され、 qi = cのとき、 最小値となる。 以上のようにして、 立ち上がり幅 pが最小となる、 第 1の方向に平行なパター ン ·エッジに関する対物レンズへの励起電圧 AV。 (X ) を、 3つのレンズ条件で 求めることができる。 全く同様にして、 第 2の方向に平行なパターン ·エッジに 関する対物レンズ電圧 AV。 (y ) を求めることができる。
図 4 5 Aの曲線 A及び Bに示したように、 第 1の方向に延存するパターン,ェ ッジを第 2の方向に走査したときと、 第 2の方向に延在するパターン ·エッジを 第 1の方向に走査したときとで、 立上がり幅が異なることが一般的である。 この ような場合には、 例えば、 8極の非点補正レンズ 4 4 2 1 (図 4 4 ) を設けて、 該レンズ 4 4 2 1に印加する電圧を調整することにより、 パターン ·エッジを第 1の方向及び第 2の方向に走査したときの電子検出器 4 4 1 5からの電気信号の 立上りが更に小さくなるように、 非点補正を行うことが必要である。 非点収差が ほとんどない場合は、 A VQ ( X ) あるいは A V。 (y ) のどちらかを求めればよ いので、 曲線 A又は Bのいずれかのみを求めてもよい。
以上説明したように、 電子線走查 ·検出系における焦点合わせを行い、 その後、 試料 8の評価を行うプロセスに移行する。 本方法では、 光学的な Zセンサではな く、 電子光学的な手段で合焦条件を求めているため、 試料が帯電している場合に も、 正しい合焦条件が求められるという利点がある。
電子線走査 ·検出系を含んだ鏡筒 4 4 2 6と同様の構成の鏡筒(図示せず) を、 鏡筒 4 4 2 6と並列する形で、 互いの距離が試料 4 4 0 8上のチップ ·サイズの 整数倍の距離になるよう配置した場合、 それぞれの鏡筒において一次電子線が試 料上に合焦するよう焦点合わせを行う必要がある。 しかしながら、 こうした焦点 合わせは、 ほぼ同時に行うことが可能であるので、 スループッ卜 ·バジェッ卜は、 僅かな値でしかない。
次に、 本発明の半導体デバイス製造方法について説明する。 本発明の半導体デ バイス製造方法は、 上記した電子線装置を用いて、 前述の図 1 2及び図 1 3に示 す半導体デバイス製造方法において実行されるものである。
本発明の半導体デバイス製造方法においては、 図 4 4を参照して説明した電子 線装置を用いて、 加工途中の工程 (ウェハ検査工程) のみならず、 完成したチッ プを検査するチップ検査工程 (図 1 2 ) において用いることにより、 微細なパ夕 ーンを有する半導体デバイスであっても、 歪み、 ぼけ等が低減された画像を得る ことができるので、 ウェハの欠陥を確実に検出することができる。
図 1 2のウェハ検査工程及びチップ検查工程において、 本発明に係る電子線装 置を用いることにより、 微細なパターンを有する半導体デバイスをも、 高スル一 プットで検査することができるので、 全数検査が可能となり、 製品の歩留りの向 上、 欠陥製品の出荷防止が可能となる。
本発明の実施例 1 6の電子線装置 4 4 0 0は、 次の作用効果を奏する。
( 1 ) 試料面の高さを測定するための光学式センサを使用する必要がないので、 対物レンズと試料との間を電子光学系のみで最適設計することが可能になる。
( 2 ) 電子線走査 ·検出系の焦点合わせは低電圧の調整のみで可能であるので、 整定時間を短縮することができる、 .即ち、 短時間で焦点合わせを行うことができ る。
( 3 ) 必要に応じて、 焦点合わせの操作の中で、 非点補正をも短時間で行うこと が可能である。
( 4 ) プロセス途中の試料を短時間で評価することができることになるので、 デ パイス製造の歩留まりを向上させることができる。
図 4 6及び 4 7を参照して本発明の実施例 1 8の電子線装置 4 5 0 0を説明す る。 図 4 6は、 実施例 1 8の電子線装置 4 5 0 1を模式的に示す。 この電子線装 置 4 5 0 0は、 一次光学系 4 5 1 0と、 二次光学系 4 5 3 0と、 検出装置 4 5 4 0とを備える。
一次光学系 4 5 1 0は、 電子線を試料 Sの表面に照射する光学系で、 電子線を 放出する電子銃 4 5 1 1と、 電子銃から放出された電子線を縮小する静電レンズ 4 5 1 3と、 二次元的に配列された複数の小孔 (ただし、 図 4 6では 4 5 1 4 a 乃至 4 5 1 4 iのみ図示する) が形成された第一の開口板 1 4と、 開ロアパーチ ャ 4 5 1 5と、 第一の開口板を通過した電子ビームを縮小する静電レンズ 4 5 1 6と、 静電偏向器 4 5 1 7と、 E XB分離器 4 5 1 8と、 静電対物レンズ 4 5 1 9とを備え、それらは、図 4 6に示すように電子銃 4 5 1 1を最上部にして順に、 かつ電子銃から放出される電子線の光軸 Aが試料 Sに垂直になるように配置され る。 電子銃 4 5 0 1の内部には単結晶の L a B 6力ソードを多数の突起を有する 形状に研磨して形成された突起部 451 2が形成されている。 . 静電レンズ 4513、 451 6及び静電対物レンズ 4519の像面湾曲収差の 影響を無くすため、 図 47に示すように、 第一の開口板 4514には円周上に小 孔が配置されその X方向への投影したものは等間隔 Lxとされる。
二次光学系 4530は、 EXB分離器 4518の近くで光軸 Aに対して傾斜し ている光軸 Bに沿って順に配置された、 第一の静電拡大レンズ 453 1と、 開口 アパーチャ 4532と、 第二の静電拡大レンズ 4533と、 二次元的に配列され た複数の小孔 (図では 4534 a乃至 4534 iのみ図示する) が形成された第 二の開口板 4534とを備える。
検出装置 4540は第二の開口板 4534の各開口毎に検出器 4541を備え ている。なお、第二の開口板 4534の小孔(図 2において破線で示されている) 4534 a乃至 4534 eの数及び配列は、 第一の開口板 4513に形成される 小孔 (図 47において実線で示されている) 4514 a乃至 4514 eの数及び 配列に一致されている。 上記各構成要素は公知のものであってもよく、 それらの 構造の詳細説明は省略する。
次に、 上記構成の電子線装置 4500における標準モードについて説明する。 単一の電子銃 451 1の多数の突起部 4512から放出された電子線 Cは静電レ ンズ 4513で収束され、 第一の開口板 4514を照射する。 電子線 Cは第一の 開口板 4514に形成された複数の小孔 (4514 a乃至 4514 e) を通過し てマルチビームにされる。 これらマルチビームは開口アパーチャ 45 1 5でクロ スオーバー像 C1を形成する。 クロスオーバーしたマルチビームは、 試料 Sに向 かって進み、 途中に設けられた静電中間レンズ 4516により収束され、 静電対 物レンズ 4519の主面に結像されて、 ケラー照明条件を満足する。 該結像され たマルチビームは試料上に縮小像を結像し、 また、 静電偏向器 451 7と EXB 分離器 4518の偏向器により試料上を走査される。
試料 Sから放出された二次電子は、 静電対物レンズ 4519と試料 Sとの間に 印加された、 二次電子に対する加速電界で加速、 収束され、 静電対物レンズ 45 19を通過し、 EXB分離器 4518により光軸 Bに沿って移動するように偏向 されて静電拡大レンズ 4531に入射する。 二次電子は次に静電拡大レンズ 45 3 1により拡大され、 開口アパーチャ 4 5 3 2にクロスオーバ一像 C 2を形成す る。 これら結像した二次電子は、 次に、 静電拡大レンズ 4 5 3 3により拡大され て第二の開口板 4 5 3 4の小孔 (4 5 3 4 a乃至 4 5 3 4 e ) において結像され る。 二次光学系の拡大率は 2つの静電拡大レンズ 4 5 3 1及び 4 5 3 3で決定す ることができる。
図 4 7に示すように、 第一の開口板 4 5 1 4の小孔 4 5 1 4 aを通った電子ビ ームにより試料 Sで放出された二次電子は第二の開口板 4 5 3 4の小孔 4 5 3 4 aを通して、 小孔 4 5 1 4 bを通った電子ビームにより試料 Sで放出された二次 電子は小孔 4 5 3 4 bを通して、 小孔 4 5 1 4 cを通った電子ビームにより試料 Sで放出された二次電子は小孔 4 5 3 4 cを通して、 と言ったように、 電子ビー ムにより試料面で放出された二次電子は第一の開口板 4 5 1 4の各小孔に対応す る第二の開口板 4 5 3 4の各小孔を通って検出器 4 5 4 1に入射する。
上記標準モードから高解像度モードに変更するには走査幅を変更し、 かつ画像 倍率を変更する必要がある。 走査幅を変更することは、 静電偏向器 4 5 1 7及び E X B分離器 4 5 1 8の偏向器のビット当たりの偏向感度を調整することにより 可能である。 しかしながら、 走查幅を標準モードから狭くすると、 マルチビーム のそれぞれのビームの間に走査の隙間ができることとなる。 また、 二次光学系に おいてビーム像間隔が検出器の間隔と一致しなくなる。
ビームの間に走査の隙間ができることについては、 第一の開口板 4 5 1 4から 試料 Sへの縮小率を静電レンズ 4 5 1 6と静電対物レンズ 4 5 1 9とをズーム動 作させることにより、 画素寸法の変化に対応して変化させることで解決できる。 クロスオーバー像 C 1を対物レンズ 4 5 1 9の主面に結像させるケーラ照明条件 は、 標準モードでのみ満たすようにし、 高解像度モードでは満たさないものとす る。
また、 二次光学系においてビーム像間隔が検出器の検出器間の寸法と一致しな くなる対策として、 二次光学系の開口アパーチャ 4 5 3 2の位置及び大きさは固 定とし静電拡大レンズ 4 5 3 3の励起電圧を変えることにより試料の各ビームか ら放出された二次電子の主光線が対応する第二の開口板の小孔に入射するように している。 即ち、 二次光学系の静電拡大レンズ 4 5 3 3により、 拡大倍率と開口 アパーチャ 4532でのクロスオーバーの合焦条件とを合わせるようにしている ( また、 マルチビームの縮小率を静電レンズ 4516と静電対物レンズ 4519と をズーム動作させると共に、 ズーム動作に関係付けて二次光学系の静電拡大レン ズ 4531、 4533で拡大率を変更することにより、 二種類の画像寸法で試料 の評価を行うことができる。
このような一次光学系でのマルチビームの縮小率と二次光学系の静電レンズで の拡大率との関係は、 具体的には、 図 46で開口間の寸法 (例えば 4514 aと 4514bの間隔) が lmmであり、 一次光学系でのマルチビームの縮小率が 1 /1 00とすると、 開口 4514 aと 4514 bを出たビームの間隔は、 10 mとなる。 そして二次光学系の拡大率を 500倍とすると、 開口 4534 aと 4 534 bの間隔は、 5 mmである。
一次光学系でのマルチビームの縮小率を 1ノ 200に変えたとき、 二次光学系 の拡大率を 500 X 2 = 1 000倍とすることにより、 開口 4534 aと 453 4 bの間隔は 5 mmとなるから、 開口 4534 aと 4534 bの間隔を変えるこ となく、 2次電子の検出を行うことができる。 この特徴の利点は、 一次光学系で のマルチビームの縮小率を変えることによってビーム寸法、 ビーム電流、 又は走 査幅を変えることができることである。 そしてスループットは悪くなるが、 高解 像度の評価を行ったり、 分解能は悪いが高スループットの評価をしたりすること が可能となる。
更に、 クロスオーバ像を、 スループットは、 大きいが解像度が比較的低いモー ドにおいて対物レンズの主面に形成する。 具体的には、 例えば、 解像度が 5 O n m、 スループットが 8. 8分/ cm2のモードと、 解像度が 1 00 nm、 スルー プッ卜が 33秒 Z cm2のモードとを持つ装置において、 前者のモードの場合に、 クロスオーバ像を対物レンズの主面に置いた。
本発明の実施例 17 (図 46) の電子線装置 4500は、 図 12及び図 13の 半導体デバイスの製造方法に好適に使用される。 即ち、 この製造方法における検 査工程に本発明の実施例 1 8の欠陥検査方法、 欠陥検査装置を用いると、 微細な パターンを有する半導体デバイスでも、 スループット良く検査できるので、 全数 検査が可能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。 本発明の実施例 1 7 (図 4 6 ) の電子線装置 4 5 0 0は、 次の効果を奏する。
( 1 ) 任意の倍率の画像を走査の隙間なく形成することができるので、 標準モー ド及び高解像度モ一ドで使用することができる。
( 2 ) 倍率を変更した場合でも、 画像寸法とビーム寸法とを略対応させることが できる。
( 3 ) 標準モードでは一次光学系のケーラ照明条件を満足することができる。 一 方、高解像度モードの場合での一次光学系のケーラ照明条件からのズレは少なく、 収差はそれ程増大しない。
( 4 ) 試料面に対して垂直方向に放出された試料からの二次電子が二次光学系の 光軸と交差する位置に開口アパーチャを設けているため、 モードを変更した場合 でも、 マルチビームの間に強度差の無い二次電子検出ができる。
図 4 8及び図 4 9を参照して本発明の実施例 1 9の電子線装置 5 0 0 0につい て説明する。 図 4 8の電子線装置 5 0 0 0は、 一次電子光学系 (以下 「一次光学 系」 という。) 5 0 1 0と、 二次電子光学系 (以下 「二次光学系」 という。) 5 0 2 0と、 検出系 5 0 3 0とを備える。 一次光学系 5 0 1 0は、 電子ビームをゥェ ハ等の評価対象 (以下 「試料」 という) Sの表面に照射する光学系で、 電子線即 ち電子ビームを放出する電子銃 5 0 1 1と、 電子銃 5 0 1 1から放出された一次 電子ビームを集束するコンデンサレンズ 5 0 1 2と、 複数の開口が形成された第 1のマルチ開口板 5 0 1 3と、縮小レンズ 5 0 1 4と、 E X B分離器 5 0 1 5と、 対物レンズ 5 0 1 6とを備え、 それらは、 図 4 8に示されるように電子銃 5 0 1 1を最上部にして順に配置されている。 なお、 5 0 1 7、 5 0 1 8は一次電子ビ ームを走査する偏向器であり、 5 0 1 9は軸対称電極である。
二次光学系 5 0 2 0は一次光学系の光軸に関して傾斜した光軸に沿って配置さ れた拡大レンズ 5 0 2 1及び 5 0 2 2並びに第 2のマルチ開口板 5 0 2 3を備え ている。 検出系 5 0 3 0は、 第 2のマルチ開口板 5 0 2 3の各開口 5 2 3 1毎に 配置された検出器 5 0 3 1と、 各検出器にそれぞれ増幅器 5 0 3 2を介して接続 された画像形成部 5 0 3 3とを備えている。 上記一次光学系 5 0 1 0、 二次光学 系 5 0 2 0及び検出系 5 0 3 0の各構成要素の構造及び機能は従来のものと同じ であるから、 それらについての詳細な説明は省略する。 第 1のマルチ開口板 5 0 1 3の開口 5 1 3 1と第 2のマルチ開口板 5 0 2 3の開口 5 2 3 1とは対応して 形成され、 開口 5 1 3 1は、 図 4 9で実線で示されるように、 破線で示される開 口 5 2 3 1より小さくなつている。
試料 Sは、 ステージ装置 5 0 4 0のホルダ 5 0 4 1により公知の方法により着 脱可能に支持され、 そのホルダ 5 0 4 1は、 X Yステージ 5 0 4 2により直交方 向に移動可能に支持されている。
電子線装置 1は、 更に、 ホルダ 5 0 4 1と電気的に接続されたリタ一ディング 電圧印加装置 (以下印加装置) 5 0 5 0と、 チャージアップ調査及びリタ一ディ ング電圧決定システム (以下調査及び決定システム) 5 0 6 0とを備えている。 調査及び決定システム 5 0 6 0は、 画像形成部 5 0 3 3に電気的に接続されたモ 二夕一 5 0 6 1と、 モニター 5 0 6 1に接続されたオペレータ 5 0 6 2と、 オペ レー夕 5 0 6 2に接続された C P U 5 0 6 3とを備えている。 C P U 5 0 6 3は、 前記印加装置 5 0 5 0並びに偏向器 5 0 1 7に信号を供給するようになっている。 次に、 上記実施例 1 8の電子線装置 5 0 0 0の動作に付いて説明する。 電子銃 5 0 1 1から放出された一次電子線は、 コンデンサレンズ 5 0 1 2によって集束 され、 点 P 1においてクロスオーバを形成する。 第 1のマルチ開口板 5 0 1 3の 開口 5 1 3 1を通過した電子線はその複数の開口 5 1 3 1により複数の一次電子 ビームに形成される。 第 1のマルチ開口板 5 0 1 3によって形成された一次電子 ビームは縮小レンズ 5 0 1 4により縮小され、 点 P 2に投影される。 点 P 2で合 焦した後、 対物レンズ 5 0 1 6によって試料 Sの上表面上で合焦される。
複数の一次電子ビームは縮小レンズ 5 0 1 4と対物レンズ 5 0 1 6との間に配 置された偏向器 5 0 1 8により、同時に試料の上面を走査するように偏向される。 縮小レンズ 5 0 1 4及び対物レンズ 5 0 1 6の像面湾曲収差の影響をなくすため、 マルチ開口板 5 0 1 3、 5 0 2 3の複数の開口 5 1 3 1及び 5 2 3 1は、 各光学 系の光軸を中心とする円の円周上に配置され、 その X方向の投影した場合の隣接 • 間距離 L xは、 図 4 9に示されるように等間隔になるように形成されている。
合焦された複数の一次電子ビームによって、 試料 S上の点が照射され、 照射さ , れたこれらの複数の点から放出された二次電子は、 対物レンズ 5 0 1 6の電界に 引かれて細く集束され、 E X B分離器 5 0 1 5で偏向され、 二次光学系 5 0 2 0 に投入される。 二次電子像は点 P 2より対物レンズに近い点 P 3に焦点を結ぶ。 これは、 各一次電子ビームが試料面上で 5 0 0 e Vのエネルギを持っているのに 対して、 二次電子線は数 e Vのエネルギしか持っていないからである。
この二次電子像は拡大レンズ 5 0 2 1及び 5 0 2 2により第 2のマルチ開口板 5 0 2 3の複数の開口 5 2 3 1を通して各開口毎に設けられた検出器 5 0 3 1に 結像する。 この二次電子像をそれぞれの検出器 5 0 3 1により検出する。 それぞ れの検出器 5 0 3 1は、 検出した二次電子像をその強度を表す電気信号に変換す る。 こうして各検出器から出力された電気信号は対応する増幅器 5 0 3 2により 増幅された後、 画像形成部 5 0 3 3に入力され、 この画像形成部で画像データに 変換される。 画像形成部 5 0 3 3には、 一次電子ビームを偏向させるための走査 信号が更に供給されるので、 画像形成部は試料 Sの面を表す画像を表示する。 こ の画像を基準パターンと比較することにより、 試料 Sの欠陥を検出することがで さる。
また、 レジストレーシヨンにより試料 Sを一次光学系 5 0 1 0の光軸の近くへ 移動させ、 ラインスキャン即ち走査することによって試料の上表面に形成された パターンの線幅評価信号を取り出し、 これを適宜に校正することにより、 パター ンの線幅を測定することができる。
ここで、 第 1のマルチ開口板 5 0 1 3の開口を通過した一次電子ビームを試料 Sの上面上に合焦させ、 試料 Sから放出された二次電子線を検出器 5 0 3 1に結 像させる際に、 一次光学系で生じる歪み、 軸上色収差及び視野非点という三つの 収差による影響を最小にするように特に配慮する必要がある。
また、 試料に照射される一次電子ビーム間の間隔と二次光学系との関係に付い ては、 複数の一次電子ビーム間の間隔を、 二次光学系の収差よりも大きい距離だ け離せば、 複数のビーム間のクロストークをなくすことができる。
画像形成部 5 0 3 3で変換された画像データは、 調査及び決定装置 5 0 6 0の 表示装置 5 0 6 1により画像として表示され、 オペレータ 5 0 6 2により画像を 評価する。 オペレータ 5 0 6 2はこの実施形態ではチャージアップ調査装置を構 成する。 またオペレータ 5 0 6 2は画像に基づいてチャージアップ状態を調査す ることができる。 そして、 その結果を C P U 5 0 6 3に入力し、 リタ一デイング 電圧を最適な値に設定する。 CPUは、 この実施形態では、 リタ一ディング電圧 決定装置を構成する。
図 5 OAはチャージアップの評価場所と評価方法を説明する図である。 チップ 5100のメモリーセル境界 5102の外周部は、 周辺回路部で低密度領域であ る。 その内側はメモリ一セル部で高密度領域である。 従って Al、 A 2は境界領 域の画像となり、 A3、 A 4はメモリーセル部の画像である。 図 5 OA中の 2点 鎖線や破線は、 密度が大きく変化する境界を示す。
より具体的には、 被評価試料のチャージアップの影響を受け易い場所即ち図 5 OAに示されるように、 試料としてのウェハの表面に形成されたチップ 5 1 00 のメモリーセル 5101のコーナ部を評価した。即ち、 (1) コーナ部でのメモリ —セル境界 5102のパターン歪み量 5103、 5104を測定するか、或いは、 (2) メモリーセルのコーナ部においてパターンを横切るように (矢印 A 1及び A 2で示すように) 走査した時に得た信号強度のコントラストを、 図 50 Bにお いて実線 5105及び 5107で表示して、 チップの中心部においてパターンを 矢印 A3、 A4に走査したときに得た信号強度のコントラスト 5106及び 51 08 (いずれも図 50 Bにおいて破線図示) と比較してもよい。
リ夕一ディング電圧印加装置 5050に複数の値の電圧を与え、 その都度、 歪 み量 5103及び 5104或いはコントラスト 5105、 5107及び 5106、 5108を測定し、 歪み量 5103及び 5104が小さい方がチャージアップの 影響は小さいと評価した。 また、 コーナ部でのコントラストの値 5105、 51 07が中心部でのコントラストの値に近い方がチャージアツプの影響が小さいと 評価した。
チャージアップの状態の良好なリタ一ディング電圧が見出されたら、 その値を CPU 5063を介して印加装置 5050に与え、 その値で試料即ちウェハの評 価を行うようにした。 また、 ビーム電流を小さくするとチャージアップが減少す る試料の場合は、 ビーム電流を小さくしてもよい。 このように、 試料のパターン 密度が大きく変化する境界付近の画像形成を行うことは、 帯電の効果が大きく出 ることから、 帯電していることを評価し易く、 帯電し難いリーディング電圧を見 つけ易い。 本発明の実施例 1 9 (図 4 8 ) の電子線装置 5 0 0 0は、 図 1 2及び図 1 3の 半導体デバイスの製造方法に好適に使用される。 即ち、 この製造方法における検 査工程に本発明の実施例 1 9の電子線装置 5 0 0 0を用いると、 微細なパターン を有する半導体デバイスでも、 スループット良く検査できるので、 全数検査が可 能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能と成る。
本発明実施例 1 9 (図 4 8 ) の電子線装置 5 0 0 0は、 次の効果を奏する。 (ィ) スループットが電子ビームの数に比例した倍数に近い値がえられ、 数倍に 向上できる。
(口) チャージアップ状態が最も少ない状態でウェハの評価が行われるので、 信 頼性の高い評価ができる。
ひヽ) チャージアップ性能を、 各種の電流を測定して行うのでなく、 実際の画像 で評価しているので、 より正しい評価結果が得られる。
図 5 1は、 本発明の実施例 2 0の E X B分離器 6 0 2 0を示す。 E X B分離器 6 0 2 0は、 静電偏向器と電磁偏向器とにより構成されており、 図 5 1において は、 光軸 (図面に垂直な軸: z軸) に直交する X— y平面上の断面図として示さ れている。 X軸方向及び y軸方向も直交している。
静電偏向器は、 真空容器中に設けられた一対の電極 (静電偏向電極) 6 0 0 1 を備え、 X軸方向に電界 Eを生成する。 これら静電偏向電極 6 0 0 1は、 絶縁ス ぺーサ 6 0 0 2を介して真空容器の真空壁 6 0 0 3に取り付けられており、 これ らの電極間距離 Dは、 静電偏向電極 6 0 0 1の y軸方向の長さ 2 Lよりも小さく 設定されている。 このような設定により、 z軸の周りの形成される電界強度が一 様な範囲を比較的大きくすることができるが、 理想的には、 D < Lであれば、 電 界強度が一様な範囲をより大きくすることができる。
即ち、 電極の端縁から D Z 2の範囲は、 電界強度が一様ではないため、 電界強 度がほぼ一様な領域は、 一様ではない端部領域を除いた中心部の 2 L— Dの領域 となる。 このため、 電界強度が一様な領域が存在するためには、 2 L >Dとする 必要があり、 さらに、 L >Dと設定することにより、 電界強度が一様な領域がよ り大きくなる。 真空壁 6 0 0 3の外側には、 y軸方向に磁界 Mを生成するための電磁偏向器が 設けられている。 電磁偏向器は、 電磁コイル 6 0 0 4及び電磁コイル 6 0 0 5を 備え、 これらコイルはそれぞれ、 X軸方向及び y軸方向に磁界を生成する。 なお、 コイル 6 0 0 5だけでも y軸方向の磁界 Mを生成できるが、 電界 Eと磁界 Mとの 直交度を向上させるために、 X軸方向に磁界を生成するコイル 4を設けている。 即ち、 コイル 6 0 0 4によって生成された一 X軸方向の磁界成分によって、 コィ ル 6 0 0 5によって生成された + x軸方向を打ち消すことによって、 電界と磁界 との直交度を良好にすることができる。
これら磁界生成用のコイル 6 0 0 4及び 6 0 0 5は、 真空容器の外に設けるた め、 それぞれを 2分割して構成し、 真空壁 6 0 0 3の両側から取り付け、 部分 6 0 0 7においてネジ止め等により締め付けて一体化すればよい。
E X B分離器の最外層 6 0 0 6は、 パーマロイあるいはフェライト製のヨーク として構成する。 最外層 6 0 0 6は、 コイル 6 0 0 4及び 6 0 0 5と同様に、 2 分割して両側からコイル 6 0 0 5の外周に取り付けて、 部分 6 0 0 7においてネ ジ止め等により一体化してもよい。
図 5 2は、 本発明の実施例 2 0の E X B分離器 6 0 4 0の光軸 ( z軸) に直交 する断面を示す。 図 5 2の E X B分離器 6 0 4 0は、 静電偏向電極 6 0 0 1が 6 極設けられている点が、 図 5 1に示した実施例 2 0の E X B分離器と相違してい る。 これら静電偏向電極 6 0 0 1には、 それぞれの電極の中央と光軸 (z軸) と を結んだ線と電界の方向 (X軸方向) との角度を ( 1 = 0 , 1, 2, 3 , 4, 5 ) としたときに、 c o s に比例する電圧 k · c o s (kは定数) が供給 される。 ただし、 は、 任意の角度である。
図 5 2に示した実施例 2 0においても、 実施例 1 9と同様に、 X軸方向の電界 Eしか作れないので、 X及び y軸方向の磁界を生成するコイル 6 0 0 4及び 6 0 0 5を設け、 直交度の修正を行う。 実施例 2 0によれば、 図 5 1に示した実施例 2 0に比べて、 電界強度が一様な領域をさらに大きくすることができる。
図 5 1及び図 5 2に示した実施例 1 9及び 2 0の E X B分離器においては、 磁 界を生成するためのコイルをサドル型に形成しているが、 トロイダル型のコイル を用いてもよい。 図 5 3 Aは、 実施例 2 0及び 2 1の E X B分離器を 1次電子ビームと 2次電子 ビームとを分離するために採用可能な本発明の実施例 2 1の電子線装置 6 0 0 0 (欠陥検査装置) の概略図である。 図 5 3 Aにおいて、 電子銃 6 0 2 1から放出 された電子ビームは、 コンデンサ · レンズ 6 0 2 2によって集束されて、 点 6 0 2 4においてクロスオーバを形成する。
コンデンサ ' レンズ 6 0 2 2の下方には、 複数の開口を有する第 1のマルチ開 口板 6 0 2 3が配置され、 これによつて複数の 1次電子ビームが形成される。 形 成された複数の 1次電子ビームはそれぞれ、 縮小レンズ 6 0 2 5によって縮小さ れて 6 0 3 5に投影される。 そして、 点 6 0 3 5で合焦した後、 対物レンズ 6 0 2 7によってで試料であるウェハ 6 0 2 8に合焦される。 第 1のマルチ開口板 6 0 2 3からの複数の 1次電子ビームは、 縮小レンズ 6 0 2 5と対物レンズ 6 0 2 7との間に配置された偏向器 6 0 3 9により、 同時にウェハ 6 0 2 8面上を走査 するよう偏向される。
縮小レンズ 6 0 2 5と対物レンズ 6 0 2 7の像面湾曲収差が発生しないように するために、 第 1のマルチ開口板 6 0 2 3は、 図 5 3 Bに示すように、 円周上に 小さな開口が複数配置され、 その X軸上へ投影した点は、 等間隔となる構造とな つている。
合焦された複数の 1次電子ビームによって、 ウェハ 6 0 2 8の複数の点が照射 され、 該照射された複数の点から放出された 2次電子ビームは、 対物レンズ 6 0 2 7の電界に引かれて細く集束され、 E X B分離器 6 0 2 6で偏向され、 2次光 学系に投入される。 2次電子ビームによる像は、 点 6 0 3 5より対物レンズ 6 0 2 7に近い点 6 0 3 6に焦点を結ぶ。 これは、 複数の 1次電子ビームがそれぞれ ウェハ 6 0 2 8面上で約 5 0 0 e Vのエネルギを有しているのに対して、 2次電 子ビームは数 e Vのエネルギしか有していないためである。
2次光学系は、 拡大レンズ 6 0 2 9、 6 0 3 0を有しており、 これら拡大レン ズを通過した 2次電子ビームは、 第 2のマルチ開口板 6 0 3 1の複数の開口に結 像する。 そして、 これら開口を通過して、 複数の検出器 6 0 3 2で検出される。 なお、 検出器 6 0 3 2の前に配置された第 2のマルチ開口板 6 0 3 1の複数の開 口と、 第 1のマルチ開口板 6 0 2 3の複数の開口とは、 図 5 3 Bに示すように、 1対 1に対応している。
検出器 6 0 3 2はそれぞれ、 受け取った 2次電子ビームを、 その強度を表す電 気信号へ変換する。 各検出器 6 0 3 2からの電気信号は増幅器 6 0 3 3で増幅さ れた後、 画像処理装置 6 0 3 4において画像データに変換される。 画像処理装置 6 0 3 4には、 偏向器 6 0 3 9からの 1次電子ビームを偏向させるための走査信 号も供給されており、 これにより、 画像処理装置 6 0 3 4は、 ウェハ 6 0 2 8の 表面の画像を表す画像データを得る。
得られた画像データを標準パターンと比較することにより、 ウェハ 6 0 2 8の 欠陥を検出することができ、 また、 レジストレーシヨンによってウェハ 6 0 2 8 上の被評価パターンを 1次光学系の光軸近傍に移動させ、 ライン走查することに よって線幅評価信号を取り出し、 これを適宜校正することによって、 ウェハ 6 0 2 8上のパターンの線幅を測定することができる。
第 1のマルチ開口板 6 0 2 3の開口を通過した 1次電子ビームをウェハ 6 0 2 8の面上に合焦させて、 ウェハ 6 0 2 8から放出された 2次電子ビーム検出用の マルチ開口板 6 0 3 1に結像させる際、 1次光学系及び 2次光学系により生じる 歪み、 像面湾曲及び視野非点という 3つの収差による影響を最小にするように、 配慮した方がよい。 複数の 1次電子ビームの照射位置間隔の最小値を、 2次光学 系の収差よりも大きい距離だけ離間させれば、 複数のビーム間のクロストークを 無くすことができる。
本発明の実施例 1 9の E X B分離器 6 0 2 0においては、 電界を生成する静電 偏向器の一対の電極として、 電極間の間隔よりも光軸に直角な方向の大きさが長 く形成された平行平板型電極を用いているので、 光軸の周りに一様強度で平行な 電界が生成される領域が広くなる。
また、 実施例 1 9及び実施例 2 0の E X B分離器においては、 電磁偏向器にサ ドル型コイルを用い、 かつ光軸からコイルを見込む角度を片側で 2 πΖ 3に設定 しているので 3 0成分が生成せず、 これにより、 光軸の周りに一様強度で平行な 磁界が生成される領域が広くなる。 さらにまた、 磁界を電磁コイルによって生成 しているので、 コイルに偏向電流を重畳することができ、 これにより、 走査機能 を持たせることができる。 実施例 1 9及び実施例 2 0の E X B分離器は、 静電偏向器と電磁偏向器との組 み合わせとして構成されているので、 静電偏向器及びレンズ系の収差を計算し、 これとは別に電磁偏向器及びレンズ系の収差を計算し、 これら収差を合計するこ とにより、 光学系の収差を得ることができる。
図 5 5及び図 5 6を参照して本発明の実施例 2 2の荷電ビーム装置 7 0 0 0を 説明する。 本実施例において 「真空」 とは当該技術分野において呼ばれる真空で ある。
図 5 5の荷電ビーム装置 7 0 0 0において、 荷電ビームを試料に向かって照射 する鏡筒 7 0 0 1の先端部即ち荷電ビーム照射部 7 0 0 2が真空チャンバ Cを画 成するハウジング 7 0 1 4に取り付けられている。 鏡筒 7 0 0 1の直下には、 X Yステージ 7 0 0 3の X方向 (図 5 5において左右方向) の可動テーブル上に載 置されている試料 Sが配置される。 この試料 Sは高精度な X Yステージ 7 0 0 3 によって、 その試料面上の任意の位置に対して正確に荷電ビームを照射させるこ とができる。
X Yステージ 7 0 0 3の台座 7 0 0 6はハウジング 7 0 1 4の底壁に固定され、 Y方向 (図 5 5において紙面に垂直の方向) に移動する Yテ一ブル 7 0 0 5が台 座 7 0 0 6の上に載っている。 Yテーブル 7 0 0 5の両側面 (図 5 5において左 右側面) には、 台座 7 0 0 6に載置された一対の Y方向ガイド 7 0 0 7 a及び 7 0 0 7 bの Yテーブルに面した側に形成された凹溝内に突出する突部が形成され ている。 その凹溝は Y方向ガイドのほぼ全長に亘つて Y方向に伸びている。
凹溝内に突出する突部の上、 下面及び側面には公知の構造の静圧軸受け 7 0 1 l a、 7 0 0 9 a、 7 0 1 1 b、 7 0 0 9 b、 がそれぞれ設けられ、 これらの静 圧軸受けを介して高圧ガスを吹き出すことにより、 Yテーブル 5は Y方向ガイド 7 0 0 7 a、 7 0 0 7 bに対して非接触で支持され、 Y方向に円滑に往復運動で きるようになつている。 また、 台座 7 0 0 6と Yテーブル 7 0 0 5との間には、 公知の構造のリニアモータ 7 0 1 2が配置されており、 Y方向の駆動をそのリニ ァモータで行うようになっている。 Yテーブルには、 高圧ガス供給用のフレキシ プル配管 7 0 2 2によって高圧ガスが供給され、 Yテーブル内に形成されたガス 通路 (図示せず) を通じて静圧軸受け 7 0 0 9 a乃至 7 0 1 1 a及び 7 0 0 9 b 乃至 1 1 bに対して高圧ガスが供給される。静圧軸受けに供給された高圧ガスは、 Y方向ガイドの対向する案内面との間に形成された数ミク口ンから数十ミクロン の隙間に噴出して Yテーブルを案内面に対して X方向と Z方向 (図 5 5において 上下方向) に正確に位置決めする役割を果たす。
Yテーブル上には Xテーブル 4が X方向 (図 5 5において左右方向) に移動可 能に載置されている。 Yテーブル 5上には Yテーブル用の Y方向ガイド 7 0 0 7 a、 7 0 0 7 bと同じ構造の一対の X方向ガイド 7 0 0 8 a、 7 0 0 8 b ( 7 0 0 8 aのみ図示) が Xテーブル 7 0 0 4を間に挟んで設けられている。 X方向ガ イドの Xテーブルに面した側にも凹溝が形成され、 Xテーブルの側部 (X方向ガ イドに面した側部) には凹溝内に突出する突部が形成されている。 その凹溝は X 方向ガイドのほぼ全長に亘つて伸びている。 凹溝内に突出する X方向テーブル 7 0 0 4の突部の上、 下面及び側面には前記静圧軸受け 7 0 1 1 a , 7 0 0 9 a , 7 0 1 0 a、 7 0 1 1 b、 7 0 0 9 b、 7 0 1 0 bと同様の静圧軸受け (図示せ ず) が同様の配置で設けられている。 Yテーブル 7 0 0 5と Xテーブル 7 0 0 4 との間には、 公知の構造のリニアモータ 7 0 1 3が配置されており、 Xテーブル の X方向の駆動をそのリニアモー夕で行うようにしている。
Xテーブル 7 0 0 4にはフレキシブル配管 7 0 2 1によって高圧ガスが供給さ れ、 静圧軸受けに高圧ガスを供給するようになっている。 この高圧ガスが静圧軸 受けから X方向ガイドの案内面に対して噴出されることによって、 Xテーブル 7 0 0 4が Y方向ガイドに対して高精度に非接触で支持されている。 真空チャンバ Cは公知の構造の真空ポンプ等に接続された真空配管 7 0 1 9、 7 0 2 0 a , 7 0 2 0 bによって排気されている。 配管 7 0 2 0 a , 7 0 2 0 bの入口側 (真空 チャンバ内側) は台座 7 0 0 6を貫通してその上面において、 X Yステージ 7 0 0 3から高圧ガスが排出される位置の近くで開口しており、 真空チャンバ内の圧 力が静圧軸受けから噴出される高圧ガスにより上昇するのを極力防止している。 鏡筒 7 0 0 1の先端部即ち荷電ビーム照射部 7 0 0 2の周囲には、 差動排気機 構 7 0 2 5が設けられ、 真空チャンバ C内の圧力が高くても荷電ビーム照射空間 7 0 3 0の圧力が十分低くなるようにしてある。 即ち、 荷電ビーム照射部 7 0 0 2周囲に取り付けられた差動排気機構 7 0 2 5の環状部材 7 0 2 6は、 その下面 (試料 S側の面) と試料との間で微少隙間 (数ミクロンから数百ミクロン) 7 0 4 0が形成されるように、 ハウジング 7 0 1 4に対して位置決めされており、 そ の下面には環状溝 7 0 2 7が形成されている。
環状溝 7 0 2 7は、 排気管 7 0 2 8により図示しない真空ポンプ等に接続され ている。 従って、 微少隙間 7 0 4 0は環状溝 7 0 2 7及び排気口 7 0 2 8を介し て排気され、 真空チャンバ Cから環状部材 7 0 2 6によって囲まれた空間 7 0 3 0内にガス分子が侵入しょうとしても、 排気されてしまう。 これにより、 荷電ビ —ム照射空間 7 0 3 0内の圧力を低く保つことができ、 荷電ビームを問題なく照 射することができる。 この環状溝は、 チャンバ内の圧力、 荷電ビーム照射空間 7 0 3 0内の圧力によっては、 二重構造或いは三重構造にしてもよい。
静圧軸受けに供給する高圧ガスは、 一般にドライ窒素が使用される。 しかしな がら、 可能ならば、 更に高純度の不活性ガスにする'ことが好ましい。 これは、 水 分や油分等の不純物がガス中に含まれると、 これらの不純物分子が真空チャンバ を画成するハウジングの内面やステージ構成部品の表面に付着して真空度を悪化 させたり、 試料表面に付着して荷電ビーム照射空間の真空度を悪化させてしまう からである。
試料 Sは、 通常 Xテーブル上に直接載置されるのでなく、 試料を取り外し可能 に保持したり X Yステージ 7 0 0 3に対して微少な位置変更を行うなどの機能を 持たせた試料台の上に載置されているが、 試料台の有無及びその構造は本願発明 の要旨には関係ないので、 説明を簡素化するために省略されている。
荷電ビーム装置 7 0 0 0では、 大気中で用いられる静圧軸受けのステージ機構 をほぼそのまま使用できるので、 露光装置等で用いられる大気用の高精度ステー ジと同等の高精度の X Yステージを、 ほぼ同等のコスト及び大きさで荷電ビーム 装置用の X Yステージに対して実現できる。 以上説明した静圧ガイドの構造や配 置及びァクチユエ一夕 (リニアモータ) はあくまでも一実施例であり、 大気中で 使用可能な静圧ガイドゃァクチユエ一夕ならば何でも適用できる。
図 5 6は、 差動排気機部 7 0 2 5の環状部材 7 0 2 6に形成される環状溝の大 きさの数値例を示す。 図 5 6の環状部材 7 0 2 6は、 半径方向に隔てられた二重 構造の環状溝 7 0 2 7 a及び 7 0 2 7 bを有し、 それぞれ排気 T M P、 D Pを排 出する。
静圧軸受けに供給される高圧ガスの流量は、 通常おおよそ 2 0 L Zm i n (大 気圧換算) 程度である。 真空チャンバ Cを、 内径 5 0 mmで長さ 2 mの真空配管 を介して 2 0 0 0 0 L /m i nの排気速度を有するドライポンプで排気すると仮 定すると、 真空チャンバ内の圧力は、 約 1 6 0 P a (約 1 . 2 T o r r ) となる。 この時、 差動排気機構部の環状部材 7 0 2 6及び環状溝等の寸法を、 図 5 6に示 すようにすれば、 荷電ビーム照射空間 7 0 3 0内の圧力を 1 0 -4P a ( 1 0 ·6Τ ο r r ) にすることができる。
図 5 7は、 本発明の実施例 2 3の荷電ビーム装置 7 0 0 0を示す。 ハウジング 7 0 1 4によって画成された真空チャンバ Cには、 真空配管 7 0 7 4、 7 0 7 5 を介してドライ真空ポンプ 7 0 5 3が接続されている。 また、 差動排気機構 7 0 2 5の環状溝 7 0 2 7は排気口 7 0 2 8に接続された真空配管 7 0 7 0を介して 超高真空ポンプである夕ーポ分子ポンプ 7 0 5 1が接続される。 更に、 鏡筒 7 0 0 1の内部は、 排気口 7 0 1 8に接続された真空配管 7 0 7 1を介して、 ターボ 分子ポンプ 7 0 5 2が接続される。 これらのターボ分子ポンプ 7 0 5 1、 7 0 5 2は、 真空配管 7 0 7 2、 7 0 7 3によってドライ真空ポンプ 7 0 5 3に接続さ れる。
図 5 7の荷電ビーム装置 7 0 0 0は、 ターボ分子ポンプの粗引きポンプと真空 チヤンバの真空排気用ポンプを 1台のドライ真空ポンプで兼用するが、 代わりに X Yステージの静圧軸受けに供給する高圧ガスの流量、 真空チャンバの容積や内 表面積、 真空配管の内径や長さに応じて、 それらを別系統のドライ真空ポンプで 排気する場合も考えられる。
X Yステージ 7 0 0 3の静圧軸受けには、 フレキシブル配管 7 0 2 1、 7 0 2 2を通して高純度の不活性ガス (N 2ガス、 A rガス等) が供給される。 静圧軸 受けから噴出したこれらのガス分子は真空チャンバ内に拡散し、排気口 7 0 1 9、 7 0 2 0 a、 7 0 2 0 bを通してドライ真空ポンプ 7 0 5 3によって排気される。 また、 差動排気機構や荷電ビーム照射空間に侵入したこれらのガス分子は環状溝 7 0 2 7或いは鏡筒 7 0 0 1の先端部から吸引され、 排気口 7 0 2 8及び 7 0 1 8を通ってターボ分子ポンプ 7 0 5 1及び 7 0 5 2によって排気され、 ターボ分 子ポンプから排出された後ドライ真空ポンプ 7 0 5 3によって排気される。 このように、 静圧軸受けに供給された高純度不活性ガスはドライ真空ポンプに 集められて排出される。
一方、 ドライ真空ポンプ 7 0 5 3の排気口は、 配管 7 0 7 6を介して圧縮機 7 0 5 4に接続され、 圧縮機 7 0 5 4の排気口は配管 7 0 7 7、 7 0 7 8、 7 0 7 9及びレギユレ一夕 7 0 6 1、 7 0 6 2を介してフレキシブル配管 7 0 2 1、 7 0 2 2に接続されている。 このため、 ドライ真空ポンプ 7 0 5 3から排出された 高純度不活性ガスは、 圧縮機 7 0 5 4によって再び加圧されレギユレ一夕 7 0 6 1、 7 0 6 2で適正な圧力に調整された後、 再び X Yテーブルの静圧軸受けに供 給される。
静圧軸受けに供給されるガスは上述したようにできるだけ高純度にし、 水分や 油分が極力含まれないようにする必要があるため、 ターボ分子ポンプ、 ドライポ ンプ及び圧縮機は、 ガス流路に水分や油分が混入しないような構造であることが 求められる。 また、 圧縮機の排出側配管 7 0 7 7の途中にコールドトラップゃフ ィル夕 7 0 6 0等を設け、 循環するガス中に混入した水分や油分等の不純物質を トラップして静圧軸受けに供給されないようにすることも有効である。
こうすることによって、 高純度不活性ガスを循環させて再利用できるので、 高 純度不活性ガスを節約でき、 また、 本装置が設置された部屋に不活性ガスをたれ 流さないので、 不活性ガスによる窒息等の事故が発生する恐れもなくすことがで きる。
循環配管系には高純度不活性ガス供給源 7 0 6 3が接続されており、 ガスの循 環を始める際に、 真空チャンバ Cや真空配管 7 0 7 0〜7 0 7 5及び加圧側配管 7 0 7 6〜7 0 8 0を含む全ての循環系に高純度不活性ガスを満たす役割と、 何 らかの原因で循環するガスの流量が減少した際に不足分を供給する役割とを担つ ている。 また、 ドライ真空ポンプ 7 0 5 3に大気圧以上まで圧縮する機能を持た せることによって、 ドライ真空ポンプ 7 0 5 3と圧縮機 7 0 5 4を 1台のポンプ で兼ねさせることも可能である。 鏡筒の排気に用いる超高真空ポンプには、 ター ポ分子ポンプの代わりにイオンポンプやゲッ夕ポンプ等のポンプを使用すること も可能である。 ドライ真空ポンプの代わりに、 他方式のドライポンプを使用することも可能である。
図 5 8は、 本発明の実施例 2 3の荷電ビーム装置 7 1 0 0を示す。 荷電ビーム 装置 7 1 0 0は、 図 5 7の荷電ビーム装置 7 0 0 0に使用可能な光学系 7 1 6 0 及び検出器 7 1 8 0を含む。 光学系 7 1 6 0は、 荷電ビームをステージ 7 0 0 3 上に載置された試料 Sに照射する一次光学系 7 1 6 1と、 試料から放出された二 次電子が投入される二次光学系 7 1 7 1と、 を備える。
図 5 8の一次光学系 7 1 6 1は、 荷電ビームを放出する電子銃 7 1 6 2と、 電 子銃 7 1 6 2から放出された荷電ビームを集束する 2段の静電レンズからなるレ ンズ系 7 1 6 3、 7 1 6 4と、 偏向器 7 1 6 5と、 荷電ビームをその光軸が対象 の面に垂直になるように偏向するウィーンフィル夕即ち E X B分離器 7 1 6 6と、 2段の静電レンズからなるレンズ系 7 1 6 7、 7 1 6 8と、 を備え、 それらは、 図 5 8に示されるように電子銃 7 1 6 1を最上部にして順に、 荷電ビームの光軸 が試料 Sの表面 (試料面) に鉛直な線に対して傾斜して配置されている。 E X B 偏向器 7 1 6 6は、 電極 7 6 6 1及び磁石 7 6 6 2を備える。
二次光学系 7 1 7 1は、 試料 Sから放出された二次電子が投入される光学系で あり、 一次光学系の E X B型偏向器 7 1 6 6の上側に配置された 2段の静電レン ズからなるレンズ系 7 1 7 2、 7 1 7 3を備える。 検出器 7 1 8 0は、 二次光学 系 7 1 7 1を介して送られた二次電子を検出する。 上記光学系 7 1 6 0及び検出 器 7 1 8 0の各構成要素の構造及び機能は従来のものと同じであるから、 それら についての詳細な説明は省略する。
電子銃 7 1 6 2から放出された荷電ビームは、電子銃の正方形開口で整形され、 2段のレンズ系 7 1 6 3及び 7 1 6 4によって縮小され、 偏光器 7 1 6 5で光軸 を調整されて E X B偏向器 7 1 6 6の偏向中心面に一辺が 1 . 2 5 mmの正方形 に結像される。 E X B偏向器 7 1 6 6は、 試料の法線に垂直な平面内において、 電界と磁界とを直交させた構造となっており、 電界、 磁界、 電子のエネルギの関 係が一定の条件を満たす時には電子を直進させ、 それ以外の時にはこれら電界、 磁界及び電界のエネルギの相互の関係により所定方向に偏向されるようになって いる。 電子銃からの荷電ビームを曲げて試料 Sに垂直に入射させ、 また試料から 放出された二次電子を検出器 7 1 8 0の方向に直進させるように設定される。 E X B偏光器で偏向された成形ビームはレンズ系 7 1 6 7、 7 1 6 8で 1 / 5に縮 小されて試料 Sに投影される。
試料 Sから放出されたパターン画像の情報を持った二次電子は、 レンズ系 7 1 6 7、 7 1 6 8及び 7 1 7 2、 7 1 7 3で拡大され、 検出器 7 1 8 0で二次電子 画像を形成する。 この 4段の拡大レンズは、 レンズ系 7 1 6 7及び 7 1 6 8が対 称タブレツトレンズを形成し、 レンズ系 7 1 7 2及び 7 1 7 3もやはり対称タブ レツトレンズを形成しているので無歪みレンズとなっている。
図 5 5乃至図 5 8の荷電ビ一ム装置 7 0 0 0は、 図 1 2及び図 1 3に示す半導 体デバイスの製造方法に使用可能である。 即ち、 図 1 2のウェハ検査工程又は図 1 3の露光工程に、 荷電ビーム装置 7 0 0 0を用いると、 微細なパターンを高精 度で安定して検査又は露光ができるので、 製品の歩留まりの向上、 欠陥製品の出 荷防止が可能と成る。
図 5 5乃至図 5 8の荷電ビーム装置 7 0 0 0は、 次の効果を奏する。
(ィ) 大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持った ステ一ジ (差動排気機構を持たない静圧軸受け支持のステージ) を使用して、 ス テ一ジ上の試料に対して荷電ビームによる処理を安定に行うことができる。
(口) 荷電ビーム照射領域の真空度に対する影響を最小限に抑えることが可能に なり、 荷電ビームによる試料への処理を安定化させることができる。
(八) ステージの位置決め性能が高精度で、 かつ荷電ビームの照射領域の真空度 が安定した検査装置を安価に提供することができる。
(二) ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が 安定した露光装置を安価に提供することができる。
(ホ) ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が 安定した装置によって半導体を製造することにより、 微細な半導体回路を形成で きる。
図 5 9は、 本発明の実施例 2 5の電子線装置 8 0 0 0の概略的配置図であり、 同図において、 電子銃 8 0 0 1から放出された電子線は、 コンデンサレンズ 8 0 0 2によって集束されて点 8 0 0 4においてクロスオーバを形成する。
コンデンサレンズ 8 0 0 2の下方には、 複数の開口 8 0 0 3 ' を有する第 1の マルチ開口板 8 0 0 3が配置され、これによつて複数の一次電子線が形成される。 第 1のマルチ開口板によって形成された一次電子線のそれぞれは、 縮小レンズ 8 0 0 5によって縮小されて点 8 0 1 5で合焦され後、 更に、 対物レンズ 8 0 0 7 によって試料 8 0 0 8に合焦される。 第 1のマルチ開口板 8 0 0 3から出た複数 の一次電子線は、 縮小レンズ 8 0 0 5と対物レンズ 8 0 0 7との間に配置された 偏向器により、 試料 8 0 0 8の面上の異なる位置を同時に走査するよう偏向され る。
縮小レンズ 8 0 0 5及び対物レンズ 8 0 0 7の像面湾曲収差の影響を無くすた め、 図 6 0に示すように、 マルチ開口板 8 0 0 3は、 その複数の開口 8 0 0 3, がマルチ開口板 3上の同一円周上に配置され、 その中心を X軸へ投影すると等間 隔となるようにされている。
図 5 9の実施例 2 5の電子線装置 8 0 0 0において、 複数の一次電子線によつ て照射された試料 8 0 0 8上の複数の点からは、それぞれ二次電子線が放出され、 対物レンズ 8 0 0 7の電界に引かれて細く集束され、 E X B分離器 8 0 0 6で偏 向され、 二次光学系に投入される。 二次電子像は点 8 0 1 5より対物レンズ 8 0 0 7に近い点 8 0 1 6に焦点を結ぶ。 これは、 各一次電子線は試料面上で 5 0 0 e Vにエネルギーを持っているのに対して、 二次電子線は数 e Vのエネルギーし か持っていないためである。
二次光学系は、 拡大レンズ 8 0 0 9、 8 0 1 0を有しており、 これらの拡大レ ンズ 8 0 0 9、 8 0 1 0を通過した二次電子線は第 2マルチ開口板 8 0 1 1の複 数の開口を通って複数の検出器 8 0 1 2に結像する。 なお、 検出器 8 0 1 2の前 に配置された第 2のマルチ開口板 8 0 1 1の複数の開口と、 第 1のマルチ開口板 8 0 0 3の複数の開口 8 0 0 3 ' とは位置関係が一対一に対応している。
■ 各検出器 8 0 1 2は、 検出した二次電子線を、 その強度を表す電気信号へ変換 する。 こうした各検出器から出力された電気信号は増幅器 8 0 1 3によってそれ ぞれ増幅された後、 画像処理部 8 0 1 4によって受信され、 画像データへ変換さ れる。 画像処理部 8 0 1 4には、 一次電子線を偏向させるための走査信号が更に 供給されるので、画像処理部 8 0 1 4は試料 8 0 0 8の面を表す画像を表示する。 この画像を標準パターンと比較することにより、 試料 8 0 0 8の欠陥を検出する ことができ、 また、 レジストレーシヨンにより試料 8 0 0 8の被測定パターンを 一次光学系の光軸の近くへ移動させ、 ラインスキャンすることによって線幅評価 信号を取り出し、 これを適宜に校正することにより、 試料 8 0 0 8上のパターン 'の線幅を測定することができる。
ここで、 第 1のマルチ開口板 8 0 0 3の開口を通過した一次電子線を試料 8 0 0 8の面上に合焦させ、 試料から放出された二次電子線を検出器 8 0 1 2に結像 させる際、 一次光学系で生じる歪み、 像面湾曲及び視野非点という 3つの収差に よる影響を最小にするよう特に配慮する必要がある。
次に、 複数の一次電子線の間隔と二次光学系との関係については、 一次電子線 の間隔を、 二次光学系の収差よりも大きい距離だけ離せば複数のビーム間のクロ ストロークを無くすことができる。
上記光学系では、 単一の電子銃からの電子線をマルチ開口を通すことによって マルチビームとした場合について述べたが、 電子銃を複数設けたり、 電子銃は 1 個であるがカソードのエミッシヨン領域を複数個とすることもできる。
図 6 1は図 5 9の対物レンズ 8 0 0 7に関するシュミレーシヨンモデルである。 符号 8 0 2 1は光軸、 8 0 2 2は対物レンズ 8 0 0 7の上部電極で 0 V (ポルト )、 8 0 2 3は高電圧が印加される対物レンズの中央電極、 2 4はアース電圧とさ れる対物レンズの下部電極であり、 試料面 2 5は、 — 4 0 0 0 Vとした。 8 0 2 6、 8 0 2 7、 8 0 2 8は、 電極を保持する絶縁物スぺーサを示す。 縮小レンズ 8 0 0 5が作るクロスオーバの位置を種々変化させるとともに、 対物レンズの中 央電極を変化させて、 z = 0 mmにあるマルチビームの像を試料面 8 0 2 5に合 焦させ、 そのときに生じる収差を計算した。
図 6 2は、 上記シミュレーションの結果を示グラフである。 図 6 2は、 変化さ せたクロスオーバ位置 (mm) を横軸とし、 それに対応して生じた収差の値を縦 軸に示す。 中央電極 8 0 2 3 (図 6 1 ) の上面は、 z = l 4 4 mmとした。 またマ ルチビームの r位置は 5 0 u rn, 開口半角は 5 mradとした。
図 6 2のグラフにおいて、 曲線 8 0 3 1はコマ収差、 8 0 3 2は倍率色収差、 8 0 3 3は非点収差、 8 0 3 4は軸上色収差、 8 0 3 5は像面湾曲、 8 0 3 6は 歪、 8 0 3 7はボケである。 マルチビームが光軸を中心とする円周上にある場合 は、 像面湾曲 8 0 3 5は 0であるからボケ 8 0 3 7は、 実質的に倍率色収差 8 0 3 2と軸上色収差 8 0 3 4で決る。ここで電子銃のエネルギー幅は 5 e Vとした。 クロスオーバ位置を 1 4 0 mmとした時、 倍率色収差 8 0 3 2はほぼ問題ない値 に小さくなつている。 即ち、 このシミュレーションによれば、 前段レンズが作る クロスオーバ位置を、 対物レンズ中央電極位置 (1 4 4 mm) よりも電子銃側に 形成する様にすれば良いことがわかる。
図 5 9の実施例 2 5の電子線装置 8 0 0 0は、 図 1 2及び図 1 3の半導体デバ イス製造工程のウェハの評価を行うために使用可能である。 図 1 2のウェハ検査 工程において、 図 5 9〜図 6 2の電子線装置を用いた場合、 微細なパターンを有 する半導体デバイスでも、 スループットよく検查できるので、 全数検査が可能と なり、 製品の歩留向上、 欠陥製品の出荷防止が可能となる。
図 5 9の実施例 2 5の電子線装置 8 0 0 0は、 次の作用効果を奏する。
( 1 ) マルチビームを使うことにより電子線によるウェハ等の評価を高スルー プット化できる。
( 2 ) マルチビームを配置する半径を大きくした時に問題となる倍率の色収差 を問題ないレベル迄小さくする事ができる。
図 6 4は、 本発明の電子線装置に使用可能な電子ビーム偏向器 9 0の詳細な構 造を示す水平断面図である。 図 6 5は図 6 4の A— A線に沿う側面図である。 図 6 4に示すように、 電子ビーム偏向器 9 0は、 写像投影光学部の光軸に垂直な平 面内において、 電界と磁界とを直交させた構造、 即ち E X B構造である。 ここで 電界 Eは、 凹面状の曲面を持つ電極 9 0 a、 9 O bにより発生される。 電極 9 0 a、 9 0 bが発生する電界は、 それぞれ制御部 9 3 a及び 9 3 bにより制御され る。 一方、 電界発生用の電極 9 0 a及び 9 0 bと直交するように、 電磁コイル 9 1 a及び 9 1 bを配置させ、 磁界を発生させる。 電界発生用の電極 9 0 a及び 9 O bは、 点対称 (同心円型) である。
磁界の均一性を向上させるために、 平行平板形状を有するポールピースを持た せて磁路を形成する。 A— A線に沿う縦断面における電子ビームの挙動は、 図 6 5に示される。 照射された電子ビーム 9 1 a及び 9 1 bは、 電極 9 0 a及び 9 0 bが発生する電界と、 電磁コイル 9 1 a及び 9 1 bが発生する磁界とによって偏 向された後、 試料表面に対し垂直方向に入射する。
電子ビーム 91 a及び 91 bの電子ビーム偏向部 90への入射位置及び角度は、 電子のエネルギーが決定されると一義的に決定される。 更に二次電子 92 a及び
92 bが直進するように、電界及び磁界の条件、即ち e vB=e Eとなるように、 電極 90 a及び 90 bが発生する電界と、 電磁コイル 91 a及び 91 bが発生す る磁界とを、 それぞれの制御部 93 a及び 93 b並びに 94 a及び 94 bが制御 することで、 二次電子は、 電子ビーム偏向部 27を直進して、 写像投影光学部へ 入射する。 ここで、 Vは電子の速度 (mZs)、 Bは磁場 (T)、 eは電荷量 (C)、 Eは電界 (VZm) である。
図 66は、 本発明における一次電子線の照射方法を説明するための平面図であ る。 図 66において 1次電子線 100は、 4本の電子線 101、 102、 1 03、
104により形成される。 それぞれの電子線は、 50 xm幅を走査する。 1次電 子線 101を例に取ると、 1次電子線 101は、 当初は左端にあり、 パターン 1 07を有する基板 W (試料) 上を右端へ走査され、 右端へ到達後、 すみやかに左 端へもどり、 その後、 改めて右方向へ走査される。 基板 Wを載置するステージの 移動方向は、 一次電子線の走査方向に対しほぼ垂直である。

Claims

請 求 の 範 囲
1 . 荷電粒子又は電磁波のいずれか 1つを検査対象へ照射して該検査対象を検 查する検査装置 (7 0、 7 0 0 ) において、
真空雰囲気に制御可能な、 検査対象を検査するワーキングチャンバと、 荷電粒子又は電磁波のいずれか 1つをビームとして発生させるビーム発生手段 と、
複数の前記ビームを前記ワーキングチャンバ内に保持した検査対象へ照射し、 検査対象から発生する 2次荷電粒子を検出し、 画像処理系へ導く電子光学系と、 該 2次荷電粒子により画像を形成する画像処理系と、
画像処理系の出力に基き、 検査対象の状態情報を表示又は記憶する情報処理系 と、
前記ビームに対し相対移動可能に検査対象を保持するステージ装置と、 を具備 することを特徴とする検査装置。
2 . 請求項 1の検査装置において、 検查対象を保全し、 前記ヮ一キングチャン バに搬出入する搬出入機構を具備することを特徴とする検査装置。
3 . 請求項 2の検査装置において、 前記搬出入機構は、 前記ステージ装置を収 容しておりかつ真空雰囲気に制御可能になっているワーキングチャンバと、 前記 ワーキングチャンバ内の前記ステージ装置上に検査対象を供給するローダーとを 備え、 前記ワーキングチャンバは床からの振動を遮断する振動遮断装置を介して 支持されることを特徴とする検査装置。
4 . 請求項 1の検査装置において、 前記ワーキングチャンバ内に配置された前 記検査対象に電位を印加する電位印加機構と、 前記電子光学系に対する前記検査 対象の位置決めのために前記検査対象の表面を観察してアラインメントを制御す るアラインメント制御装置とを備えることを特徴とする検査装置。
5 . 請求項 1の検査装置において、 前記電子光学系は、 対物レンズ及び E X B 分離器を有し、 複数の前記ビームを形成して前記検査対象に照射し、 前記ビーム の照射により放出される二次荷電粒子を前記対物レンズで加速させて前記 E X B 分離器で分離し、 二次荷電粒子像を投影する電子光学系と、 二次荷電粒子像を検 出する複数の検出器とを備えることを特徴とする検査装置。
6 . 請求項 3の検査装置において、 前記ローダーが、 それぞれが独立して雰囲 気制御可能になっている第 1のローディングチャンバ及び第 2のローディングチ ヤンバと、 前記検査対象を第 1のローディングチャンバ内とその外部との間で搬 送する第 1の搬送ュニットと、 前記第 2のローディングチヤンバに設けられてい て前記検査対象を前記第 1のローディングチャンバ内と前記ステージ装置上との 間で搬送する第 2の搬送ユニットとを備え、 前記検査装置が、 前記ローダーに検 查対象を供給するための仕切られたミニエンバイロメント空間を更に備えること を特徴とする検査装置。
7 . 請求項 1の検査装置において、 前記ステージ装置上の前記検査対象の座標 を検出するレーザ干渉測距装置を備え、 前記ァライメント制御装置により、 検査 対象に存在するパターンを利用して検査対象の座標を決めることを特徴とする検
8 . 請求項 6の検査装置において、 前記検査対象の位置合わせは、 前記ミニエ ンバイロメン卜空間内で行われる粗位置合わせと、 前記ステージ装置上で行われ る X Y方向の位置合わせ及び回転方向の位置合わせとを含むことを特徴とする検
9 . 請求項 1乃至 8の検査装置を用いてプロセス途中又はその後のウェハの欠 陥を検出するデバイス製造方法。
1 0 . 荷電粒子線を試料に照射し、 試料から放出される 2次荷電粒子を検出す る検査装置 (1 0 0 0 ) であって、
複数の荷電粒子線を試料に照射する少なくとも 1つの 1次光学系と、 前記 2次荷電粒子を少なくとも 1つの検出器に導く少なくとも 1つの 2次光学 系とを有し、
前記複数の荷電粒子線は、 互いに前記 2次光学系の距離分解能より離れた位置 に照射されるものである検查装置。
1 1 . 前記 1次光学系は、 前記荷電粒子線の照射間隔より広い間隔で、 前記荷 電粒子線を走査する機能を有する請求項 1 0の荷電粒子線装置。
1 2 . 前記 2次光学系の初段レンズと試料面との間には、 前記 2次荷電粒子線 を加速する電界が印加され、 試料面から少なくとも 4 5度より小さい角度で放出 された 2次荷電粒子が前記 2次光学系を通過するようにされる請求項 10の荷電 粒子線装置。
13. 請求項 10の検査装置であって、 前記複数の荷電粒子線は、 試料面に略 垂直入射され、 前記 2次荷電粒子は、 EXB分離器によって偏向され、 前記 1次 光学系から分離される検査装置。
14. 請求項 10乃至 13のいずれか 1項の検査装置を用いて、 デバイスの欠 陥検査を行うデバイス製造方法。
15. XYステージに試料を載置し、 試料を真空中で任意の位置に移動して試 料面に荷電粒子ビームを照射する検査装置 (2000) において、
X Yステージには、 静圧軸受けによる非接触支持機構と差動排気による真空シ —ル機構とを設け、
試料面上の荷電粒子ビームが照射される箇所と、 XYステージの静圧軸受け支 持部との間にコンダクタンスが小さくなる仕切りを設け、
荷電粒子ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにし たことを特徴とする検査装置。
16. 前記仕切りが差動排気構造を内蔵することを特徴とする請求項 1 5の検
1 7. 前記仕切りがコールドトラップ機能を有することを特徴とする請求項 1 5の検査装置。
18. 前記仕切りが、 荷電粒子ビーム照射位置の近傍と、 静圧軸受け近傍の 2 力所に設けられることを特徴とする請求項 15の検査装置。
19. 請求項 15の検査装置において、 前記 XYステージの静圧軸受けに供給 されるガスが、 窒素もしくは不活性ガスであることを特徴とする検査装置。
20. 請求項 15の検査装置において、 前記 XYステージの、 少なくとも静圧 軸受けに面する部品表面に放出ガスを低減するための表面処理を施したことを特 徴とする検査装置。 .
21. 請求項 15の検査装置を用いて、 半導体ウェハ表面の欠陥を検査する検
22. 請求項 15乃至 20のいずれかの検査装置を用いて、 半導体ウェハ表面 又はレチクルに半導体デバイスの回路パターンを描画する露光装置。
2 3 . 請求項 1 5乃至 2 0の検査装置を用いて半導体を製造する半導体製造方 法。 ' .
2 4 . 試料の欠陥を検査する陥検査装置 (3 0 0 0 ) であって、 試料上で部分 的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得す る画像取得手段と、 基準画像を記憶する記憶手段と、 前記画像取得手段により取 得された複数の被検査領域の画像と前記記憶手段に記憶された前記基準画像とを 比較することによって前記試料の欠陥を判断する欠陥判断手段と、 を含む検查装
2 5 . —次荷電粒子線を前記複数の被検査領域に各々照射し、 前記試料から二 次荷電粒子線を放出.させる電子光学系 (3 1 0 0 ) を更に含み、 前記画像取得手 段は、 前記複数の被検查領域から放出された二次荷電粒子線を検出することによ つて複数の被検查領域の画像を順次取得する請求項 2 4の検查装置。
2 6 . 前記電子光学系 (3 1 0 0 ) は、 一次荷電粒子を放出する粒子源と、 一 次荷電粒子を偏向させる偏向手段とを備え、 前記粒子源から放出された一次荷電 粒子を前記偏向手段で偏向させることによって、 該一次荷電粒子を前記複数の被 検査領域に順次照射する請求項 2 5の検査装置。
2 7 . —次荷電粒子線を試料に照射する 1次光学系と、 二次荷電粒子を検出器 に導く 2次光学系とを有することを特徴とする請求項 2 4乃至 2 6のいずれか 1 項の検査装置。
2 8 . 請求項 2 4乃至 2 6のいずれか 1項の検査装置を用いて、 加工中又は完 成品のゥエーハの欠陥を検査する方法。
2 9 . 試料面上に複数の 1次荷電粒子を照射する 1次電子光学系と、 試料面上 に形成される複数の 1次荷電粒子の照射点それぞれから放出される 2次荷電粒子 を対物レンズと試料面との間に印加された電界で加速、 集束し、 対物レンズと該 対物レンズのビーム発生手段側のレンズとの間に配置した E X B分離器で 1次電 子光学系から分離し、 2次電子検出器に導く 2次電子光学系とからなる検査装置
( 4 0 0 0 ) において、
1次電子光学系は、 複数の 1次荷電粒子の照射点が、 試料面上に 2次元的に形 成され、 且つ照射点の一軸方向への投影した点が等間隔となることを特徵とする
3 0 . 請求項 2 9の検査装置において、 複数の 1次荷電粒子線は、 試料面上に 2次元的に形成される複数の照射点の任意 2つの照射点間の距離の最大値が最小 となるように配置されることを特徴とする検査装置。
3 1 . 試料面上に複数の 1次荷電粒子線を照射する 1次荷電粒子線照射装置と 、 試料面上に形成される複数の 1次荷電粒子線照射点のそれぞれからの 2次荷電 粒子を検出する 2次荷電粒子検出器とを有し、 試料を移動しながら試料面の所定 領域からの 2次荷電粒子の検出を行う検査装置 (4 0 0 0 ) において、
1次荷電粒子線照射装置は、 1次荷電粒子線照射点が試料の移動方向に N行、 これと直角方向に M列をなすように配置される検査装置。
3 2 . 請求項 3 1の検査装置において、 1次荷電粒子線照射装置が、 ビーム発 生手段と、 ビーム発生手段から放出される粒子を受けて N行 M列の 1次荷電粒子 線照射点を形成する複数の荷電粒子線を形成する複数の開口を有する開口板とを 有し、 上記開口は、 ビーム発生手段から放出される荷電粒子の所定竜子密度の範 囲内に位置することを特徴とする検査装置
3 3 . 請求項 3 2の検査装置において、 各 1次荷電粒子線照射点は、 試料の移 動方向に対して直角方向に、 (列 M間の間隔) Z (行の数 N) + ひの距離だけ走 査し、 ここで は微小距離である検査装置。
3 4. 請求項 2 9乃至 3 3のいずれかの検査装置において、 2次電子検出器で 検出される 2次電子線を試料面の欠陥測定、 試料面上に形成される集積回路の配 線幅測定、 電位コントラスト測定、 合せ精度測定等の測定に用いるようにしたこ とを特徴とする検査装置。
3 5 . 請求項 3 2又は 3 3の検査装置において、 1次荷電粒子線照射装置が、 ビーム発生手段、 及び開口板により試料面に複数の 1次荷電粒子線照射点を形成 する 1次荷電粒子照射系を、 複数備え、 各 1次荷電粒子照射系の 1次荷電粒子が 、 他の 1次荷電粒子照射系の 1次荷電粒子と干渉しないようにしてあり、 また、 2次荷電粒子検出器を、 1次荷電粒子照射系のそれぞれに対応して複数設けたこ とを特徴とする検査装置。
3 6 . 単一のビーム発生手段から放出されたビームを複数の開口を有する開 口板に照射し、 前記複数の開口を通過した荷電粒子を試料に照射する一次光学系 と、 試料から発生した 2次荷電粒子を E X B分離器で一次光学系から分離し、 分 離された 2次荷電粒子を、 少なくとも 1段のレンズを有する二次光学系を介して 複数の検出器へ入射させ検出することを特徴とする検査装置 (4 1 0 0 )。
3 7 . 一体の力ソードを有するビーム発生手段から放出されたビームを複数の 開口を有する開口板に照射し、 前記複数の開口を通過したビームをそれぞれ試料 表面に合焦させ照射する一次光学系と、 試料から発生した 2次荷電粒子を E X B 分離器で一次光学系から分離し、 分離された 2次荷電粒子を、 少なくとも 1段の レンズを有する二次光学系を介して複数の検出器へ入射させ検出することを特徴 とする検査装置 (4 1 0 0 )。
3 8 . ビーム発生手段から放出されたを複数の開口を有する開口板に照射して 得られる複数の開口像を試料に入射させ、 該試料から放出される二次荷電粒子を 一次光学系から分離して二次光学系に入射させ、 二次光学系で拡大して検出器面 に投影する検査装置 (4 1 0 0 ) において、 一次光学系のレンズが作るビーム発 生手段の像の位置よりビーム ¾生手段側にずらした位置に単一の開口板を設け、 この開口板を設ける光軸方向位置を、 試料面に入射する各開口からのビーム強度 の差が最小となるようにしたことを特徴とする検査装置。
3 9 . ビーム発生手段から放出されたビームを複数の開口を有する開口板に照 射して得られる複数の開口像を試料に入射させ、 該試料から放出される二次荷電 粒子を一次光学系から分離して二次光学系に入射させ、 二次光学系で拡大して検 出器面に投影する検査装置 (4 1 0 0 ) において、 一次光学系のレンズが作るビ ーム発生手段の像の位置からビ一ム発生手段側へずらした位置に単一の開口板を 設け、 該ずらせる量はパターンの無い試料を試料面に置いたときに得られる二次 荷電粒子の検出量が前記複数の開口間での差が最小となるようにしたことを特徴 とする検査装置。
4 0 . 請求項 3 6乃至 3 9のいずれかの検査装置を用いて、 製造プロセス途中 のウェハーの評価を行うことを特徴とするデバイス製造方法。
4 1 . ビーム発生手段から放出されたビームで複数の開口を有する開口板を照 射し、 該複数の開口を通った一次荷電粒子線の縮小像を一次光学系を用いて試料 上に投影して走査し、 前記試料から放出された二次荷電粒子を二次光学系で 大 して検出器に投影する検査装置 (4 2 0 0 ) において、
前記一次光学系の歪みを補正するように前記複数の開口の位置を設定すること を特徴とする検査装置。
4 2 . 1以上のビーム発生手段から放出されたビームで複数の開口を有する第 1のマルチ開口板を照射し、 該複数の開口を通った一次荷電粒子線の縮小像を一 次光学系を用いて試料上に投影して走査し、 前記試料から放出された二次荷電粒 子を二次光学系で拡大して複数の検出素子からなる検出器で検出し、 複数の開口 が形成された第 2のマルチ開口板を前記検出器の前面に配置する検査装置 (4 2 0 0 ) であって、 前記二次光学系の歪みを補正するように、 前記第 2のマルチ開 口板の開口の位置を設定することを特徴とする検查装置。
4 3 . ビーム発生手段から放出されたビームで複数の開口を有する開口板を照 射し、 該複数の開口を通った一次荷電粒子の縮小像を一次光学系を用いて試料上 に投影して走査し、 前記試料から放出された二次荷電粒子の像を二次光学系によ つて検出器 ίこ投影する検査装置 (4 2 0 0 ) において、
前記一次光学系の視野非点を補正するように前記複数の開口の形状を設定する ことを特徴とする検査装置。
4 4 . ビーム発生手段から放出されたビームで複数の開口を有する開口板を照 射し、 該開口を通過した一次荷電粒子の縮小像を、 Ε Χ Β分離器を含む一次光学 系を用いて試料上に投影して走査し、 該試料から放出された二次荷電粒子の像を 写像光学系で検出器に投影し、 マルチチャンネルで画像データを取得する検査装 置 (4 2 0 0 ) において、
前記二次荷電粒子の像を前記 Ε X Β分離器の偏向主面に関して試料側に結像さ せ、 前記複数の開口からの一次荷電粒子の像を前記 Ε Χ Β分離器の偏向主面上に 結像させることを特徴とする検査装置。
4 5 . 請求項 4 1乃至 4 4のいずれか 1項の検査装置を用いて製造プロセス途 中のゥエー八の評価を行うことを特徴とするデバイス製造方法。
4 6 . 荷電粒子を放出する単一のビーム発生手段、 複数の孔を設けた開口板、 複数のレンズ及び相互に離隔して配置された少なくとも二つの EXB分離器を有 し、 前記ビーム発生手段からのビームを検査されるべき試料面上に照射する第一 次光学系と、 前記試料から放出された荷電粒子を、 前記 EXB分離器の内の一つ で第一次光学系から分離し、 二次電子検出装置に入射させて検出する第二次光学 系とを備える検査装置 (4300) であって、
前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成 し、 前記複数の孔の像の位置を前記 E X B分離器のそれぞれの位置に一致させ、 かつ前記それぞれの EXB分離器の電界で偏向される荷電粒子の方向が試料面上 で見て相互に逆方向となるようにしたことを特徴とする検査装置。
47. 請求項 46の検査装置において、 前記第一次光学系及び第二次光学系は 、 前記 EXB分離器の内の一つによって偏向された二次電子の経路が互いに干渉 しないように、 2行複数列の組に配置される検査装置。
48. ビームを放出する単一のビーム発生手段、 複数の孔を設けた開口板、 複 数のレンズ及び EXB分離器を有し、 前記ビーム発生手段からのビームを検査さ れるべき試料面上に照射する第一次光学系と、 前記試料から放出された二次荷電 粒子を、 前記 EXB分離器で第一次光学系から分離し、 二次荷電粒子検出装置へ 入射させて検出する第二次光学系とを備える検査装置 (4300) であって、 前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成 し、 前記 EXB分離器の電界に走査電圧を重畳させて、 前記ビームの偏向動作を させることを特徴とする検査装置。
49. 請求項 46又は 48の検査装置において、 前記第一次光学系及び第二次 光学系は、 前記 EXB分離器によって偏向された二次荷電粒子の経路が互いに干 渉しないように、 2行複数列の組に配置される検査装置。
50. 請求項 49の検査装置を用いて製造プロセス途中のウェハーの評価を行 うことを特徴とするデバイスの製造方法。
51. —次光学系により複数の一次荷電粒子線を試料に照射し、 試料から放出 される二次荷電粒子を、 対物レンズを通過後に EXB分離器で二次光学系に投入 し、 投入後少なくとも一段のレンズで複数の荷電粒子線間の間隔を拡大し、 複数 の検出器で検出する検査装置 (4400) であって、 対物レンズに少なくとも 3つの異なる励起電圧を個別に供給して、 第 1の方向 に平行なパターン ·エッジを第 2の方向に走査したときに得られる、 二次荷電粒 子の強度に対応する電気信号の立ち上がり幅を表す少なくとも 3つのデータを測 定することを特徴とする検査装置。
5 2 . 試料に対向して複数の鏡筒が配置された検査装置 (4 4 0 0 ) であって 、 鏡筒は、 請求項 5 .1の検査装置を含み、 各鏡筒の一次光学系が試料上に複数の 一次荷電粒子を他の鏡筒とは異なる位置に照射する検査装置。
5 3 . 請求項 5 1又は 5 2の検査装置において、 検査装置はウェハ上のパター ンが帯電している状態で、 対物レンズの励起条件を求めるよう構成される検査装 置。
5 4 . 一次光学系により複数の一次荷電粒子を試料に照射し、 試料から放出さ れる二次荷電粒子を、 対物レンズを通過後に E X B分離器で二次光学系に投入し 、 投入後少なくとも一段のレンズで複数の二次荷電粒子線間の間隔を拡大し、 複 数の検出器で検出する検査装置 (4 4 0 0 ) であって、
対物レンズは、 アースに近い第 1の電圧が印加される第 1の電極と、 第 1の電 圧より大きい第 2の電圧が印加される第 2の電極とを備え、 第 1の電極に印加さ れる第 1の電圧を変化させることによって、 対物レンズの焦点距離が変化される よう構成され、
対物レンズを励起する励起手段は、 対物レンズの焦点距離を大きく変化させる ために第 2の電極に印加する電圧を変更する手段と、 焦点距離を短時間で変化さ せるために第 1の電極に印加する電圧を変更する手段とを備える検査装置。
5 5 . 半導体デバイスの製造方法において、 請求項 5 1 - 5 4のいずれかの検 查装置を用いて、 プロセス途中又は終了後のウェハの評価を行う方法。
5 6 . 単一のビーム発生手段から放出されたビームを複数の孔を設けた開口板 でマルチビームにし、 前記マルチビームを少なくとも 2段の静電レンズで縮小し て検査されるべき試料を走査する第一次光学系と、 前記試料から放出された二次 荷電粒子ビーム粒子を静電対物レンズ通過後 E X B分離器で第一次光学系から分 離し、 その後少なくとも 1段の静電レンズで拡大して複数の検出装置に入射させ る第二次光学系とを備える検査装置 (4 5 0 0 ) であって、 スループットが大きいが解像度が比較的低いモード及びスループッ卜が小さい が解像度が高いモードで試料を評価するように、 少なくとも二種類の画素寸法で 試料の評価を行うことを特徴とする検査装置。
5 7 . 請求項 5 6の検査装置において、 前記第一次光学系でのマルチビームの 縮小率と、 前記第二次光学系の静電レンズでの拡大率とを関係付けるようにした ことを特徴とする検査装置。
5 8 . 請求項 5 6の検査装置において、 前記第一次光学系でのクロスオーバ像 を、 前記スループットが大きいが解像度が比較的低いモードにおいて、 前記対物 レンズの主面に形成する検査装置。
5 9 . 請求項 5 6の検査装置において、 前記第二次光学系の拡大率は、 第二次 光学系に配置された開口アパーチャよりも検出器側に設けられた静電レンズで調 整されるようにしたことを特徴とする検査装置。
6 0 . 請求項 5 6乃至 5 9のいずれかの検査装置を用いてプロセス途中のゥェ ハーの評価を行なうことを特徴とするデバイス製造方法。
6 1 . —次荷電粒子を発生し、 集束して試料上に走査させて照射する一次光学 系と、 前記試料の荷電粒子照射部分から放出された二次荷電粒子が投入される、 少なくとも 1段のレンズを有する二次光学系と、 前記二次荷電粒子を検出する検 出器とを備え、 前記荷電粒子照射部から放出された二次荷電粒子を加速し、 E X B分離器で一次光学系から分離して前記二次光学系に投入し、 前記二次荷電粒子 の像を前記レンズで拡大して検出器で検出する検査装置 (5 0 0 0 ) において、 前記一次光学系が複数の一次荷電粒子を発生して試料に同時に照射し、 前記検 出器が前記一次荷電粒子の数に対応して複数設けられていることと、
前記試料にリタ一ディング電圧を印加するためのリタ一ディング電圧印加装置 と、
前記試料のチャージァップ状態を調査するチャージアツプ調査機能と、 を備えることを特徴とする検査装置。
6 2 . 請求項 6 1の検査装置において、 前記チャージアップ調査機能からのチ ャ一ジアップ状態に関する情報に基づいて最適なリタ一ディング電圧を決定し、 それを前記試料に印加する機能、 或いは一次荷電粒子の照射量を変化させる機能 W請 01597 PC蘭 1/05496 を更に備える検査装置。
6 3 . 複数の荷電粒子を試料に照射する光学系と、 チャージアップ調査機能と を有する検査装置 (5 0 0 0 ) において、 前記チャージアップ調査機能は、 前記 試料に一次荷電粒子が照射されて発生した二次荷電粒子を複数の検出器で検出し て画像を形成したとき、 前記試料の特定部分のパターン歪み或いはパターンボケ を評価し、 その結果パターン歪み或いはパターンボケが大きい場合をチャージァ ップが大きいと評価する事を特徴とする検査装置。
6 4 . 請求項 6 1、 6 2又は 6 3の検査装置において、 前記チャージアップ調 查機能は、 試料に値が可変のリターデイング電圧を印加可能であり、 少なくとも 二つのリタ一ディング電圧を印加した状態で、 試料のパターン密度が大きく変化 している境界付近の画像形成を行い、 上記画像をオペレータがパターン歪み或い はパターンボケを評価可能なように表示する装置を有することを特徴とする検査
6 5 . 請求項 6 4の検査装置を用いてプロセス途中或いは終了後のウェハの評 価を行うことを特徴とするデバイスの製造方法。
6 6 . 光軸に直交する電界及び磁界を生成し、 進行方向の異なる少なくとも 2 つの荷電粒子を分離するための E X B分離器 (6 0 2 0 ) において、
電界を生成するための一対の平行な平板状の電極であって、 電極相互の間隔が 電界と直交する電極の長さよりも短く設定されている電極を備えた静電偏向器と 、 該静電偏向器と反対方向に荷電粒子を偏向させるトロイダル型又はサドル型の 電磁偏向器とを備えるる E X B分離器。
6 7 . 光軸に直交する電界及び磁界を生成し、 進行方向の異なる少なくとも 2 つの荷電粒子を分離するための E X B分離器 (6 0 4 0 ) において、 電界を生成 するための少なくとも 6極の電極を有し、 回転可能な電界を生成する静電偏向器 と、 該静電偏向器と反対方向に荷電粒子を偏向させるトロイダル型又はサドル型 の電磁偏向器とを備えるる E X B分離器。
6 8 . 請求項 6 6又は 6 7の E X B分離器において、 トロイダル型又はサドル 型の電磁偏向器は、 電界及び磁界の両方向の磁界を発生させる 2組の電磁コイル を有し、 これら 2組のコイルに流す電流比を調整することにより、 電磁偏向器に よる偏向方向が、 静電偏向器による偏向方向と反対となるように調整可能に構成 される EXB分離器。
69. 請求項 6 8の EXB分離器において、 サドル型又はトロイダル型の電磁 偏向器の内部に、 静電偏向器が配置される EXB分離器。
70. 複数の 1次荷電粒子を半導体ウェハに照射して該ウェハからの 2次荷電 粒子を複数の検出器で検出して画像データを得ることにより、 半導体ウェハの加 ェ状態を評価する検査装置 (6000) において、 1次荷電粒子と 2次荷電粒子 との分離用に、 請求項 68の EXB分離器を用いた検査装置。
7 1. XYステージ上に載置された試料に荷電粒子を照射する検査装置 (70 00) において、
X Yステージはハウジング内に収容されかつ静圧軸受けによりハウジングに対 して非接触で支持されており、 該ステージが収容されたハウジングは真空排気さ れ、 該検査装置の試料面上に荷電粒子を照射する部分の周囲には、 試料面上の荷 電粒子が照射される領域を排気する差動排気機構が設けられた検査装置。
7 2. 請求項 7 1の検査装置において、 前記 XYステージの静圧軸受けに供給 されるガスは窒素又は不活性ガスであり、 該窒素又は不活性ガスは、 該ステージ を収納するハウジングから排気された後加圧され、 再び前記静圧軸受けに供給さ れる検査装置。
73. 請求項 7 1又は 7 2の検査装置を用いて、 半導体ウェハ表面の欠陥を検 査する検査装置。
74. 請求項 7 1又は 7 2の検査装置を用いて、 半導体ウェハ表面又はレチク ルに半導体デバイスの回路パターンを描画する露光装置。
75. 請求項 7 1乃至 74のいずれかの装置を用いて半導体を製造する半導体 製造方法。
76. 複数の荷電粒子を、 コンデンサレンズを含むレンズ系で集束し、 対物レ ンズで試料上に結像する検査装置における前記結像の収差を低減する方法におい て、
前記レンズ系が対物レンズ近傍に作る前記荷電粒子のクロスオーバ位置を、 該 レンズ系を調節することにより変化させ、 該クロスオーバの位置の変化に伴って変化する前記結像における収差の値を測 定し、
該測定から収差の値が所定値以下になる範囲に対応する前記クロスオーバの位 置を特定し、
前記レンズ系を調節することにより前記クロスオーバの位置を前記特定した位 置に設定するようにした検査装置 (8 0 0 0 ) の設定方法。
7 7 . 複数の荷電粒子を、 コンデンサレンズを含むレンズ系で集束し、 対物レ ンズで試料上に結像する検査装置 (8 0 0 0 ) において、
前記レンズ系を調節することによりクロスオーバの位置を変化させ、 それに伴 つて変化する前記結像における収差の値を測定することにより決定される、 前記 収差の値を所定値以下にするためのクロスオーバの位置に、 当該クロスオーバの 位置を設定したことを特徴とする検査装置。
7 8 . 前記収差を倍率の色収差として前記クロスオーバの位置を設定した請求 項 7 7の検査装置。
7 9 .. 請求項 7 7の検査装置おいて、 複数の荷電粒子は、 単一のビュム発生手 段から放射されて複数の開口を通過して形成された複数の荷電粒子、 又は複数の ビーム発生手段から放出された複数の荷電粒子若しくは単一のピ一ム発生手段に 形成された複数のェミッタ一から放出された複数の荷電粒子である検査装置。
8 0 . 請求項 7 7乃至 7 9のいずれかの検査装置おいて、 前記クロスオーバ位 置を、 対物レンズの主面より前記レンズ系側に設定した検査装置。
8 1 . 請求項 7 7乃至 8 0のいずれかの検查装置を用いて、 製造プロセス途中 のゥエーハの評価を行うことを特徴とするデバイス製造方法。
8 2 . —次電子線を発生し、 集束して試料上に走査させて照射する一次光学系 と、 前記試料の電子線照射部分から放出された二次電子が投入される、 少なくと も 1段のレンズを有する二次光学系と、 前記二次電子を検出する検出器とを備え
、 前記電子線照射部から放出された二次電子を加速し、 E X B分離器で一次光学 系から分離して前記二次光学系に投入し、 前記二次電子の像を前記レンズで拡大 して検出器で検出する電子線装置 (5 0 0 0 ) において、
前記一次光学系が複数の一次電子線を発生して試料に同時に照射し、 前記検出 器が前記一次電子線の数に対応して複数設けられ、
電子線装置は、 前記試料にリターディング電圧を印加するためのリ夕一ディン グ電圧印加装置と、 前記試料のチヤージアツプ状態を調査するチャージアツプ調 查機能と、 を備え、 前^チャージアップ調査機能からのチャージアップ状態に関 する情報に基づいて最適なリ夕一ディング電圧を決定し、 それを前記試料に印加 するか又は一次電子線の照射量を変化させることを特徴とする検査装置。
8 3 . ビームを放出する単一のビーム発生手段、 複数の孔を設けた開口板、 複 数のレンズ及び E X B分離器を有し、 前記ビーム発生手段からのビームを検査さ れるべき試料面上に照射する第一次光学系と、 前記試料から放出された二次荷電 粒子を、 前記 E X B分離器で第一次光学系から分離し、 二次荷電粒子検出装置へ 入射させて検出する第二次光学系とを備える検査装置 (4 3 0 0 ) であって、 前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成 し、 前記複数の孔の像の位置を前記 E X B分離器の位置に一致させ、 かつ前記 E X B分離器の電界に走査電圧を重畳させて、 前記ビームの偏向動作をさせる検查 装置。
8 4 . 荷電粒子又は電磁波のいずれかを検査対象に照射して検査対象を検査す る検査方法において、
真空雰囲気に制御可能な、 検査対象を検査するワーキングチャンバと、 荷電粒 子又は電磁波のいずれかをビームとして発生させるビーム発生手段と、 複数の前 記ビームを前記ワーキングチャンバ内に保持した検査対象へ照射し、 検査対象か ら発生する 2次荷電粒子を検出し、 画像処理系へ導く電子光学系と、 該 2次荷電 粒子により画像を形成する画像処理系と、 該画像処理系の出力に基き、 検査対象 の状態情報を表示又は記憶する情報処理系と、 前記ビームに対し相対移動可能に 検査対象を保持するステージ装置と、 を設け、
前記検査方法は、 検査対象の位置を測定することにより前記ビームを検査対象 上へ正確に位置付け、 測定された荷電粒子又は電磁波のいずれかを検査対象表面 の所望の位置に前記ビームを偏向させ、 前記検査対象表面の所望の位置を前記ビ ームで照射し、 前記検査対象から生じる 2次荷電粒子を検出し、 前記 2次荷電粒 子により画像を形成し、 前記画像処理系の出力に基き、 検査対象の状態情報を表 示又は記憶する検査方法。
8 5 . 荷電粒子線を試料に照射し、 試料から放出される 2次荷電粒子を検出す る換査方法 (1 0 0 0 ) であって、
複数の荷電粒子線を試料に照射する少なくとも 1つの 1次光学系及び前記 2次 荷電粒子を少なくとも 1つの検出器に導く少なくとも 1つの 2次光学系を用意し 、 前記複数の荷電粒子線を互いに前記 2次光学系の距離分解能より離れた位置に 照射する工程を含む検査方法。
8 6 . 試料の欠陥を検査する検査方法 (3 0 0 0 ) であって、 試料上で部分的 に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する 画像取得工程と、 基準画像を記憶する記憶工程と、 前記画像取得工程により取得 された複数の被検査領域の画像と前記記憶工程で記憶された前記基準画像とを比 較することによつて前記試料の欠陥を判断する欠陥判断工程とを含む検査方法。
8 7 . 一次荷電粒子を放出する粒子源及び一次荷電粒子を偏向させる偏向手段 を備える電子光学系 (3 1 0 0 ) を用意し、 一次荷電粒子を偏向手段で偏向させ ることによって、 一次荷電粒子を前記複数の被検査領域に順次照射する請求項 8 6の検査方法。
8 8 . 単一のビーム発生手段から放出されたビームを複数の開口を有する開 口板に照射する工程、 一次光学系により前記複数の開口を通過した荷電粒子を試 料に照射する工程、 試料から発生した 2次荷電粒子を E X B分離器で一次光学系 から分離する工程、 及び分離された 2次荷電粒子を、 少なくとも 1段のレンズを 有する二次光学系を介して複数の検出器へ入射させ検出する工程を含む検査方法 ( 4 1 0 0 ) o
8 9 . 一体の力ソードを有するビーム発生手段から放出されたビームを複数の 開口を有する開口板に照射する工程、 一次光学系により前記複数の開口を通過し たビームをそれぞれ試料表面に合焦させ照射する工程、 試料から発生した 2次荷 電粒子を E X B分離器で一次光学系から分離する工程、 及び分離された 2次荷電 粒子を少なくとも 1段のレンズを有する二次光学系を介して複数の検出器へ入射 させ検出する工程を含む検査方法 (4 1 0 0 )。
9 0 . ビーム発生手段から放出されたビームを複数の開口を有する開口板に照 射して得られる複数の開口像を試料に入射させる工程、 及び試料から放出される 二次荷電粒子を一次光学系から分離して二次光学系に入射させ二次光学系で拡大 して検出器面に投影する工程を含む検査方法 (4 1 0 0 ) において、 一次光学系 のレンズが作るビーム発生手段の像の位置よりビーム発生手段側にずらした位置 に単一の開口板を設ける工程、 及びこの開口板を設ける光軸方向位置を、 試料面 に入射する各開口からのビーム強度の差が最小となるようにする工程を含む検査 方法。
9 1 . ビーム発生手段から放出されたビームを複数の開口を有する開口板に照 射して得られる複数の開口像を試料に入射させる工程、 及び試料から放出される 二次荷電粒子を一次光学系から分離して二次光学系に入射させ、 二次光学系で拡 大して検出器面に投影する工程を含む検査方法 (4 1 0 0 ) において、 一次光学 系のレンズが作るビーム発生手段の像の位置からビーム発生手段側へずらした位 置に単一の開口板を設け、 該ずらせる量はパターンの無い試料を試料面に置いた ときに得られる二次荷電粒子の検出量が前記複数の開口間での差が最小となるよ うにする検査方法。
9 2 . 1以上のビーム発生手段から放出されたビームで複数の開口を有する第 1のマルチ開口板を照射する工程、 複数の開口を通った一次荷電粒子の縮小像を 一次光学系を用いて試料上に投影して走査する工程、 試料から放出された二次荷 電粒子を二次光学系で拡大して複数の検出素子からなる検出器で検出する工程、 及び複数の開口が形成された第 2のマルチ開口板を前記検出器の前面に配置する 工程を含む検査方法 (4 2 0 0 ) であって、 前記二次光学系の歪みを補正するよ うに、 前記第 2のマルチ開口板に形成された開口の位置を設定する検査方法。
9 3 . ビームを放出する単一のビーム発生手段、 複数の孔を設けた開口板、 複 数のレンズ及び E X B分離器を有し、 前記ビーム発生手段からのビームを検査さ れるべき試料面上に照射する第一次光学系を用意する工程、 及び前記試料から放 出された二次荷電粒子を、 前記 E X B分離器で第一次光学系から分離し、 二次荷 電粒子検出装置へ入射させて検出する工程を含む検査方法 (4 3 0 0 ) であって
、 前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成 し、 前記 E X B分離器の電界に走査電圧を重畳させて、 前記ビームの偏向動作を させる検査方法。
9 4 . 一次光学系により複数の一次荷電粒子線を試料に照射する工程、 及び試 料から放出される二次荷電粒子を、 対物レンズを通過後に E X B分離器で二次光 学系に投入し、 投入後少なくとも一段のレンズで複数の荷電粒子線間の間隔を拡 大し、 複数の検出器で検出する工程を含む検査方法 (4 4 0 0 ) であって、 対物レンズに少なくとも 3つの異なる励起電圧を個別に供給して、 第 1の方向 に平行なパターン ·エッジを第 2の方向に走査したときに得られる、 二次荷電粒 子の強度に対応する電気信号の立ち上がり幅を表す少なくとも 3つのデータを測 定する検査方法。
9 5 . —次光学系により複数の一次荷電粒子を試料に照射する工程、 試料から 放出される二次荷電粒子を、 対物レンズを通過後に E X B分離器で二次光学系に 投入し、 投入後少なくとも一段のレンズで複数の二次荷電粒子線間の間隔を拡大 し、 複数の検出器で検出する工程を含む検査方法 (4 4 0 0 ) であって、 対物レンズは、 アースに近い第 1の電圧が印加される第 1の電極と、 第 1の電 圧より大きい第 2の電圧が印加される第 2の電極とを備え、 第 1の電極に印加さ れる第 1の電圧を変化させることによって、 対物レンズの焦点距離が変化される ものであり、
対物レンズを励起する励起手段は、 対物レンズの焦点距離を大きく変化させる ために第 2の電極に印加する電圧を変更する手段と、 焦点距離を短時間で変化さ せるために第 1の電極に印加する電圧を変更する手段とを備える検査方法。
9 6 . 単一のビーム発生手段から放出されたビームを複数の孔を設けた開口板 でマルチビームにする工程、 第一次光学系により前記マルチビームを少なくとも
2段の静電レンズで縮小して検査されるべき試料を走査する工程、 前記試料から 放出された二次荷電粒子ビーム粒子を静電対物レンズ通過後 E X B分離器で第一 次光学系から分離し、 その後少なくとも 1段の静電レンズで拡大して複数の検出 装置に入射させる工程を含む検査方法 (4 5 0 0 ) であって、
スループッ卜が大きいが解像度が比較的低いモード及びスループットが小さい が解像度が高いモードで試料を評価するように、 少なくとも二種類の画素寸法で 試料の評価を行うことを特徴とする検査方法。
9 7 . —次荷電粒子を発生し、 集束して試料上に走査させて照射する一次光学 系、 及び前記試料の荷電粒子照射部分から放出された二次荷電粒子が投入される 、 少なくとも 1段のレンズを有する二次光学系を用意する工程、 並びに前記荷電 粒子照射部から放出された二次荷電粒子を加速し、 E X B分離器で一次光学系か ら分離して前記二次光学系に投入し、 前記二次荷電粒子の像を前記レンズで拡大 して検出器で検出する工程を含む検査方法 (5 0 0 0 ) であって、
前記一次光学系により複数の一次荷電粒子を発生させ試料に同時に照射するェ 程、 前記検出器を前記一次荷電粒子の数に対応して複数設ける工程、 前記試料に リタ一ディング電圧を印加する工程、 前記試料のチャージアップ状態を調査する 工程を含む検査方法。
9 8 . 複数の荷電粒子を試料に照射する光学系と、 チャージアップ調査機能と を有する検査装置 (5 0 0 0 ) を使用する検査方法であって、 前記チャージアツ プ調查機能は、 前記試料に一次荷電粒子が照射されて発生した二次荷電粒子を複 数の検出器で検出して画像を形成したとき、 前記試料の特定部分のパターン歪み 或いはパターンボケを評価し、 その結果パターン歪み或いはパターンボケが大き い場合をチャージアップが大きいと評価する検査方法。
9 9 . X Yステージ上に載置された試料に荷電粒子を照射する検査方法 (7 0 0 0 ) であって、
X Yステージはハウジング内に収容されかつ静圧軸受けによりハウジングに対 して非接触で支持されており、 該ステージが収容されたハウジングは真空排気さ れ、 該検査装置の試料面上に荷電粒子を照射する部分の周囲には、 試料面上の荷 電粒子が照射される領域を排気する差動排気機構が設けられる検査方法。
1 0 0 . ビームを放出する単一のビーム発生手段、 複数の孔を設けた開口板、 複数のレンズ及び E X B分離器を有し、 前記ビーム発生手段からのビームを検查 されるべき試料面上に照射する第一次光学系を用意する工程、 前記試料から放出 された二次荷電粒子を、 前記 E X B分離器で第一次光学系から分離し、 二次荷電 粒子検出装置へ入射させて検出する第二次光学系を用意する工程を含む検査方法 ( 4 3 0 0 ) であって、
前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成 し、 前記複数の孔の像の位置を前記 EX B分離器の位置に一致させ、 かつ前記 E XB分離器の電界に走查電圧を重畳させて、 前記ビームの偏向動作をさせる検査 方法。
PCT/JP2001/005496 2000-06-27 2001-06-27 Appareil d'inspection a faisceau de particules chargees et procede de fabrication d'un dispositif utilisant cet appareil d'inspection WO2002001597A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002505648A JPWO2002001597A1 (ja) 2000-06-27 2001-06-27 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
KR1020027014575A KR100885940B1 (ko) 2000-06-27 2001-06-27 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법
EP01943833A EP1296351A4 (en) 2000-06-27 2001-06-27 INVESTIGATION DEVICE FOR LOADED PARTICLE RAYS AND METHOD FOR PRODUCING A COMPONENT ELEVATED WITH THIS INSPECTION DEVICE

Applications Claiming Priority (28)

Application Number Priority Date Filing Date Title
JP2000192918 2000-06-27
JP2000-192918 2000-06-27
JP2000335752 2000-11-02
JP2000-335752 2000-11-02
JP2000-336156 2000-11-02
JP2000-335751 2000-11-02
JP2000336156 2000-11-02
JP2000-336091 2000-11-02
JP2000336091 2000-11-02
JP2000335751 2000-11-02
JP2000337058 2000-11-06
JP2000-337058 2000-11-06
JP2000-377285 2000-12-12
JP2000377285 2000-12-12
JP2001031901 2001-02-08
JP2001-31906 2001-02-08
JP2001031906 2001-02-08
JP2001-31901 2001-02-08
JP2001033599 2001-02-09
JP2001-33599 2001-02-09
JP2001112745 2001-04-11
JP2001-112745 2001-04-11
JP2001115060 2001-04-13
JP2001-115060 2001-04-13
JP2001-143084 2001-05-14
JP2001143084 2001-05-14
JP2001158571 2001-05-28
JP2001-158571 2001-05-28

Publications (1)

Publication Number Publication Date
WO2002001597A1 true WO2002001597A1 (fr) 2002-01-03

Family

ID=27584944

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/005496 WO2002001597A1 (fr) 2000-06-27 2001-06-27 Appareil d'inspection a faisceau de particules chargees et procede de fabrication d'un dispositif utilisant cet appareil d'inspection

Country Status (5)

Country Link
US (3) US7135676B2 (ja)
EP (1) EP1296351A4 (ja)
KR (1) KR100885940B1 (ja)
TW (1) TWI297167B (ja)
WO (1) WO2002001597A1 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7005641B2 (en) 2001-06-15 2006-02-28 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
JP2006319021A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp 偏向器及びその作製方法、並びにそれを用いた荷電粒子ビーム描画装置
JP2008218422A (ja) * 2003-09-05 2008-09-18 Carl Zeiss Smt Ag 粒子光学装置及び荷電粒子ビーム操作方法
JP2009075120A (ja) * 2008-10-28 2009-04-09 Toshiba Corp 基板検査方法および基板検査装置
JP2010272528A (ja) * 2010-06-17 2010-12-02 Ebara Corp 試料表面検査方法および検査装置
JP2012174809A (ja) * 2011-02-18 2012-09-10 Canon Inc インプリント装置及び物品の製造方法
KR101443426B1 (ko) 2010-07-16 2014-09-24 캐논 가부시끼가이샤 묘화 장치 및 물품 제조 방법
KR20170092667A (ko) * 2014-12-09 2017-08-11 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스
CN108387788A (zh) * 2018-01-23 2018-08-10 清华大学 制备集成共路干涉电场传感器的最优光学偏置点筛选方法
WO2018186294A1 (ja) * 2017-04-05 2018-10-11 株式会社Photo electron Soul 電子線発生装置、および、電子線適用装置
TWI696206B (zh) 2018-09-27 2020-06-11 日商Photo Electron Soul股份有限公司 電子射線產生裝置以及電子射線應用裝置
JP2020144010A (ja) * 2019-03-06 2020-09-10 株式会社ニューフレアテクノロジー マルチ電子ビーム検査装置及びマルチ電子ビーム検査方法
WO2022185390A1 (ja) * 2021-03-01 2022-09-09 株式会社日立ハイテク 荷電粒子線装置
JP7400106B2 (ja) 2019-12-19 2023-12-18 エーエスエムエル ネザーランズ ビー.ブイ. 低クロストークを有する多重荷電粒子ビーム装置
TWI827493B (zh) * 2020-07-30 2023-12-21 德商卡爾蔡司Smt有限公司 在沿至少一軸可位移且對至少一軸可旋轉的樣品台上確定對準光罩的裝置和方法以及包含指令的電腦程式

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6765217B1 (en) * 1998-04-28 2004-07-20 Nikon Corporation Charged-particle-beam mapping projection-optical systems and methods for adjusting same
JP3767341B2 (ja) * 2000-07-21 2006-04-19 株式会社日立製作所 電子線を用いたパターン検査方法及びその装置
US6686597B2 (en) * 2000-09-04 2004-02-03 Pioneer Corporation Substrate rotating device, and manufacturing method and apparatus of recording medium master
JP2002260296A (ja) * 2001-02-28 2002-09-13 Sony Corp 電子ビーム照射装置及び電子ビーム照射方法
TW579536B (en) * 2001-07-02 2004-03-11 Zeiss Carl Semiconductor Mfg Examining system for the particle-optical imaging of an object, deflector for charged particles as well as method for the operation of the same
US6946655B2 (en) * 2001-11-07 2005-09-20 Applied Materials, Inc. Spot grid array electron imaging system
US7391036B2 (en) 2002-04-17 2008-06-24 Ebara Corporation Sample surface inspection apparatus and method
US7359045B2 (en) * 2002-05-06 2008-04-15 Applied Materials, Israel, Ltd. High speed laser scanning inspection system
US20040026634A1 (en) * 2002-08-08 2004-02-12 Takao Utsumi Electron beam proximity exposure apparatus
DE10236738B9 (de) * 2002-08-09 2010-07-15 Carl Zeiss Nts Gmbh Elektronenmikroskopiesystem und Elektronenmikroskopieverfahren
US7157703B2 (en) * 2002-08-30 2007-01-02 Ebara Corporation Electron beam system
US7098468B2 (en) * 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
JP4074185B2 (ja) * 2002-12-17 2008-04-09 日本電子株式会社 エネルギーフィルタ及び電子顕微鏡
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
US20050097021A1 (en) * 2003-11-03 2005-05-05 Martin Behr Object analysis apparatus
JP4282447B2 (ja) * 2003-11-26 2009-06-24 株式会社東芝 リソグラフィ評価方法、リソグラフィプロセスおよびプログラム
JP4248382B2 (ja) * 2003-12-04 2009-04-02 株式会社日立ハイテクノロジーズ 荷電粒子ビームによる検査方法および検査装置
US7400759B2 (en) * 2003-12-23 2008-07-15 Eastman Kodak Company Method for testing a plastic sleeve for an image cylinder or a blanket cylinder
US20050205781A1 (en) * 2004-01-08 2005-09-22 Toshifumi Kimba Defect inspection apparatus
KR101056142B1 (ko) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
JP4481982B2 (ja) 2004-03-04 2010-06-16 パイオニア株式会社 情報記録方法、および情報記録装置
JP2005249745A (ja) * 2004-03-08 2005-09-15 Ebara Corp 試料表面検査方法および検査装置
US7365321B2 (en) * 2004-03-22 2008-04-29 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
CN1934503A (zh) * 2004-03-23 2007-03-21 先锋株式会社 电子束描绘装置
KR100583123B1 (ko) * 2004-04-12 2006-05-23 주식회사 하이닉스반도체 웨이퍼 검사 방법
US7902931B1 (en) 2004-06-18 2011-03-08 The United States of America as represened by the Secretary of the Navy Wideband non-linear circuits for implementation in frequency channel separation
JP4578875B2 (ja) * 2004-07-16 2010-11-10 株式会社荏原製作所 写像型電子顕微鏡
US7067807B2 (en) * 2004-09-08 2006-06-27 Applied Materials, Israel, Ltd. Charged particle beam column and method of its operation
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US20070252499A1 (en) * 2004-10-18 2007-11-01 Leica Microsystems Cms Gmbh Scanning microscope
DE102005032601A1 (de) * 2005-01-07 2006-07-20 Heidelberger Druckmaschinen Ag Druckmaschine
US7468506B2 (en) * 2005-01-26 2008-12-23 Applied Materials, Israel, Ltd. Spot grid array scanning system
US7468507B2 (en) * 2005-01-26 2008-12-23 Applied Materials, Israel, Ltd. Optical spot grid array scanning system
JP4498185B2 (ja) * 2005-03-23 2010-07-07 株式会社東芝 基板検査方法、半導体装置の製造方法および基板検査装置
US7462828B2 (en) * 2005-04-28 2008-12-09 Hitachi High-Technologies Corporation Inspection method and inspection system using charged particle beam
JP4537277B2 (ja) * 2005-07-08 2010-09-01 株式会社日立ハイテクノロジーズ 半導体検査装置
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
WO2007028596A1 (en) * 2005-09-06 2007-03-15 Carl Zeiss Smt Ag Charged particle inspection method and charged particle system
US7427765B2 (en) * 2005-10-03 2008-09-23 Jeol, Ltd. Electron beam column for writing shaped electron beams
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP2267753A3 (en) 2005-11-28 2011-01-26 Carl Zeiss SMT AG Particle-optical component
US20070145266A1 (en) * 2005-12-12 2007-06-28 Avi Cohen Electron microscope apparatus using CRT-type optics
JP4930754B2 (ja) * 2006-01-25 2012-05-16 エスアイアイ・ナノテクノロジー株式会社 荷電粒子ビーム装置
US7405402B1 (en) * 2006-02-21 2008-07-29 Kla-Tencor Technologies Corporation Method and apparatus for aberration-insensitive electron beam imaging
JP4878501B2 (ja) * 2006-05-25 2012-02-15 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置
US8134135B2 (en) * 2006-07-25 2012-03-13 Mapper Lithography Ip B.V. Multiple beam charged particle optical system
WO2008014463A2 (en) * 2006-07-27 2008-01-31 Thermo Electron Scientific Instruments Llc Automatic material labeling during spectral image data acquisition
JP4896626B2 (ja) * 2006-08-22 2012-03-14 株式会社日立ハイテクノロジーズ 走査電子顕微鏡
JP4388045B2 (ja) * 2006-08-29 2009-12-24 株式会社東芝 パターン検査方法
TWI443704B (zh) * 2006-09-12 2014-07-01 Ebara Corp 荷電粒子束裝置及使用該裝置之元件製造方法
EP1916695B1 (en) * 2006-10-25 2018-12-05 ICT, Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam apparatus and method for operating it
JP4981410B2 (ja) * 2006-10-31 2012-07-18 株式会社日立ハイテクノロジーズ 走査型電子顕微鏡、走査型電子顕微鏡を用いたパターンの複合検査方法、および走査型電子顕微鏡の制御装置
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
WO2008086282A2 (en) * 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8305432B2 (en) 2007-01-10 2012-11-06 University Of Washington Scanning beam device calibration
US20110163229A1 (en) * 2007-02-22 2011-07-07 Applied Materials Israel, Ltd. High throughput sem tool
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7777535B1 (en) 2008-05-22 2010-08-17 United States Of America As Represented By The Secretary Of The Navy Coupled nonlinear elements for frequency down-conversion systems and methods
US7636156B2 (en) 2007-06-15 2009-12-22 Qimonda Ag Wafer inspection system and method
JP5497980B2 (ja) * 2007-06-29 2014-05-21 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置、及び試料検査方法
US7796804B2 (en) * 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) * 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
WO2009026358A1 (en) * 2007-08-20 2009-02-26 Kla-Tencor Corporation Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US7453274B1 (en) * 2007-10-09 2008-11-18 Kla-Tencor Technologies Corporation Detection of defects using transient contrast
US7891078B1 (en) 2008-01-07 2011-02-22 The United States Of America As Represented By The Secretary Of The Navy Sensor-based feedback method for improved assembly of vacuum electronic devices
US8099967B2 (en) * 2008-04-10 2012-01-24 Yu Jia Portable rack carrier device and the method of use
JP5094517B2 (ja) * 2008-04-11 2012-12-12 キヤノン株式会社 露光装置、測定方法、安定化方法及びデバイスの製造方法
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
WO2009144791A1 (ja) 2008-05-28 2009-12-03 株式会社アドバンテスト 試験システムおよび書込用ウエハ
WO2009147707A1 (ja) * 2008-06-02 2009-12-10 株式会社島津製作所 液晶アレイ検査装置、および撮像範囲の補正方法
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
NL2003304C2 (en) * 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
WO2010027368A1 (en) * 2008-09-08 2010-03-11 Multibeam Systems, Inc. Variable-ratio double-deflection beam blanker
WO2010050132A1 (ja) * 2008-10-28 2010-05-06 株式会社アドバンテスト 試験装置および回路モジュール
JP5357528B2 (ja) * 2008-12-12 2013-12-04 株式会社日立ハイテクノロジーズ 半導体ウェハ検査装置
JP5374167B2 (ja) * 2009-01-20 2013-12-25 株式会社日立製作所 荷電粒子線装置
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
JP5428450B2 (ja) * 2009-03-30 2014-02-26 ソニー株式会社 イオン照射ダメージの予測方法とイオン照射ダメージのシミュレータ、およびイオン照射装置とイオン照射方法
KR101077434B1 (ko) * 2009-08-12 2011-10-26 삼성전기주식회사 기판의 테스트방법
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
JP2011221350A (ja) * 2010-04-12 2011-11-04 Advantest Corp マスク検査装置及び画像生成方法
US8474782B2 (en) * 2010-04-26 2013-07-02 Baker Hughes Incorporated System and method for effective isolation of an interferometer
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
EP2622626B1 (en) 2010-09-28 2017-01-25 Applied Materials Israel Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
WO2012050018A1 (ja) * 2010-10-15 2012-04-19 株式会社日立ハイテクノロジーズ 電子ビーム装置
KR101176742B1 (ko) 2010-11-12 2012-08-23 연세대학교 산학협력단 광학 신호 또는 분석 기체의 오염 방지 장치
US9025143B2 (en) 2010-11-12 2015-05-05 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same
US8399852B2 (en) * 2010-11-24 2013-03-19 Alexander Klein Systems and methods for control of multiple charged particle beams
JP5798424B2 (ja) * 2010-12-07 2015-10-21 日本電子株式会社 荷電粒子ビームの軸合わせ方法および荷電粒子ビーム装置
JP5963453B2 (ja) * 2011-03-15 2016-08-03 株式会社荏原製作所 検査装置
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP5699023B2 (ja) * 2011-04-11 2015-04-08 株式会社日立ハイテクノロジーズ 荷電粒子線装置
EP2511939B1 (en) * 2011-04-13 2016-03-23 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Arrangement and method for the contrast improvement in a charged particle beam device for inspecting a specimen
US8888086B2 (en) * 2011-05-11 2014-11-18 Sematech, Inc. Apparatus with surface protector to inhibit contamination
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US9939386B2 (en) * 2012-04-12 2018-04-10 KLA—Tencor Corporation Systems and methods for sample inspection and review
EP2654068B1 (en) * 2012-04-16 2017-05-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Switchable multi perspective detector, optics therefore and method of operating thereof
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9466463B1 (en) * 2012-11-20 2016-10-11 Multibeam Corporation Charged particle beam substrate inspection using both vector and raster scanning
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
JP2014232082A (ja) * 2013-05-30 2014-12-11 株式会社東芝 パターン検査方法及びパターン検査装置
US9726617B2 (en) * 2013-06-04 2017-08-08 Kla-Tencor Corporation Apparatus and methods for finding a best aperture and mode to enhance defect detection
TWI576888B (zh) * 2014-11-28 2017-04-01 財團法人工業技術研究院 檢測儀器及其檢測方法
JP6677657B2 (ja) * 2015-02-05 2020-04-08 株式会社荏原製作所 検査装置
DE102015202172B4 (de) 2015-02-06 2017-01-19 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem und Verfahren zur teilchenoptischen Untersuchung eines Objekts
US9805908B2 (en) * 2015-02-18 2017-10-31 Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Signal charged particle deflection device, signal charged particle detection system, charged particle beam device and method of detection of a signal charged particle beam
KR101784276B1 (ko) * 2015-02-27 2017-10-12 주식회사 고영테크놀러지 기판 검사 방법 및 시스템
KR101725506B1 (ko) * 2015-07-20 2017-04-12 한국표준과학연구원 시료의 광학 이미지를 얻을 수 있는 주사전자현미경
US9987747B2 (en) * 2016-05-24 2018-06-05 Semes Co., Ltd. Stocker for receiving cassettes and method of teaching a stocker robot disposed therein
JP6851181B2 (ja) * 2016-11-09 2021-03-31 株式会社ニューフレアテクノロジー マルチビーム光学系の調整方法
DE112016007498B4 (de) * 2016-12-06 2020-11-26 Mitsubishi Electric Corporation Untersuchungseinrichtung und untersuchungsverfahren
KR20230140601A (ko) * 2016-12-30 2023-10-06 에이에스엠엘 네델란즈 비.브이. 다수의 하전 입자 빔을 사용하는 장치
US10347460B2 (en) 2017-03-01 2019-07-09 Dongfang Jingyuan Electron Limited Patterned substrate imaging using multiple electron beams
JP6772962B2 (ja) * 2017-06-02 2020-10-21 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP6863460B2 (ja) * 2017-06-05 2021-04-21 富士通株式会社 ソフトエラー検査方法、ソフトエラー検査装置及びソフトエラー検査システム
US10249472B2 (en) * 2017-07-13 2019-04-02 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, charged particle beam influencing device, and method of operating a charged particle beam device
US10096447B1 (en) 2017-08-02 2018-10-09 Kla-Tencor Corporation Electron beam apparatus with high resolutions
DE102018202421B3 (de) 2018-02-16 2019-07-11 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenstrahlsystem
DE102018202428B3 (de) 2018-02-16 2019-05-09 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenmikroskop
CN112055886A (zh) 2018-02-27 2020-12-08 卡尔蔡司MultiSEM有限责任公司 带电粒子多束系统及方法
JP6919063B2 (ja) * 2018-03-30 2021-08-11 株式会社日立ハイテク 荷電粒子線応用装置
US10811215B2 (en) 2018-05-21 2020-10-20 Carl Zeiss Multisem Gmbh Charged particle beam system
DE102018007455B4 (de) 2018-09-21 2020-07-09 Carl Zeiss Multisem Gmbh Verfahren zum Detektorabgleich bei der Abbildung von Objekten mittels eines Mehrstrahl-Teilchenmikroskops, System sowie Computerprogrammprodukt
DE102018007652B4 (de) 2018-09-27 2021-03-25 Carl Zeiss Multisem Gmbh Teilchenstrahl-System sowie Verfahren zur Stromregulierung von Einzel-Teilchenstrahlen
DE102018124044B3 (de) 2018-09-28 2020-02-06 Carl Zeiss Microscopy Gmbh Verfahren zum Betreiben eines Vielstrahl-Teilchenstrahlmikroskops und Vielstrahl-Teilchenstrahlsystem
KR102582334B1 (ko) * 2018-11-01 2023-09-25 도쿄엘렉트론가부시키가이샤 화상 처리 방법 및 화상 처리 장치
CN111477530B (zh) 2019-01-24 2023-05-05 卡尔蔡司MultiSEM有限责任公司 利用多束粒子显微镜对3d样本成像的方法
TWI743626B (zh) 2019-01-24 2021-10-21 德商卡爾蔡司多重掃描電子顯微鏡有限公司 包含多束粒子顯微鏡的系統、對3d樣本逐層成像之方法及電腦程式產品
US11257656B2 (en) * 2020-04-08 2022-02-22 Fei Company Rotating sample holder for random angle sampling in tomography
CN116114046A (zh) 2020-07-22 2023-05-12 佳能安内华股份有限公司 离子枪和真空处理设备
KR20230042086A (ko) 2020-07-22 2023-03-27 캐논 아네르바 가부시키가이샤 이온 건 및 진공 처리 장치

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62100936A (ja) * 1985-10-28 1987-05-11 Shimadzu Corp 荷電粒子線を用いた分析装置の試料汚染防止方法
US4944645A (en) * 1985-04-16 1990-07-31 Toshiba Kikai Kabushiki Kaisha Method and apparatus for loading objects into evacuated treating chamber
JPH0973872A (ja) * 1995-09-04 1997-03-18 Jeol Ltd 荷電粒子ビーム装置
JPH1062503A (ja) * 1996-08-13 1998-03-06 Nikon Corp 欠陥検査装置
JPH10125271A (ja) * 1996-10-16 1998-05-15 Hitachi Ltd 走査型電子顕微鏡
JPH11233062A (ja) * 1998-02-10 1999-08-27 Jeol Ltd ウィーンフィルタ及び直接写像型反射電子顕微鏡
JP2000003692A (ja) * 1998-06-12 2000-01-07 Nikon Corp 荷電粒子線写像投影光学系
JP2000067798A (ja) * 1998-08-21 2000-03-03 Nikon Corp 写像型観察方法及び写像型荷電粒子線顕微鏡
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP2000133565A (ja) * 1998-10-23 2000-05-12 Canon Inc 荷電粒子線露光方法及び装置、ならびにデバイス製造方法
JP2000149843A (ja) * 1998-11-04 2000-05-30 Nikon Corp 荷電粒子線写像光学系

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52115161A (en) 1976-03-24 1977-09-27 Toshiba Corp Electron gun for electron beam exposing device
JPS52117567A (en) 1976-03-30 1977-10-03 Toshiba Corp Electronic beam exposure unit
JPS5772326A (en) 1980-10-24 1982-05-06 Toshiba Corp Insulation composition of electron beam exposing equipment
JPS57125871A (en) 1981-01-29 1982-08-05 Toshiba Corp Electron detector
US4443278A (en) * 1981-05-26 1984-04-17 International Business Machines Corporation Inspection of multilayer ceramic circuit modules by electrical inspection of green specimens
US4607167A (en) * 1982-10-19 1986-08-19 Varian Associates, Inc. Charged particle beam lithography machine incorporating localized vacuum envelope
JPS60741A (ja) 1983-06-16 1985-01-05 Toshiba Mach Co Ltd 電子線露光方法
JPH0682718B2 (ja) * 1985-08-12 1994-10-19 日本電信電話株式会社 電子デバイスの試験装置およびその使用方法
JPS62195838A (ja) 1986-02-21 1987-08-28 Hitachi Ltd 検査装置
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JPH065691B2 (ja) 1987-09-26 1994-01-19 株式会社東芝 半導体素子の試験方法および試験装置
SU1673340A1 (ru) * 1988-06-06 1991-08-30 Институт Электросварки Им.Е.О.Патона Устройство дл автоматической сварки под флюсом в потолочном положении
CA1317035C (en) * 1989-01-25 1993-04-27 Matthias Brunner Method for examining a specimen in a particle beam instrument
JP2934707B2 (ja) 1989-06-19 1999-08-16 株式会社ニコン 走査電子顕微鏡
JP2946537B2 (ja) 1989-07-20 1999-09-06 株式会社ニコン 電子光学鏡筒
JPH03102814A (ja) 1989-09-16 1991-04-30 Nikon Corp 静電チャック装置
JPH03266350A (ja) 1990-03-14 1991-11-27 Jeol Ltd E×b型エネルギーフィルタ
JP3063107B2 (ja) 1990-03-27 2000-07-12 株式会社ニコン 静電偏向装置及び静電偏向装置の製造方法
US5412210A (en) * 1990-10-12 1995-05-02 Hitachi, Ltd. Scanning electron microscope and method for production of semiconductor device by using the same
JPH04266350A (ja) 1991-02-20 1992-09-22 Nec Corp 媒体検知回路
DE69223088T2 (de) * 1991-06-10 1998-03-05 Fujitsu Ltd Apparat zur Musterüberprüfung und Elektronenstrahlgerät
JPH0563261A (ja) 1991-08-30 1993-03-12 Nikon Corp レーザ反射鏡
JP3266336B2 (ja) 1991-11-29 2002-03-18 富士通株式会社 電子ビーム走査装置
DE4216730C2 (de) * 1992-05-20 2003-07-24 Advantest Corp Rasterelektronenstrahlgerät
JP3730263B2 (ja) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション 荷電粒子ビームを用いた自動基板検査の装置及び方法
JP2877624B2 (ja) 1992-07-16 1999-03-31 株式会社東芝 走査電子顕微鏡の対物レンズアライメント制御装置及び制御方法
JP3151308B2 (ja) 1992-09-25 2001-04-03 株式会社東芝 光学鏡筒
JPH0765766A (ja) 1993-08-24 1995-03-10 Nikon Corp 静電偏向器
US5493116A (en) * 1993-10-26 1996-02-20 Metrologix, Inc. Detection system for precision measurements and high resolution inspection of high aspect ratio structures using particle beam devices
JP3291880B2 (ja) * 1993-12-28 2002-06-17 株式会社日立製作所 走査形電子顕微鏡
JPH08138611A (ja) 1994-11-04 1996-05-31 Nikon Corp 荷電粒子線装置
US5770863A (en) 1995-10-24 1998-06-23 Nikon Corporation Charged particle beam projection apparatus
JPH09129543A (ja) 1995-11-01 1997-05-16 Nikon Corp 荷電粒子線転写装置
JPH09139184A (ja) 1995-11-15 1997-05-27 Nikon Corp 静電偏向器の製造方法
JPH09147779A (ja) 1995-11-20 1997-06-06 Nikon Corp 電磁偏向器
JPH09180663A (ja) 1995-12-26 1997-07-11 Nikon Corp 電子銃及び該電子銃を備えた電子線転写装置
JPH09311112A (ja) 1996-05-22 1997-12-02 Nikon Corp 欠陥検査装置
US5892224A (en) * 1996-05-13 1999-04-06 Nikon Corporation Apparatus and methods for inspecting wafers and masks using multiple charged-particle beams
JPH1073424A (ja) 1996-08-29 1998-03-17 Nikon Corp 欠陥検査装置
US5751538A (en) 1996-09-26 1998-05-12 Nikon Corporation Mask holding device and method for holding mask
JPH10106926A (ja) 1996-10-01 1998-04-24 Nikon Corp 荷電粒子線リソグラフィ装置、荷電粒子線リソグラフィ装置の評価方法およびパターン形成方法
JP3697810B2 (ja) 1996-12-18 2005-09-21 株式会社ニコン 電子線を用いた転写装置
US5981947A (en) 1997-02-03 1999-11-09 Nikon Corporation Apparatus for detecting or collecting secondary electrons, charged-particle beam exposure apparatus comprising same, and related methods
JP3720169B2 (ja) * 1997-08-05 2005-11-24 富士通株式会社 荷電粒子ビーム偏向量補正パラメータ決定方法
WO1999009582A1 (fr) * 1997-08-19 1999-02-25 Nikon Corporation Dispositif et procede servant a observer un objet
JPH11132975A (ja) * 1997-10-31 1999-05-21 Toshiba Corp 電子ビームを用いた検査方法及びその装置
JP2000090868A (ja) 1998-09-17 2000-03-31 Nikon Corp 光学鏡筒及びそのクリーニング方法
US6344750B1 (en) * 1999-01-08 2002-02-05 Schlumberger Technologies, Inc. Voltage contrast method for semiconductor inspection using low voltage particle beam
US6614026B1 (en) * 1999-04-15 2003-09-02 Applied Materials, Inc. Charged particle beam column
TWI242111B (en) * 1999-04-19 2005-10-21 Asml Netherlands Bv Gas bearings for use in vacuum chambers and their application in lithographic projection apparatus
DE69903439T2 (de) * 1999-11-12 2003-07-03 Advantest Corp Ablenkeinheit zur Separation zweier Teilchenstrahlen
JP3996774B2 (ja) * 2002-01-09 2007-10-24 株式会社日立ハイテクノロジーズ パターン欠陥検査方法及びパターン欠陥検査装置
US7012251B2 (en) * 2003-05-22 2006-03-14 Ebara Corporation Electron beam apparatus, a pattern evaluation method and a device manufacturing method using the electron beam apparatus or pattern evaluation method

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944645A (en) * 1985-04-16 1990-07-31 Toshiba Kikai Kabushiki Kaisha Method and apparatus for loading objects into evacuated treating chamber
JPS62100936A (ja) * 1985-10-28 1987-05-11 Shimadzu Corp 荷電粒子線を用いた分析装置の試料汚染防止方法
JPH0973872A (ja) * 1995-09-04 1997-03-18 Jeol Ltd 荷電粒子ビーム装置
JPH1062503A (ja) * 1996-08-13 1998-03-06 Nikon Corp 欠陥検査装置
JPH10125271A (ja) * 1996-10-16 1998-05-15 Hitachi Ltd 走査型電子顕微鏡
JPH11233062A (ja) * 1998-02-10 1999-08-27 Jeol Ltd ウィーンフィルタ及び直接写像型反射電子顕微鏡
JP2000003692A (ja) * 1998-06-12 2000-01-07 Nikon Corp 荷電粒子線写像投影光学系
JP2000067798A (ja) * 1998-08-21 2000-03-03 Nikon Corp 写像型観察方法及び写像型荷電粒子線顕微鏡
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP2000133565A (ja) * 1998-10-23 2000-05-12 Canon Inc 荷電粒子線露光方法及び装置、ならびにデバイス製造方法
JP2000149843A (ja) * 1998-11-04 2000-05-30 Nikon Corp 荷電粒子線写像光学系

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1296351A4 *

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7005641B2 (en) 2001-06-15 2006-02-28 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
US8368016B1 (en) 2001-06-15 2013-02-05 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
US7361895B2 (en) 2001-06-15 2008-04-22 Ebara Corporation Electron beam apparatus and a device manufacturing method by using said electron beam apparatus
JP2014013759A (ja) * 2003-09-05 2014-01-23 Carl Zeiss Smt Gmbh 粒子光学システム及び装置、並びに、かかるシステム及び装置用の粒子光学部品
JP2008218422A (ja) * 2003-09-05 2008-09-18 Carl Zeiss Smt Ag 粒子光学装置及び荷電粒子ビーム操作方法
US10504681B2 (en) 2003-09-05 2019-12-10 Carl Zeiss Microscopy Gmbh Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
US8637834B2 (en) 2003-09-05 2014-01-28 Carl Zeiss Microscopy Gmbh Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
JP2015111571A (ja) * 2003-09-05 2015-06-18 カール ツァイス マイクロスコピー ゲーエムベーハーCarl Zeiss Microscopy Gmbh 粒子光学装置、粒子光学部品、検査システム、検査方法、および、リソグラフィシステム
US9224576B2 (en) 2003-09-05 2015-12-29 Carl Zeiss Microscopy Gmbh Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
US9673024B2 (en) 2003-09-05 2017-06-06 Applied Materials Israel, Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
JP4627454B2 (ja) * 2005-05-11 2011-02-09 株式会社日立ハイテクノロジーズ 偏向器及びそれを用いたマルチ荷電粒子ビーム描画装置
JP2006319021A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp 偏向器及びその作製方法、並びにそれを用いた荷電粒子ビーム描画装置
JP2009075120A (ja) * 2008-10-28 2009-04-09 Toshiba Corp 基板検査方法および基板検査装置
JP2010272528A (ja) * 2010-06-17 2010-12-02 Ebara Corp 試料表面検査方法および検査装置
KR101443426B1 (ko) 2010-07-16 2014-09-24 캐논 가부시끼가이샤 묘화 장치 및 물품 제조 방법
JP2012174809A (ja) * 2011-02-18 2012-09-10 Canon Inc インプリント装置及び物品の製造方法
KR20170092667A (ko) * 2014-12-09 2017-08-11 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스
KR102478872B1 (ko) 2014-12-09 2022-12-19 어플라이드 머티어리얼스, 인코포레이티드 직접 배출 토로이드형 플라즈마 소스
JPWO2018186294A1 (ja) * 2017-04-05 2019-06-27 株式会社Photo electron Soul 電子線発生装置、および、電子線適用装置
JP6501285B2 (ja) * 2017-04-05 2019-04-17 株式会社Photo electron Soul 電子線発生装置、および、電子線適用装置
US11302507B2 (en) 2017-04-05 2022-04-12 Photo Electron Soul Inc. Electron beam generator and electron beam applicator
WO2018186294A1 (ja) * 2017-04-05 2018-10-11 株式会社Photo electron Soul 電子線発生装置、および、電子線適用装置
CN108387788A (zh) * 2018-01-23 2018-08-10 清华大学 制备集成共路干涉电场传感器的最优光学偏置点筛选方法
TWI696206B (zh) 2018-09-27 2020-06-11 日商Photo Electron Soul股份有限公司 電子射線產生裝置以及電子射線應用裝置
JP2020144010A (ja) * 2019-03-06 2020-09-10 株式会社ニューフレアテクノロジー マルチ電子ビーム検査装置及びマルチ電子ビーム検査方法
JP7241570B2 (ja) 2019-03-06 2023-03-17 株式会社ニューフレアテクノロジー マルチ電子ビーム検査装置及びマルチ電子ビーム検査方法
JP7400106B2 (ja) 2019-12-19 2023-12-18 エーエスエムエル ネザーランズ ビー.ブイ. 低クロストークを有する多重荷電粒子ビーム装置
TWI827493B (zh) * 2020-07-30 2023-12-21 德商卡爾蔡司Smt有限公司 在沿至少一軸可位移且對至少一軸可旋轉的樣品台上確定對準光罩的裝置和方法以及包含指令的電腦程式
WO2022185390A1 (ja) * 2021-03-01 2022-09-09 株式会社日立ハイテク 荷電粒子線装置
TWI824404B (zh) * 2021-03-01 2023-12-01 日商日立全球先端科技股份有限公司 帶電粒子線裝置

Also Published As

Publication number Publication date
US7297949B2 (en) 2007-11-20
US20020033449A1 (en) 2002-03-21
KR20030029045A (ko) 2003-04-11
US7135676B2 (en) 2006-11-14
US20070057186A1 (en) 2007-03-15
KR100885940B1 (ko) 2009-02-26
TW200540911A (en) 2005-12-16
US7601972B2 (en) 2009-10-13
US20080042060A1 (en) 2008-02-21
EP1296351A1 (en) 2003-03-26
EP1296351A4 (en) 2009-09-23
TWI297167B (en) 2008-05-21

Similar Documents

Publication Publication Date Title
WO2002001597A1 (fr) Appareil d&#39;inspection a faisceau de particules chargees et procede de fabrication d&#39;un dispositif utilisant cet appareil d&#39;inspection
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
JP5129865B2 (ja) 電子線検査装置及びその電子線検査装置を使用したウエハ欠陥検査装置
US7223973B2 (en) Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
US7049585B2 (en) Sheet beam-type testing apparatus
US7244932B2 (en) Electron beam apparatus and device fabrication method using the electron beam apparatus
EP2587515A1 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
US20040183013A1 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
WO2002040980A1 (fr) Procede et instrument d&#39;inspection de tranches, et appareil a faisceau electronique
JP2005235777A (ja) 電子線による検査装置、検査方法、及びその検査装置を用いたデバイス製造方法
JP2003173756A (ja) 電子線装置及び該装置を用いたデバイス製造方法
JP2006153871A (ja) 基板検査方法、基板検査装置及び電子線装置
JP4221428B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2007019033A (ja) 電子線による検査装置、検査方法、及びその検査装置を用いたデバイス製造方法
JP2008193119A (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP3896080B2 (ja) 電子線装置及び該装置を用いた半導体デバイス製造方法
JPWO2002040980A1 (ja) 基板検査方法、基板検査装置及び電子線装置
JPWO2002001596A1 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): DE FR GB NL

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2001943833

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020027014575

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001943833

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027014575

Country of ref document: KR