TWI746440B - 用於接合片材與載具之物件及方法 - Google Patents

用於接合片材與載具之物件及方法 Download PDF

Info

Publication number
TWI746440B
TWI746440B TW105115609A TW105115609A TWI746440B TW I746440 B TWI746440 B TW I746440B TW 105115609 A TW105115609 A TW 105115609A TW 105115609 A TW105115609 A TW 105115609A TW I746440 B TWI746440 B TW I746440B
Authority
TW
Taiwan
Prior art keywords
sheet
modified layer
bonding
bonding surface
silicon
Prior art date
Application number
TW105115609A
Other languages
English (en)
Other versions
TW201704184A (zh
Inventor
艾迪巴凱文
貝爾曼羅伯特艾倫
Original Assignee
美商康寧公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商康寧公司 filed Critical 美商康寧公司
Publication of TW201704184A publication Critical patent/TW201704184A/zh
Application granted granted Critical
Publication of TWI746440B publication Critical patent/TWI746440B/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10009Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets
    • B32B17/10036Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets comprising two outer glass sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/1055Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the resin layer, i.e. interlayer
    • B32B17/10798Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the resin layer, i.e. interlayer containing silicone
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/10Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure
    • B32B37/1018Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure using only vacuum
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/714Inert, i.e. inert to chemical degradation, corrosion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/748Releasability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • B32B2457/206Organic displays, e.g. OLED
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • C03C2218/33Partly or completely removing a coating by etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Laminated Bodies (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

本文描述有機矽改質層及相關聯沉積方法以及惰性氣體處理物,可應用於片材、載具或片材與載具兩者上,以控制片材與載具之間的凡得瓦力、氫鍵結及共價鍵結。該等改質層將該片材及載具接合在一起,以使得阻止在高溫處理下之永久接合以及維持足夠的接合以阻止在高溫處理期間脫層。

Description

用於接合片材與載具之物件及方法
本揭示內容總體上係關於用於在載具上處理片材的物件及方法,且更特定而言,係關於用於在玻璃載具上處理可撓性玻璃片的物件及方法。
可撓性基板提供在使用輥對輥處理之較廉價裝置方面之前景,以及製成較薄、較輕、更可撓及耐用顯示器之可能性。然而,高品質顯示器之輥對輥處理所需的技術、裝備及製程尚未得到充分開發。因為面板製作者已重資投入在處理大型玻璃片的成套工具(toolset)中,所以將可撓性基板積層至載具並藉由片材至片材處理製成顯示裝置提供的是:發展對較薄、較輕及更可撓顯示器之價值命題的較為短期的解決方案。已在例如聚萘二甲酸乙二酯(polyethylene naphthalate;PEN)之聚合物片材上示範論證顯示器,其中裝置製造係利用積層至玻璃載具之PEN的片材至片材製造。PEN之溫度上限限制裝置品質及可使用的製程。另外,聚合物基板之高滲透性導致有機發光二極體(organic light emitting diode;OLED)裝置之環境劣化,在此種情況下,需要近氣密包裝。薄膜封裝提供在克服此種限制方面之前景,但尚未證明薄膜封裝在大體積下提供可接受的產率。
以類似方式,顯示裝置可使用積層至一或多個薄玻璃基板之玻璃載具來製造。預期薄玻璃之低滲透性及改良耐溫度性與耐化學性將允許實現較高效能、較長壽命的可撓性顯示器。
概念涉及以下內容:初始地藉由凡得瓦力將例如可撓性玻璃片之薄片接合至載具,隨後增加某些區域中之接合強度,同時保持在處理薄片/載具以於其上成形裝置(例如,電子或顯示裝置、電子或顯示裝置之組件、OLED材料、光電(photo-voltaic;PV)結構或薄膜電晶體 (thin film transistor;TFT))之後移除薄片之諸多部分的能力。將薄玻璃之至少一部分接合至載具,以使得阻止裝置製程流體進入薄片與載具之間,藉以污染下游製程之機會得以減少,亦即,薄片與載具之間的接合密封部分為氣密的,且在一些較佳實施例中,此密封包圍物件之外部,進而阻止液體或氣體侵入密封物件之任何區域中或之外。
在低溫多晶矽(low temperature polysilicon;LTPS) 裝置製造製程中,例如在接近600℃或更大之溫度情況下,可使用真空及濕式蝕刻環境。此等條件限制可使用的材料,且對載具/薄片提出高的要求。因此,需要一種載具方法,利用製造商之現存資本基礎架構,允許實現在較高處理溫度下對薄玻璃(亦即具有<0.3毫米(mm)厚之厚度的玻璃)的處理,而無污染或薄玻璃與載具之間的接合強度損失,且其中薄玻璃易於在製程結束時與載具脫接合(de-bond)。
一個商業優點在於:製造商將能夠利用其於處理裝備中之現存資本投資,同時獲得薄玻璃片用於例如PV、OLED、液晶顯示器(liquid crystal display;LCD)及圖案化TFT電子設備之優點。另外,此方法允許實現製程靈活性,該等製程包括:用於薄玻璃片及載具之清潔及表面製備以促進接合之製程;用於強化薄片與載具之間於接合區域處之接合的製程;用於維持薄片於可控接合(或強度降低接合/低強度接合)區域處自載具之可釋放性的製程;以及用於切割薄片以促進自載具之提取的製程。
在玻璃至玻璃接合製程中,將玻璃表面清潔以移除所有金屬、有機物及微粒殘餘物,且留下幾乎矽醇封端的表面。首先使玻璃表面進行緊密接觸,其中凡得瓦力及/或氫鍵結力將該等表面拉到一起。利用熱及視需要壓力,表面矽醇基縮合形成跨於界面之強共價Si--O--Si鍵,從而將玻璃塊永久地熔合。金屬、有機物及微粒殘餘物將藉由遮蔽表面而阻止接合,從而阻止接合所需的緊密接觸。亦需要高的矽醇表面濃度來形成強鍵,因為每單位面積之鍵的數量將藉由相反表面上兩種矽醇物質反應縮合出水之機率來決定。Zhuravlev已報導對充分水化二氧化矽而言,每nm2 之羥基的平均數為4.6至4.9個。Zhuravlev, L. T., The Surface Chemistry of Amorphous Silica, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects 173 (2000) 1-38。
已知接合方法之挑戰為多晶矽TFT之高溫要求。對手持式顯示器、筆記型電腦及桌上型顯示器上之較高像素密度、高解析度及快速再新速率的需求以及OLED顯示器之更廣泛使用將面板製作者自非晶矽TFT背板推向氧化物TFT或多晶矽TFT背板。因為OLED為當前的驅動裝置,所以要求高的移動性。多晶矽TFT亦提供將驅動器及其他組件啟用整合的優點。較高溫度對摻雜劑活化而言較佳,理想溫度處於超過600℃之溫度。典型地,此為pSi背板製程中之最高溫度。
用於已知接合方法之另一挑戰為接合至粗糙基板。利用兩種剛性材料之晶圓接合方法需要平坦度及清潔度來使材料進行密切接觸以起始接合。對具有粗糙表面之基板而言,諸如經蝕刻仲介層(interposer)或非熔合拉製玻璃,接合更易於利用較厚的更順應接合層,該接合層可彈性地或塑性地變形以使基板進行接觸。
鑒於以上,需要一種薄片-載具物件,可耐受包括高溫處理的TFT及平板顯示器(flat panel display;FPD)處理之苛刻條件(不存在與其中使用該薄片-載具物件之半導體或顯示器製作製程不相容的除氣),但允許薄片之整體區域自載具移除(一次性移除,或分部分移除),以便允許載具重複使用於處理另一薄片。本說明書描述用以控制載具與薄片之間的黏著以產生暫時接合之方式,該暫時接合足夠強以在TFT及FPD處理(包括LTPS處理)中保全,但足夠弱以甚至在高溫處理之後允許片材與載具之脫接合。此種受控制接合可利用來產生具有可重複使用載具之物件,或替代地產生具有在載具與片材之間的受控制接合與共價鍵結之圖案化區域的物件。更確切言之,本揭示內容提供可提供於薄片上、載具上或兩者上之表面改質層(包括各種材料及相關聯表面熱處理),以便控制薄片與載具之間的室溫凡得瓦力接合及/或氫鍵結及高溫共價鍵結兩者。甚至更確切言之,室溫接合可受控制以便足以在真空處理、濕式處理及/或超音波清潔處理期間將薄片及載具固持在一起。而且同時,高溫共價鍵結可受控制以便在高溫處理期間阻止薄片與載具之間的永久接合,以及維持足夠接合以阻止在高溫處理期間之脫層。在替代實施例中,表面改質層可用於產生各種受控制接合區域(其中載具及薄片仍經由各種製程而保持充分接合,該等製程包括真空處理、濕式處理及/或超音波清潔處理)連同共價鍵結區域,以提供其他處理選擇,例如,甚至在將物件切塊成用於額外裝置處理的小塊之後,仍維持載具與片材之間的氣密性。另外,一些表面改質層提供對載具與片材之間的接合之控制,而同時減少在TFT或FPD (例如,LTPS)處理環境中之苛刻條件期間的除氣排放,該等苛刻條件例如包括高溫及/或真空處理。
在第一態樣中,存在一種玻璃物件,包含:     第一片材,具有第一片材接合表面;     第二片材,具有第二片材接合表面;     改質層,具有改質層接合表面,該改質層可包含有機矽; 該改質層接合表面與該第一片材接合表面接觸,且該第二片材接合表面與該第一片材接合表面耦合而該改質層介於該等接合表面之間,其中在將該玻璃物件在氮氣氛中於600℃下保持10分鐘之後,該第一片材接合表面與該改質層接合表面以小於600 mJ/m2 之鍵能接合。
在態樣1之一實例中,其中在將該玻璃物件在氮氣氛中於700℃下保持10分鐘之後,該第一片材接合表面與該改質層接合表面以小於600 mJ/m2 之鍵能接合。
在態樣1之另一實例中,其中在將該玻璃物件在氮氣氛中於750℃下保持10分鐘之後,該第一片材接合表面與該改質層接合表面以小於600 mJ/m2 之鍵能接合。
在態樣1之另一實例中,其中在將該玻璃物件在氮氣氛中於650℃下保持10分鐘之後,該第一片材接合表面與該改質層接合表面以小於450 mJ/m2 之鍵能接合。
在態樣1之另一實例中,該改質層具有在5奈米(nanometer;nm)至10微米(micron)(μm或微米(micrometer))範圍內之厚度。
在態樣1之另一實例中,該改質層具有在10 nm至500 nm範圍內之厚度。
在態樣1之另一實例中,該第一片材為具有小於300微米之厚度的玻璃。
在態樣1之另一實例中,該有機矽係藉由在該第一片材接合表面上沉積有機矽烷單體而形成。
在第二態樣中,提供態樣1之玻璃物件,其中該有機矽烷單體具有式(R1 )x Si(R2 )y ,其中R1 為芳基、烷基、炔基及/或烯基,且x為1、2或3,R2 為氫、鹵素、芳基、烷基、炔基及/或烯基,或前述的組合,且y為1、2或3,其中R1 及R2 不為氧。
在態樣2之一實例中,R1 或R2 為芳基、苯基、甲苯基、二甲苯基、萘基或前述的組合。
在態樣2之另一實例中,R2 為氫、甲基或其組合。
在態樣2之另一實例中,R1 或R2 為芳基。
在態樣2之另一實例中,R1 或R2 為二芳基。
該第二態樣可單獨提供或與上文論述的該第二態樣之實例中之任何一或多者組合提供。
在態樣1之另一實例中,該有機矽係藉由在該第一片材接合表面上沉積有機矽烷單體而形成,且該有機矽烷單體係選自由以下各項組成之群:苯基矽烷、甲基苯基矽烷、二苯基矽烷、甲基聯苯矽烷及三苯基矽烷。
在態樣1之另一實例中,該有機矽係藉由在該第一片材接合表面上沉積有機矽烷單體而形成,且該有機矽烷單體不含氧原子。
在態樣1之另一實例中,該改質層係藉由沉積選自由以下各項組成之群的化合物而形成:苯基矽、甲基苯基矽、二苯基矽、甲基聯苯矽及三苯基矽。
在態樣1之另一實例中,該改質層不為單層。
在態樣1之另一實例中,該改質層為聚合非晶形有機矽。
在態樣1之另一實例中,該第二片材與該改質層接觸。
在態樣1之另一實例中,該改質層具有小於0.9的氧對矽之原子百分數比率,其中矽及氧之該原子百分數係於表面改質及與該第一片材接合表面接觸之前自該改質層量測。
在態樣1之另一實例中,該改質層具有小於0.8的氧對矽之原子百分數比率,其中矽及氧之該原子百分數係於表面改質及與該第一片材接合表面接觸之前自該改質層量測。
在態樣1之另一實例中,該改質層接合表面具有在1至3範圍內的氧對矽之原子百分數比率及在0.5至1.5範圍內的氮對矽之原子百分數比率,其中矽、氧及氮之該原子百分數係於該改質層接合表面暴露於含氮反應物以將該改質層接合表面之該表面能增加至55 mJ/m2 至75 mJ/m2 範圍之後自該改質層接合表面量測。
在態樣1之另一實例中,該改質層接合表面具有小於2.5的氧對矽之原子百分數比率,其中矽及氧之該原子百分數係於該改質層接合表面暴露於含氮反應物以將該改質層接合表面之該表面能增加至55 mJ/m2 至75 mJ/m2 範圍之後自該改質層接合表面量測。
在態樣1之另一實例中,該改質層接合表面具有在1至3範圍內的氧對矽之原子百分數比率及在2.5至6.5範圍內的氮對矽之原子百分數比率,其中矽、氧及氮之該原子百分數係於該玻璃物件在含氮反應物中於700℃下保持10分鐘且隨後在該玻璃物件冷卻至室溫之後該第一片材與該第二片材分離之後自該改質層接合表面量測。
在態樣1之另一實例中,該改質層接合表面具有小於2.6的氧對矽之原子百分數比率,其中矽及氧之該原子百分數係於該玻璃物件在含氮反應物中於700℃下保持10分鐘且隨後在該玻璃物件冷卻至室溫之後該第一片材與該第二片材分離之後自該改質層接合表面量測。
在態樣1之另一實例中,在該玻璃物件藉由於以每分鐘600℃之速率自室溫循環至600℃的腔室內加熱而經受溫度循環且在允許該玻璃物件冷卻至室溫之前於600℃下保持10分鐘之後,起泡區域百分數之變化小於5%。
在態樣1之另一實例中,在該玻璃物件藉由於以每分鐘600℃之速率自室溫循環至700℃的腔室內加熱而經受溫度循環且在允許該玻璃物件冷卻至室溫之前於700℃下保持10分鐘之後,起泡區域百分數之變化小於1%。
在態樣1之另一實例中,在該玻璃物件藉由於以每分鐘600℃之速率自室溫循環至700℃的腔室內加熱而經受溫度循環且在允許該玻璃物件冷卻至室溫之前於700℃下保持10分鐘之後,該第一片材可與該第二片材分離而不使該第一片材破裂成兩個或兩個以上碎塊。
在態樣1之另一實例中,在300℃至650℃之溫度範圍內不存在來自該改質層之除氣。
該第一態樣可單獨提供或與上文論述的該第一態樣之實例中之任何一或多者組合提供。
在第三態樣中,存在一種玻璃物件,包含:     第一片材,具有第一片材接合表面;     第二片材,具有第二片材接合表面;     改質層,具有改質層接合表面,該改質層包含有機矽且該改質層不為單層; 該改質層接合表面與該第一片材接合表面接觸,且該第二片材接合表面與該第一片材接合表面耦合而該改質層介於該等接合表面之間,其中該第一片材接合表面與該改質層接合表面在400℃至600℃之溫度範圍內以在150 mJ/m2 至600 mJ/m2 範圍內之鍵能接合,其中該範圍中任何特定溫度下之鍵能係藉由將該玻璃物件在氮氣氛中於彼特定溫度下保持10分鐘來量測。
在態樣3之一實例中,該第一片材接合表面與該改質層接合表面在400℃至600℃之溫度範圍內以在300 mJ/m2 至400 mJ/m2 範圍內之鍵能接合,其中該範圍中任何特定溫度下之鍵能係藉由將該玻璃物件在氮氣氛中於彼特定溫度下保持10分鐘來量測。
在態樣3之另一實例中,該第一片材接合表面與該改質層接合表面在400℃至600℃之溫度範圍內以在350 mJ/m2 至400 mJ/m2 範圍內之鍵能接合,其中該範圍中任何特定溫度下之鍵能係藉由將該玻璃物件在氮氣氛中於彼特定溫度下保持10分鐘來量測。
在態樣3之另一實例中,該第一片材接合表面與該改質層接合表面在500℃至600℃之溫度範圍內以在300 mJ/m2 至400 mJ/m2 範圍內之鍵能接合,其中該範圍中任何特定溫度下之鍵能係藉由將該玻璃物件在氮氣氛中於彼特定溫度下保持10分鐘來量測。
在態樣3之另一實例中,該第一片材接合表面與該改質層接合表面在500℃至600℃之溫度範圍內以在500 mJ/m2 至600 mJ/m2 範圍內之鍵能接合,其中該範圍中任何特定溫度下之鍵能係藉由將該玻璃物件在氮氣氛中於彼特定溫度下保持10分鐘來量測。
在態樣3之另一實例中,該第一片材接合表面與該改質層接合表面在450℃至750℃之溫度範圍內以在400 mJ/m2 至600 mJ/m2 範圍內之鍵能接合,其中該範圍中任何特定溫度下之鍵能係藉由將該玻璃物件在氮氣氛中於彼特定溫度下保持10分鐘來量測。
在態樣3之另一實例中,該第一片材接合表面與該改質層接合表面在550℃至650℃之溫度範圍內以在300 mJ/m2 至400 mJ/m2 範圍內之鍵能接合,其中該範圍中任何特定溫度下之鍵能係藉由將該玻璃物件在氮氣氛中於彼特定溫度下保持10分鐘來量測。
在態樣3之另一實例中,在該玻璃物件藉由於以每分鐘600℃之速率自室溫循環至600℃的腔室內加熱而經受溫度循環且在允許該玻璃物件冷卻至室溫之前於600℃下保持10分鐘之後,起泡區域百分數之變化小於5%。
在態樣3之另一實例中,在該玻璃物件藉由於以每分鐘600℃之速率自室溫循環至700℃的腔室內加熱而經受溫度循環且在允許該玻璃物件冷卻至室溫之前於700℃下保持10分鐘之後,起泡區域百分數之變化小於1%。
該第三態樣可單獨提供或與上文論述的該第三態樣之實例中之任何一或多者組合提供。
在第四態樣中,存在一種製作玻璃物件之方法,包含:     藉由在第二片材之接合表面上沉積有機矽烷單體而將改質層形成在該第二片材之該接合表面上,該改質層包含有機矽且該改質層具有改質層接合表面;     增加該改質層接合表面之該表面能;及     將第一片材之接合表面接合至該改質層之該接合表面。
在態樣4之一實例中,該改質層接合表面之該表面能係藉由暴露於氮、氧、氫、二氧化碳氣體或其組合而增加。
在態樣4之另一實例中,該改質層接合表面之該表面能在小於60°水/空氣接觸角下增加至等於或大於55 mJ/m2
在態樣4之另一實例中,該改質層具有在5 nm至10微米範圍內之厚度。
在態樣4之另一實例中,該第一片材為具有300微米或更小之厚度的玻璃,且該第二片材為具有300微米或更大之厚度的玻璃。
在態樣4之另一實例中,該改質層具有小於0.9的氧對矽之原子百分數比率,其中矽及氧之該原子百分數係於表面改質及與該第一片材之該接合表面接觸之前自該改質層量測。
在態樣4之另一實例中,該改質層具有小於0.8的氧對矽之原子百分數比率,其中矽及氧之該原子百分數係於表面改質及與該第一片材之該接合表面接觸之前自該改質層量測。
在態樣4之另一實例中,該改質層具有排除氫的所存在原子總量之小於40原子百分數之氧及氮原子含量,其中矽及氧之該原子百分數係於與該第一片材之該接合表面接觸之前自該改質層接合表面量測。
在態樣4之另一實例中,該改質層接合表面具有1至3的氧對矽之原子百分數比率及在2.5至6.5範圍內的氮對矽之原子百分數比率,其中矽、氧及氮之該原子百分數係於該玻璃物件在氮中於700℃下保持10分鐘且隨後在該玻璃物件冷卻至室溫之後該第一片材與該第二片材分離之後自該改質層接合表面量測。
在態樣4之另一實例中,該改質層接合表面具有小於2.6的氧對矽之原子百分數比率,其中矽及氧之該原子百分數係於該玻璃物件在氮中於700℃下保持10分鐘且隨後在該玻璃物件冷卻至室溫之後該第一片材與該第二片材分離之後自該改質層接合表面量測。
在態樣4之另一實例中,該改質層係藉由沉積選自由以下各項組成之群的化合物而形成:苯基矽、甲基苯基矽、二苯基矽、甲基聯苯矽及三苯基矽。
在態樣4之另一實例中,該改質層不為單層。
在態樣4之另一實例中,該改質層為聚合非晶形芳基矽。
在態樣4之另一實例中,該有機矽烷單體具有式(R1 )x Si(R2 )y ,其中R1 為芳基、烷基、炔基及/或烯基,且x為1、2或3,R2 為氫、鹵素、芳基、烷基、炔基及/或烯基,或前述的組合,且y為1、2或3,其中R1 及R2 不為氧。
在第五態樣中,提供態樣4之方法,該有機矽烷單體具有式(R1 )x Si(R2 )y ,其中R1 為芳基、烷基、炔基及/或烯基,且x為1、2或3,R2 為氫、鹵素、芳基、烷基、炔基及/或烯基,或前述的組合,且y為1、2或3,其中R1 及R2 不為氧。
在態樣5之一實例中,R1 或R2 為芳基、苯基、甲苯基、二甲苯基、萘基或前述的組合。
在態樣5之另一實例中,R2 為氫、甲基或氫與甲基的組合。
在態樣5之另一實例中,R1 或R2 為芳基。
在態樣5之另一實例中,R1 或R2 為二芳基。
在態樣5之另一實例中,該有機矽烷單體係選自由以下各項組成之群:苯基矽烷、甲基苯基矽烷、二苯基矽烷、甲基聯苯矽烷及三苯基矽烷。
在態樣5之另一實例中,該有機矽烷單體不含氧原子。
該第五態樣可單獨提供或與上文論述的該第五態樣之實例中之任何一或多者組合提供。
在態樣4之另一實例中,在將該玻璃物件在氮氣氛中於600℃下保持10分鐘之後,該第一片材之該接合表面與該改質層接合表面以小於600 mJ/m2 之鍵能接合。
在態樣4之另一實例中,在將該玻璃物件在氮氣氛中於700℃下保持10分鐘之後,該第一片材之該接合表面與該改質層接合表面以小於600 mJ/m2 之鍵能接合。
在態樣4之另一實例中,在將該玻璃物件在氮氣氛中於750℃下保持10分鐘之後,該第一片材之該接合表面與該改質層接合表面以小於600 mJ/m2 之鍵能接合。
在態樣4之另一實例中,在將該玻璃物件在氮氣氛中於650℃下保持10分鐘之後,該第一片材之該接合表面與該改質層接合表面以小於450 mJ/m2 之鍵能接合。
該第四態樣可單獨提供或與上文論述的該第四態樣之實例中之任何一或多者組合提供。
隨附圖式係納入來提供對所描述原理的進一步理解,且併入本說明書中並構成本說明書之一部分。圖式例示一或多個實施例,且連同說明書一起用以藉由實例來解釋彼等實施例之原理及操作。應理解,在本說明書中及在圖式中揭示的各種特徵可以任何及所有組合來使用。藉由非限制性實例,各種特徵可彼此組合,如本說明書中作為各態樣所闡述。
在以下詳細描述中,出於解釋且非限制之目的,闡述揭示特定細節之示例性實施例,以便提供對本發明之各種原理及態樣的徹底理解。然而,已受益於本揭示內容之一般技藝人士應明白的是,本發明可在脫離本文揭示的特定細節之其他實施例中實踐。此外,可省略對熟知裝置、方法及材料之描述,以便不模糊對本文闡述的各種原理之描述。最後,在任何可適用的情況下,相同元件符號指代相同元件。
如本文所使用的方向性術語(例如,上、下、右、左、前、後、頂部、底部)僅係參考所繪製之圖式而言,且不意欲暗示絕對定向。
本文中可將範圍表述為自「約」一個特定值,及/或至「約」另一特定值。當表述此範圍時,另一實施例包括自該一個特定值及/或至該另一特定值。類似地,當藉由使用先行詞「約」將值表述為近似值時,應理解,特定值形成另一實施例。應進一步理解,範圍中每一者之端點相對於另一端點而言及獨立於另一端點而言均有意義。
提供允許在載具上處理薄片之解決方案,藉以第一片材(例如薄玻璃片)之至少部分保持為與第二片材(例如載具)「可控接合」,以便在薄片上處理之裝置可自載具移除。為維持有利的表面形狀特性,載具典型地為顯示器級玻璃基板。因此,在一些情形下,僅在一次使用之後就處置載具是浪費及代價大的。因此,為降低顯示器製造之成本,合乎需要的是能夠重複使用載具來處理多於一個薄片基板。本揭示內容闡述物件及方法,用於允許薄片經由包括高溫處理之苛刻作業線環境來處理,且仍允許薄片易於自載具移除,而無對薄片或載具之破壞(例如,其中載具及薄片之一破裂或開裂成兩個或兩個以上碎塊),藉以載具可得以重複使用。高溫處理可包括在≥400℃之溫度下的處理,且可取決於正在製作的裝置之類型而變化。例如,高溫處理可包括如在非晶矽或非晶形氧化銦鎵鋅(indium gallium zinc oxide;IGZO)背板處理中之至多約450℃之溫度、如在結晶IGZO處理中之至多約500-550℃之溫度或如典型地在LTPS及TFT製程中之至多約600-650℃之溫度。本揭示內容之物件及方法可應用於其他高溫處理,例如,在700℃至800℃範圍內之高溫處理,且仍允許薄片自載具移除而不會顯著地破壞薄片。
如第1圖及第2圖所示,玻璃物件2具有厚度8,且包括具有厚度28之第一片材20 (例如,薄玻璃片,例如具有等於或小於約300微米之厚度的薄玻璃片,該厚度包括但不限於例如以下厚度:10-50微米、50-100微米、100-150微米、150-300微米、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20或10微米)、具有厚度38之改質層30及具有厚度18之第二片材10 (例如,載具)。
玻璃物件2經佈置以允許在設計用於較厚片材(例如,大約為大於或等於約0.4 mm,例如,0.4 mm、0.5 mm、0.6 mm、0.7 mm、0.8 mm、0.9 mm或1.0 mm之彼等片材)之裝備中處理薄片20,儘管薄片20自身等於或小於約300微米。為厚度18、28及38之總和的厚度8等於較厚片材之彼厚度,一件裝備(例如,設計來將電子裝置組件安置於基板片材上之裝備)係設計來處理該較厚片材。在一實例中,若處理裝備係設計用於700微米片材,且薄片具有300微米之厚度28,則在假定厚度38可忽略的情況下,厚度18將選擇為400微米。亦即,改質層30未按比例展示,而實情為,僅出於例示起見將該改質層大大誇示。另外,在第2圖中,以剖視方式展示改質層。當提供可重複使用載具時,改質層可均勻地安置於接合表面14上。典型地,厚度38將為奈米數量級,例如2 nm至1微米、5 nm至250 nm或20 nm至100 nm,或約30、40、50、60、70、80或90 nm。改質層之存在可藉由表面化學分析來偵測,例如,藉由飛行時間二次離子質譜法(time-of-flight secondary ion mass spectrometry;ToF Sims)來偵測。
載具10具有第一表面12、接合表面14及周邊16。載具10可具有任何適合材料,包括玻璃。載具可為非玻璃材料,例如,陶瓷、玻璃陶瓷、矽或金屬,因為表面能及/或接合可以類似於下文結合玻璃載具所描述方式之方式來控制。若載具10由玻璃製成,則該載具可具有任何適合組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可為含鹼金屬或不含鹼金屬的,此取決於其最終應用。厚度18可為約0.2 mm至3 mm或更大,例如0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0或3 mm或更大,且將取決於厚度28及厚度38(如以上指出,當此厚度不可忽略時如此)。在一個實施例中,載具10可如圖所示由一個層製成,或由接合在一起的多個層(包括多個薄片)製成。另外,載具可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100 mm x 100 mm至3公尺x 3公尺或更大的片材大小)。
薄片20具有第一表面22、接合表面24及周邊26。周邊16 (載具)及26可具有任何適合形狀,可彼此相同,或可彼此不同。另外,薄片20可具有任何適合材料,包括例如玻璃、陶瓷或玻璃陶瓷、矽晶圓或金屬。如上文對載具10所述,當薄片20由玻璃製成時,該薄片可具有任何適合組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可為含鹼金屬或不含鹼金屬的,此取決於其最終應用。薄片之熱膨脹係數可與載具之熱膨脹係數實質上相同,以便減少物件在高溫下處理期間的翹曲。如以上指出,薄片20之厚度28為300微米或更小。另外,薄片可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100 mm×100 mm至3公尺×3公尺或更大的片材大小)。
玻璃物件2可具有適應利用現存裝備之處理的厚度,且同樣地,該玻璃物件可在發生處理的苛刻環境中保全。例如,FPD處理可包括濕式處理、超音波處理、真空處理及高溫(例如,≥400℃)處理。對一些製程而言,如以上指出,溫度可為≥500℃、≥550℃、≥600℃、≥650℃、≥700℃及至多750℃。
為在其中物件2將受處理之苛刻環境中保全,接合表面14應以足夠強度接合至接合表面24,以便第一片材20不與第二片材10分離。而且,此強度應在處理期間得以維持,以便片材20在處理期間不與片材10分離。另外,為允許片材20自片材10移除(以便載具10可得以重複使用),接合表面14不應藉由初始設計接合力及/或藉由因初始設計接合力之改質而產生的接合力(如可例如在物件經歷高溫下處理,例如,經歷在≥400℃至≥750℃之溫度下處理時發生)過於強烈地接合至接合表面24。表面改質層30可用於控制接合表面14與接合表面24之間的接合強度,以便達成此兩個目標。受控接合力係藉由控制凡得瓦力(及/或氫鍵結)及共價吸引能量對總黏著能量之貢獻來達成,該總黏著能量係藉由調變片材20及片材10之極性及非極性表面能分量來控制。此受控接合足夠強以在FPD處理中保全,該FPD處理例如包括≥400℃之溫度,且在一些情況下,≥500℃、≥550℃、≥600℃、≥650℃、≥700℃及至多750℃之處理溫度,且保持可藉由施加足夠分離片材但不引起對片材20及/或片材10之顯著破壞之力而脫接合。例如,該力不應使片材20或片材10破裂。此種脫接合允許片材20及片材20上所製作的裝置之移除,且亦允許片材10(如載具)之重複使用或用於一些其他目的。
儘管改質層30係展示為片材20與片材10之間的實心層,但無需為此種狀況。例如,層30可為大約0.1 nm至1 μm厚(例如,1nm至10 nm、10 nm至50 nm、100 nm、250 nm、500 nm至1 μm),且可不完全地覆蓋接合表面14之整體部分。例如,覆蓋率可為接合表面14之≤100%、1%至100%、10%至100%、20%至90%或50%至90%。在其他實施例中,層30可為至多50 nm厚,或在其他實施例中,甚至至多100 nm至250 nm厚。改質層30可視為安置於片材10與片材20之間,儘管改質層30可不接觸片材10及片材20中之一者或另一者。在改質層30之另一態樣中,該層改質接合表面14與接合表面24接合之能力,進而控制載具10與薄片20之間的接合之強度。改質層30之材料及厚度,以及在接合之前對接合表面14、24之處理可用於控制片材10與片材20之間的接合(黏著之能量)之強度。
改質層之沉積
用於提供改質層之塗佈方法之實例包括化學氣相澱積(chemical vapor deposition;CVD)方法及類似方法。CVD方法之具體實例包括CVD、低壓CVD、大氣壓CVD、電漿增強CVD (Plasma Enhanced CVD;PECVD)、大氣壓電漿CVD、原子層沉積(atomic layer deposition;ALD)、電漿ALD及化學束磊晶術。
用於產生膜之反應性氣體混合物亦可包含受控量之源氣體(載氣),選自氫及惰性氣體(週期表中之第VIII族),例如,He、Ar、Kr、Xe。當使用低射頻(radio frequency;RF)能量時,源氣體可包含氮。源氣體之量可藉由所使用氣體的種類或藉由膜沉積製程條件來控制。
改質層之表面能
一般而言,改質層30之表面能可在沉積時及/或在受進一步處理(例如藉由利用氮之活化)之後量測。固體表面之表面能係藉由量測在空氣中個別地沉積在固體表面上之三種液體(水、二碘甲烷及十六烷)之靜態接觸角而間接地量測。根據三種液體之接觸角值,進行迴歸分析以計算固體表面之極性及分散能量分量。用於計算表面能值之理論模型包括以下三個獨立方程,該等獨立方程有關三種液體之三個接觸角值及固體表面以及三種試驗液體之表面能之分散及極性分量
Figure 02_image001
(1)
Figure 02_image003
(2)
Figure 02_image005
(3)
其中下標「W」、「D」及「H」分別代表水、二碘甲烷及十六烷,且上標「d」及「p」分別代表表面能之分散及極性分量。因為二碘甲烷及十六烷事實上為非極性液體,所以上文方程組約化為:
Figure 02_image007
(4)
Figure 02_image009
(5)
Figure 02_image011
(6)
根據上文三個方程組(4-6),藉由迴歸分析,計算2個未知參數,即固體表面之分散及極性表面能量分量
Figure 02_image013
Figure 02_image015
。然而,利用此種方法,存在有限極大值,可量測的固體表面之表面能至多為該有限極大值。彼有限最大值為水之表面張力,為73 mJ/m2 。若固體表面之表面能可觀地大於水之表面張力,則表面將完全由水濕潤,進而使接觸角接近零。因此,超過此表面能值,所有所計算的表面能值將相應於約73-75 mJ/m2 ,而與真實表面能值無關。例如,若2個固體表面之真實表面能為75 mJ/m2 及150 mJ/m2 ,則對兩個表面而言,使用液體接觸角之計算值將為約75 mJ/m2
因此,本文揭示的所有接觸角係藉由在空氣中將小液滴置於固體表面上且量測接觸線處固體表面與液體-空氣界面之間的角度來量測。因此,當主張55 mJ/m2 至75 mJ/m2 之表面能值時,此等值相應於基於上文所述方法的表面能計算值,而非當計算值接近彼值時可大於75 mJ/m2 之真實表面能值。
第一片材對改質層之接合能
一般而言,2個表面之間黏著之能量(即,鍵能)可藉由雙懸臂梁方法或楔形試驗來量測。試驗以定性方式模擬改質層/第一片材界面處黏著接合接頭上之力及效應。楔形試驗通常用來量測接合能。例如,ASTM D5041 (Standard Test Method for Fracture Strength in Cleavage of Adhesives in Bonded Joints)及ASTM D3762 (Standard Test Method for Adhesive-Bonded Surface Durability of Aluminum)為用於量測基板與楔形件之接合的標準試驗方法。
試驗方法之概要包括記錄進行測試所處的溫度及相對濕度,例如記錄實驗室中的溫度及相對濕度。在玻璃物件局部的拐角處使第一片材輕輕預開裂或分離,以便破裂第一片材與第二片材之間的鍵。鋒利刀片可用於使第一片材與第二片材預開裂,例如,使用厚度為228±20微米之GEM牌刀片。在形成於裂紋時,可需要瞬時持續壓力來使鍵疲勞。將移除鋁片之平坦刀片慢慢插入直至可觀察裂紋前緣傳播以使得裂紋分離增大。平坦刀片無需顯著地插入來誘導裂紋。一旦形成裂紋,隨即允許玻璃物件靜置至少5分鐘以允許裂紋穩定。對高濕度環境,例如高於50%相對濕度的環境而言,可需要較長靜置時間。
利用顯微鏡評估已生成有裂紋之玻璃物件以便記錄裂紋長度。自第一片材與第二片材之端部分離點(亦即,離刀片尖端最遠的分離點)及刀片之最近的非漸尖部分來量測裂紋長度。記錄裂紋長度且將該裂紋長度用於以下方程來計算鍵能。
Figure 02_image017
(7)
其中y為鍵能,tb 為刀刃、刀片或楔形件之厚度,E1 為第一片材20 (例如,薄玻璃片)之楊氏模數,tw1 為第一片材之厚度,E2 為第二片材10 (例如,玻璃載具)之楊氏模數,tw2 為第二片材10之厚度,且L為如上所述在刀刃、刀片或楔形件插入後第一片材20與第二片材10之間的裂紋長度。
鍵能係理解為在矽晶圓接合中起作用,其中將初始氫鍵結的一對晶圓加熱,以將大部分或所有矽醇-矽醇氫鍵轉化成Si--O--Si共價鍵。雖然初始、室溫氫鍵結產生允許經接合表面之分離的大約為約100-200mJ/m2 之鍵能,但如在高溫處理(大約400℃至800℃)期間達成的完全共價鍵結晶圓對具有約2000-3000 mJ/m2 之黏著能量,不允許經接合表面之分離;替代而言,兩個晶圓充當單塊(monolith)。另一方面,若兩個表面完全用例如氟聚合物之低表面能量材料(厚度足夠大以屏蔽下伏基板之效應)塗佈,則黏著能量將為塗層材料之黏著能量,且將為極低的,從而導致接合表面14、24之間低的黏著或無黏著。因此,薄片20不能夠在載具10上處理。考慮兩種極端狀況:(a)兩個標準清潔1 (SC1,如此項技術所知)清潔玻璃表面,其矽醇基飽和,在室溫下經由氫鍵結接合在一起(藉以黏著能量為約100-200 mJ/m2 ),繼之以加熱至將矽醇基轉化成共價Si--O--Si鍵之溫度(藉以黏著能量變為2000-3000 mJ/m2 )。此後一種黏著能量對欲脫離的一對玻璃表面而言過高;以及(b)完全用具有低表面黏著能量(每個表面約12-20 mJ/m2 )之氟聚合物塗佈的兩個玻璃表面,其在室溫下接合且加熱至高溫。在此後一種狀況(b)中,表面不僅不在低溫下接合(因為當表面置放在一起時,約24-40 mJ/m2 之總黏著能量過低),而且該等表面在高溫下亦不接合,因為存在十分少的極性反應基團。在此兩種極端情況之間,存在黏著能量之範圍,例如,介於50-1000 mJ/m2 之間,此可產生所欲程度之受控接合。因此,發明人已發現提供改質層30之各種方式,該改質層產生介於此兩種極端情況之間的黏著能量,且使得可產生受控接合,該受控接合足以維持一對玻璃基板(例如玻璃載具10及薄玻璃片20)在FPD處理之苛刻條件期間彼此接合,而且該受控接合之程度(甚至在例如≥400℃至750℃之高溫處理之後)允許在處理完成之後片材20自片材10之脫離。此外,片材20自片材10之脫離可藉由機械力來執行,且以不存在對至少片材20之顯著破壞的方式執行,且較佳地亦使得不存在對片材10之顯著破壞。
適當的接合能可藉由對表面改質劑,亦即對改質層30之選擇,及/或在接合之前對表面之熱處理或氮處理來達成。適當的接合能可藉由對接合表面14及接合表面24中一或兩者之化學改質劑的選擇來獲得,該等化學改質劑控制凡得瓦力(及/或氫鍵結,因為此等術語在本說明書全文中可互換地使用)黏著能量以及由高溫處理(例如,大約
Figure 105115609-A0305-02-0032-1
400℃至750℃)引起的可能共價鍵結黏著能量。
發明人已發現:包括適用於FPD處理(包括LTPS處理)的薄片及載具之物件可藉由用有機矽改質層塗佈第一片材20及或第二片材10來製成,該有機矽改質層包含例如以下至少一者:苯基矽部分(moiety)、甲基苯基矽部分、二苯基矽部分、甲基聯苯矽部分及三苯基矽部分或前述的組合。改質層30不為單層。例如,改質層30可為如第3圖所示的聚合非晶形有機矽。換言之,改質層30不為如此項技術中所知的自組裝單層,但具有大於10nm及例如大於20nm之厚度。
有機矽層可藉由在接收表面上沉積有機矽烷單體而形成。有機矽烷單體可具有式(R1)xSi(R2)y,其中R1可為芳基、烷基、炔基及/或烯基,且x為1、2或3,而R2可為氫、鹵素、芳基、烷基、炔基及/或烯基,或前述的組合,且y為1、2或3,其中R1及R2不為氧且x+y=4。例如,R1或R2可是芳基、苯基、甲苯基、二甲苯基、萘基或前述的組合。在各種實施例中,R1或R2為芳基或二芳基或三芳基。在另一實例中,有機矽烷單體可選自苯基矽烷、甲基苯基矽烷、二苯基矽烷、甲基聯苯矽烷及三苯基矽烷。在又一實例中,有 機矽烷單體可不含氧原子。
改質層30可提供具有在約55mJ/m2至約75mJ/m2範圍內的表面能之接合表面,如對一個表面(包括極性及分散分量)所量測的,藉以該表面僅產生弱的接合。對接合所需的所欲表面能可不為初始沉積的有機矽改質層之表面能。例如,沉積層可經進一步處理。如初始沉積的且無進一步處理的情況下,有機矽改質層展示良好熱穩定性。例如,第4圖展示具有2微米之厚度的苯基矽層之熱穩定性。如圖所示,總表面能(三角形資料點表示總表面能,菱形資料點表示分散分量,且正方形資料點表示極性分量)在將層加熱至500℃之後不會顯著地變化。由於所測試苯基矽層之低表面能,例如,第4圖中所示的彼等表面能,表面活化可合需要地用於接合至玻璃。經沉積有機矽層之表面能可藉由暴露於N2、N2-H2、N2-O2、NH3、N2H4、HN3、CO2或前述的混合物、電漿暴露而升高至76mJ/m2。表1展示苯基矽(「PS」)及二苯基矽(「DPS」)層之接觸角(「W」代表水、「HD」代表十六烷且「DIM」代表二碘甲烷)及表面能(分散分量「D」、極性分量「P」及總計「T」,如藉由將S.Wu(1971)開發的理論模型與三種上述試驗液體W、HD、DIM之三個接觸角擬合來量測。參見,S.Wu,J.Polym.Sci.C,34,19,1971)。另外,表1展示PS或DPS層是否經電漿處理,且在「處理」欄中指示特定電漿處理。因此,例如,表1之第一行指示:PS層未經電漿處理,且具有74.5之W接觸角、2.63之HD接觸角、24.4之DIM接觸角,且總表面能為47.42 mJ/m2 ,其中分散分量佔35.69 mJ/m2 而極性分量佔11.73 mJ/m2 。類似地,表1之第二行指示:PS層用N2 -O2 電漿處理,且因此具有13.7之W接觸角、3.6之HD接觸角、40.8之DIM接觸角,且總表面能為74.19 mJ/m2 ,其中分散分量佔32.91 mJ/m2 而極性分量佔41.28 mJ/m2 。 表1
Figure 105115609-A0305-0001
如可見的,苯基矽及二苯基矽層之總表面能可增加至約水之表面能或約72-74 mJ/m2
改質層藉由具有一定原子百分數比率之某些原子(例如,氧、矽及氮)而達成第一片材20及第二片材10之所欲接合。X射線光電子光譜學(X-ray photoelectron spectroscopy;XPS)可用於測定電漿處理(例如,N2 電漿表面活化)前後有機矽層之表面組成。應注意,XPS為表面敏感技術,且取樣深度為約數奈米。
在一實例中,N2 電漿表面活化前後苯基矽層之表面組成之原子百分數比率展示於下文表2中。如下所述的苯基矽層係於Applied Materials P5000通用CVD設備中利用以下製程條件自具有氫載氣之有機矽氫化物前驅物自苯基矽烷及氫沉積:390℃之溫度同時120標準立方公分(standard cubic centimeter;sccm)之氦穿過保持在85℃及600 sccm H2 下的苯基矽烷鼓泡器,9托之壓力,210毫米之間隙及300瓦特(watt;W)、13.56 MHz RF能量及在30℃下之苯基矽烷安瓿。層之沉積速率為約1000 nm/min。
甲基苯基矽層係於相同的Applied Materials P5000通用CVD設備中利用以下製程條件來沉積:390℃之溫度同時200 sccm之氦穿過保持在85℃及600 sccm H2 下的甲基苯基矽烷鼓泡器,9托之壓力,210毫米之間隙及450 W RF及在80℃下之甲基苯基矽烷安瓿。
二苯基矽層係於相同的Applied Materials P5000通用CVD設備中利用以下製程條件來沉積:390℃之溫度同時500 sccm He穿過保持在85℃、600 sccm H2 下的二苯基矽烷鼓泡器、9托之壓力,210毫米之間隙及300 W RF及在80℃下之二苯基矽烷安瓿。咸信例如有機矽鹵化物之其他前驅物將產生與本文所示及所述類似的結果。
Figure 105115609-A0305-0002
剛沉積時,苯基矽層之改質層表面包含約4:1 C:Si原子百分數比率及約0.5:1 O:Si原子百分數比率。雖然在沉積製程期間沒有有意地添加氧,但剛沉積的苯基矽層包含9.4原子百分數之氧。氧於有機矽層之表面組成中的存在可產生自清除來自沉積裝備的含氧物種,該等含氧物種例如來自反應器壁、源材料中之雜質,或甚至在自沉積裝備(例如,沉積室)移除玻璃物件或樣本之後改質層之電漿活化表面與大氣水分及氧之反應。表2展示:在利用N2 活化改質層之後,氮增加至12.5原子百分數,因為此元素併入表面中。O:Si原子百分數比率增加至約2.5:1,而C:Si比率減少至約1.25:1。在苯基矽層之表面處理之後,Si之原子百分數存在幾乎保持不變。
在另一實例中,N2 電漿表面活化前後苯基矽層之表面組成之原子百分數比率展示於下文表3中。
Figure 105115609-A0305-0003
表3中所示的Si2p表面組成展示:接合在剛沉積的改質層之表面中的Si主要為Si-C或Si-Si,而僅約25%之Si與氧鍵結。在利用N2 表面活化改質層後,存在於改質層中之大部分的表面氧係呈SiO2 形式。因此,在活化之後存在小量Si-O鍵。
在另一實例中,N2 電漿表面活化前後苯基矽層之表面組成之原子百分數比率展示於下文表4中。
Figure 105115609-A0305-0004
表4中所示的C1s表面組成展示:在改質層之剛沉積的表面中的C-C、C-H及π-π鍵結主要為C-C或 C-H鍵結,同時觀察到π-π躍遷。在N2 電漿活化之後,改質層之表面中的C-C、C-H及π-π鍵結顯著地減少,且觀察到更多的極性C-O或 C-N物種。
在另一實例中,N2 電漿表面活化前後苯基矽層之表面組成之原子百分數比率展示於下文表5中。
Figure 105115609-A0305-0005
表4中所示的N1表面組成展示:呈N-C、N = C及NH2 形式之氮係利用改質層之N2 表面活化而引入。例如,氮之63%係作為胺引入至表面中。此等極性表面基團可負責改質層表面之電漿活化,從而將有機矽改質層(例如,苯基矽)之表面能升高至幾乎為玻璃之表面能(亦即,約74 mJ/m2 )及因此允許與薄玻璃片接合。
在利用N2 之表面活化前後量測經沉積的改質甲基苯基矽(methylphenylsilicon;MPS)及二苯基矽(diphenlysilicon;DPS)層之表面組成之個別原子元素,且於下文表6中予以報告。
Figure 105115609-A0305-0006
剛沉積的改質層不含可偵測的氮,且針對MPS具有0.49之O:Si比率,而針對DPS具有0.78之O:Si比率。如圖所示,N2 電漿活化將10.8原子百分數的氮併入MPS中,將14.4原子百分數的氮併入DPS中,且將改質層表面之O:Si比率增加至超過2。
在不同階段測試改質層之表面組成以測定表面化學之改變。下文表7展示在利用及不利用N2 表面活化及對薄玻璃片之接合的情況下退火之影響。
Figure 105115609-A0305-0007
於N2 中在700℃下退火10分鐘而無表面活化或接合的DPS層僅展示輕微氧化及很小的組成變化。在N2 表面活化、接合至薄玻璃、於N2 中在700℃下退火10分鐘及脫接合以在三個所取樣位置的兩個位置中暴露二苯基矽表面之後,層之XPS類似於如表6所示的N2 處理之DPS表面之彼XPS。氮濃度為約一半。此等結果與因氮處理之表面處的黏著失效而發生的脫接合一致。在剩餘位置中,XPS暗示一些裸露EXG玻璃得以暴露,從而暗示薄DPS表面改質之部分在脫接合期間遭撕掉。
下文表8展示如藉由奈米壓痕所量測的苯基矽、甲基苯基矽及二苯基矽之1.1 um厚膜之彈性模數(「E」)及硬度(「H」)。
Figure 105115609-A0305-0008
如圖所示,對改質層所量測的彈性模數大於諸如聚醯亞胺之典型聚合物的彈性模數約十倍,為常見顯示器玻璃的彈性模數之四分之一,且顯著地小於非晶矽之134 GPa模數(R. Kuschnereit, H. Fath, A. A. Kolomenskii, M. Szabadi, P. Hess, Mechanical and elastic properties of amorphous hydrogenated silicon films studied by broadband surface acoustic wave spectroscopy, Applied Physics A 1995 (61) 3 269-276)。此與所希望結構一致,且暗示接合層之有限順應性。
表面改質層30(適當時連同接合表面製備一起)之使用可達成受控接合區域,亦即,能夠提供片材20與片材10之間的室溫接合之接合區域,足以允許物件2在FPD類型製程(包括真空製程及濕式製程)中處理,而且為控制片材20與片材10之間(甚至高溫下)的共價鍵結之接合區域,以便在物件2之高溫處理(例如,FPD類型處理或LTPS處理)之後允許片材20自片材10移除(而無對片材之破壞)。為評估將提供適用於FPD處理之可重複使用載具之潛在接合表面製備及表面改質層,使用一系列試驗來評估每一者之適用性。不同FPD應用具有不同的要求,但此時LTPS及氧化物TFT製程似乎為最嚴格的。因此,選擇代表此等製程中之步驟的試驗,因為此等試驗為物件2之所欲應用。在400℃下之退火用於氧化物TFT製程,而在超過600℃下之結晶及摻雜劑活化步驟用於LTPS處理。因此,進行以下測試來評估以下可能性:特定接合表面製備及改質層30將允許薄片20在FPD處理全程保持接合至載具10,同時在此種處理(包括在≥400℃至750℃之溫度下處理)之後允許薄片20自載具10移除(而不破壞薄片20及/或載具10)。
鍵能之熱測試
進一步在加熱條件下測試改質層對薄玻璃片之接合能。例如,在表面活化之後,觀察到薄玻璃以與高表面能一致的極高接合速度極好地接合至苯基矽、甲基苯基矽及二苯基矽改質層接合表面。此外,高接合速度具有減少產生物件2之總體處理時間及/或產生物件2之增加吞吐量的製造優點。因此,促進快速接合速度之初始表面能為有利的。
第5、6及7圖展示:接合至Corning® Eagle XG®或Lotus XT載具的薄玻璃之鍵能演化及起泡區域變化,該等載具塗佈有氮處理之苯基矽(亦即,第5圖)、甲基苯基矽(亦即第6圖)及二苯基矽(亦即第7圖)。圖中之鍵能資料點以菱形標記指示,其中比例尺位於左手Y軸上,而起泡區域之百分數變化由正方形資料點展示,其中比例尺處於右手Y軸上。與具有250 nm之厚度的氮處理之苯基矽層接合的薄玻璃之鍵能在400℃下升高至約600 mJ/m2 ,且保留接近彼值直至在600℃下觀察到過度起泡。因此,苯基矽表面改質層與薄玻璃片一致地維持小於約600 mJ/m2 之鍵能直至600℃,亦即,直至於惰性氣氛中在600℃下將玻璃物件保持10分鐘之後。
針對甲基苯基矽(第6圖)觀察到類似的結果,其中與氮處理之甲基苯基矽接合的薄玻璃之鍵能在300-600℃接近400 mJ/m2 ,而在600℃下觀察到過度起泡。因此,甲基苯基矽表面改質層與薄玻璃片一致地維持小於約400 mJ/m2 (當然就小於450 mJ/m2 )之鍵能直至600℃,亦即,直至於惰性氣氛中在600℃下將玻璃物件保持10分鐘之後。
如第7圖所示,氮處理之二苯基矽層展現與薄玻璃片之強接合能,例如,鍵能保留接近400 mJ/m2 (當然小於450 mJ/m2 )直至650℃,亦即,於惰性氣氛中在650℃下將玻璃物件保持10分鐘之後。直至750℃,二苯基矽層一致地展現小於約600 mJ/m2 之接合能。高於750℃之較高溫度評估為不可能的,此歸因於薄玻璃之軟化,該薄玻璃具有與Corning® EAGLE XG®玻璃(可購自Corning Incorporated,在Corning NY有辦公室)一致的組成。
前述結果展示:對LPTS處理而言,N2 處理之苯基矽表面改質層、N2 處理之甲基苯基矽表面改質層及N2 處理之二苯基矽表面改質層各自對600℃及高於600℃足夠熱穩定,其中最終鍵能小於600 mJ/m2
改質層之除氣
用於典型晶圓接合應用之聚合物黏著劑通常為10-100微米厚,且在其溫度極限處或附近損失其質量之約5%。對自厚聚合物膜逸出之此等材料而言,易於藉由質譜測定法來定量質量損失或除氣之量。另一方面,量測自大約10 nm至100 nm厚或更小之薄表面處理物之除氣更具挑戰,該等薄表面處理物例如上文所述的電漿聚合物表面改質層,以及熱解矽油或自組裝單層之薄層。對此等材料而言,質譜測定法不夠敏感。然而,存在許多量測除氣之其他方式。
量測小量除氣之第一方式試驗#1係基於表面能量測,且將參考第8圖來描述。為進行此試驗,可使用如第8圖所示的設置。其上具有待測試改質層之第一基板或載具900存在表面902,亦即,在組成及厚度上相應於待測試之改質層30的改質層。第二基板或蓋材910經置放以便其表面912緊接於載具900之表面902,但未與其接觸。表面912為未塗佈表面,亦即,自其製成蓋材之裸材料之表面。隔片920置放於載具900與蓋材910之間的各種點處,以將其固持成彼此間隔的關係。隔片920應足夠厚以將蓋材910與載具900分離,從而允許材料自一者移動至另一者,但足夠薄以便在測試期間,最小化自腔室氣氛對表面902及912的污染之量。載具900、隔片920及蓋材910一起形成試驗物件901。
在試驗物件901之組裝之前,量測裸表面912之表面能,如表面902(亦即,載具900的具有提供於其上之改質層的表面)之表面能。藉由將S.Wu (1971)開發的理論模型與三種試驗液體;水、二碘甲烷及十六烷之三個接觸角擬合來量測如第9及10圖所示的表面能,即總量、極性分量及分散分量。(參考文獻:S. Wu, J. Polym. Sci. C, 34, 19, 1971)。
在組裝之後,將試驗物件901置放於加熱室930中,且經由時間-溫度循環加熱。在大氣壓下及流動N2 氣下執行加熱,該流動N2 氣亦即在箭頭940之方向上以每分鐘2標準公升之速率流動。
在加熱循環期間,表面902之變化(例如包括表面改質層由於蒸發、熱解、分解、聚合、與載具反應及抗濕潤(de-wetting)之變化)係藉由表面902之表面能變化來證明。表面902之表面能自身之變化未必意指表面改質層已除氣,但指示表面改質層材料於彼溫度下之總體不穩定性,因為其特性由於例如上文所指出之機制而變化。因此,表面902之表面能變化愈小,改質層愈穩定。另一方面,由於表面912與表面902之緊接性,自表面902除氣的任何材料將收集於表面912上,且將使表面912之表面能變化。因此,表面912之表面能變化為存在於表面902上之改質層之除氣的代表(proxy)。
因此,用於除氣之一個試驗使用蓋材表面912之表面能變化。確切言之,若表面能--表面912之表面能--之變化≥10 mJ/m2 ,則可指示除氣。此量值之表面能變化與可導致膜黏著之損失或材料性質及裝置效能之降級的污染一致。≤5 mJ/m2 之表面能變化接近表面能量測之可重複性及表面能之非均質性。此種小的變化與最少除氣一致。
在產生第9及10圖中之結果的測試期間,載具900、蓋材910及隔片920均由Corning® Eagle XG®玻璃製成,該玻璃為可購自Corning Incorporated, Corning, NY之不含鹼金屬鋁硼矽酸鹽顯示器級玻璃,儘管無需為此種狀況。載具900及蓋材910為150 mm直徑0.63 mm厚。通常,載具910及蓋材920將分別由與載具10及薄片20相同的材料製成,需要對該材料的除氣試驗。在此測試期間,0.63 mm厚、2 mm寬及8 cm長之矽隔片定位在表面902與表面912之間,進而在表面902與表面912之間形成0.63 mm之間隙。在此測試期間,將腔室930併入MPT-RTP600s快速熱處理裝備中。該腔室之溫度以每分鐘9.2℃之速率自室溫循環至試驗極限溫度;該腔室保持在試驗極限溫度下歷時10分鐘,且隨後以爐速率冷卻至200℃。在腔室930已冷卻至200℃之後,移除試驗物件。在試驗物件已冷卻至室溫之後,再次量測每一表面902及912之表面能。因此,舉例而言,在使用苯基矽之表面能變化(測試至600℃之極限溫度)之資料的情況下(第9圖),三角形資料點表示蓋材之總表面能,正方形資料點表示蓋材之表面能的極性分量,菱形資料點表示蓋材之表面能的分散分量,圓形資料點表示苯基矽塗佈之載具之總表面能,X資料點表示苯基矽塗佈之載具之分散分量,且帶橫線X(pipe-X)資料點表示苯基矽塗佈之載具之極性分量。在約25℃(室溫)下之三角形資料點展示75 mJ/m2 (毫焦耳每平方公尺)之表面能,且其為裸玻璃蓋材之表面能,亦即,尚未運轉時間-溫度循環,藉以尚不存在收集於蓋材上的除氣材料之沉積。當除氣材料收集於蓋材上時,蓋材之表面能將減少。蓋材的大於10 mJ/m2 之表面能降低指示自表面104上之表面改質材料除氣。在300℃處之資料點指示在如下執行的時間-溫度循環之後所量測的表面能:將物件901 (具有苯基矽,其用作載具900上之改質層以呈現表面902)置放於處於室溫及大氣壓下之加熱室930中;在處於每分鐘兩標準公升下的N2 氣流的情況下,以每分鐘9.2℃之速率將腔室加熱至300℃之試驗極限溫度,且在300℃之試驗極限溫度下保持10分鐘;隨後以每分鐘1℃之速率使腔室冷卻至300℃,且隨後將物件901自腔室930移除;隨後使物件冷卻至室溫(無N2 流動氣氛);隨後量測表面912之表面能且繪製為針對300℃之點(三角形、正方形、菱形)。隨後以類似方式測定針對250 nm厚苯基矽(第9圖,針對400℃、500℃及600℃)之剩餘資料點(三角形、正方形、菱形),以及針對37 nm厚苯基矽(第10圖)之資料點(空心三角形、空心正方形及空心菱形)。以類似方式測定針對250 nm厚苯基矽改質層的表示表面902之表面能的資料點(第9圖中之圓形、X、帶橫線X)及針對37 nm厚苯基矽改質層的表示表面902之表面能的資料點(第10圖中之實心三角形、實心正方形及實心菱形)。
根據第9圖之三角形資料點,可見:蓋材912之總表面能在75 mJ/m2 下保留約恆定,從而指示無收集在蓋材912上,且與無來自表面902之除氣一致。類似地,在300℃至600℃範圍內,苯基矽改質層之總表面能(圓形資料點)變化小於約10 mJ/m2 ,此與最小材料損失一致且指示改質層極為穩定。
根據第10圖之空心三角形資料點,可見:蓋材912之總表面能在約75 mJ/m2 下保留約恆定(僅在750℃下輕微下降)直至約750℃,從而指示最少材料收集於蓋材912上,且與無來自表面902之除氣一致。類似地,在室溫至750℃範圍內,苯基矽改質層之總表面能(實心三角形資料點)變化小於約10 mJ/m2 ,此與最小材料損失一致且指示改質層極為穩定。
量測小量除氣之第二方式試驗#2係基於組裝物件,亦即,其中薄玻璃片經由有機矽改質層接合至玻璃載具之物件,且使用氣泡區域百分數變化來判定除氣。在玻璃物件之加熱期間,載具與薄片之間形成的氣泡指示改質層之除氣。薄片下之除氣可藉由薄片與載具之間的強黏著來限制。儘管如此,≤10 nm厚之層(例如,電漿聚合材料、SAM及熱解矽油表面處理物)可仍在熱處理期間產生氣泡,而不管其較小的絕對質量損失。而且,薄片與載具之間的氣泡之產生可引起圖案產生、光微影術處理及/或於薄片上之裝置處理期間對準之問題。另外,在薄片與載具之間的接合區域之邊界處的起泡可引起來自一個製程之製程流體污染下游製程的問題。≥5之氣泡區域%變化為顯著的(指示除氣)且不為合乎需要的。另一方面,≤1之氣泡區域%變化不為顯著的,且指示尚無除氣。
在1000級清潔室中利用手動接合的接合薄玻璃之平均氣泡區域為約1%。接合載具中之氣泡%隨載具、薄玻璃片之清潔度及表面製備而變。因為此等初始缺陷充當用於在熱處理之後氣泡生長之成核位點,在熱處理之後小於1%的任何氣泡區域變化在樣本製備之可變性以內。為進行此試驗,使用具有透明度單元之市售桌上型掃描器(Epson Expression 10000XL Photo)來獲取在接合之後立即將薄片及載具接合的區域之第一掃描影像。使用標準Epson軟體、使用508 dpi (50微米/像素)及24位元RGB來掃描各部分。影像處理軟體首先藉由以下方式來準備影像:按需要將樣本之不同區段之影像拼接成單一影像,且移除掃描器假影(藉由使用在掃描器中不具有樣本的情況下執行的校準參考掃描)。隨後使用標準影像處理技術來分析接合區域,該等影像處理技術諸如定限化、孔洞填充、侵蝕/擴張及二進制大型物件分析(blob analysis)。亦可以類似方式使用Epson Expression 11000XL Photo。在透射模式中,接合區域中之氣泡在掃描影像中可見,且可判定氣泡區域之值。隨後,將氣泡區域與總接合區域(亦即,薄片與載具之間的總重疊區域)相比較,以計算接合區域中之氣泡相對於總接合區域之區域%。隨後在MPT-RTP600s快速熱處理系統中、於N2 氣氛下、在300℃、400℃、500℃及600℃之試驗極限溫度下,將樣本熱處理至多10分鐘。在某些實例中,如第7圖所示,將樣本熱處理至多700℃及750℃之溫度。確切言之,所進行的時間-溫度循環包括:將物件插入處於在室溫及大氣壓下的加熱室中;隨後以每分鐘9℃之速率將腔室加熱至試驗極限溫度;將腔室保持在試驗極限溫度下歷時10分鐘;隨後以爐速率將腔室冷卻至200℃;將物件自腔室移除且使其冷卻至室溫;隨後利用光學掃描器第二次掃描物件。然後如上計算來自第二掃描之氣泡區域%,且將其與來自第一掃描之氣泡區域%相比較,以判定氣泡區域%變化(Δ起泡區域(%))。如上所指出,≥5%之氣泡區域變化為顯著的且指示除氣。由於原始氣泡區域%之可變性,將氣泡區域%變化選擇為量測準則。亦即,大多數表面改質層在第一掃描中具有約2%之氣泡區域,此歸因於在已製備薄片及載具之後且在其接合之前的處置及清潔度。然而,在材料之間可發生變化。
如藉由氣泡區域百分數變化所例證,所量測的氣泡區域%亦可表徵為未與第一片材20之接合表面24接觸的改質層接合表面之總表面積百分數 如上所述,在玻璃物件藉由於以每分鐘約400℃至約600℃之速率自室溫循環至500℃、600℃、650℃、700℃及至多750℃的腔室內加熱而經受溫度循環且在允許玻璃物件冷卻至室溫之前於試驗溫度下保持10分鐘之後,未與第一片材接觸的改質層接合表面之總表面積百分數合乎需要地小於5%、小於3%、小於1%及至多小於0.5%。在玻璃物件經受上文溫度循環及熱測試之後,本文描述的改質層允許第一片材與第二片材分離,而不使第一片材破裂成兩個或兩個以上碎塊。
除氣試驗之結果展示於第5、6及7圖中,其中起泡資料係展示為正方形資料點,且係利用如右手Y軸上所示的比例尺來繪圖。第5圖展示在N2 電漿處理之後及與薄玻璃片接合之前250 nm厚苯基矽表面改質層之起泡資料。苯基矽層展現小於5%的氣泡區域變化直至約600℃,此與無除氣一致,但在高於600℃時快速地增加。然而,直至500℃時,苯基矽層展現小於1%的氣泡區域變化,此再次與無除氣一致。此外,如由利用左手Y軸上之比例尺繪圖的菱形資料點所示,苯基矽表面改質層提供以小於約600 mJ/m2 之接合能與薄玻璃之接合直至600℃,從而允許薄玻璃與載具脫接合而不對薄玻璃片引起顯著破壞。
第6圖展示在N2 電漿處理之後及與薄玻璃片接合之前100 nm厚甲基苯基矽表面改質層之起泡資料。甲基苯基矽層展現小於1%的氣泡區域變化直至約600℃,此與無除氣一致,但在高於約600℃之溫度下快速地增加。此外,如由利用左手Y軸上之比例尺繪圖的菱形資料點所示,甲基苯基矽改質層提供以小於400 mJ/m2 之接合能與薄玻璃之接合直至600℃,從而允許薄玻璃與載具脫接合而不對薄玻璃片引起顯著破壞。
第7圖展示在N2 電漿處理之後及與薄玻璃片接合之前30 nm厚二苯基矽改質層之起泡資料。二苯基矽層在直至約700℃及稍微高於700℃之溫度下展現小於0.5%的氣泡區域變化,此與無除氣一致。直至500℃時,二苯基矽層展現小於0.1%的氣泡區域變化,此再次與為除氣一致。此外,如由利用左手Y軸上之比例尺繪圖的菱形資料點所示,二苯基矽改質層提供以小於約600 mJ/m2 之接合能與薄玻璃之接合直至至少750℃,及以小於450 mJ/m2 之接合能與薄玻璃之接合直至650℃,從而允許薄玻璃與載具脫接合而不對薄玻璃片引起顯著破壞。
熟習此項技術者將明白,在不脫離本文描述的原理之精神及範疇的情況下,可對本文描述的實施例做出各種修改及變化。因此,本說明書之範疇意欲涵蓋歸入隨附發明申請專利範圍及其等效物之範疇內的修改及變化。
例如,雖然表面改質層係描述為初始地沉積在片材10 (例如載具)上,但未必需要為此狀況。替代地或另外,表面改質層可安置於片材20 (例如薄片)上。
另外,例如,儘管表面改質層係描述於為一個層,但可由任何適合數量之層(例如,兩個層、三個層、四個層或五個層)組成。在表面改質層具有一個以上層之狀況下,與片材10 (例如載具)之接合表面接觸的層無需為與片材20 (例如薄片)之接合表面接觸的層相同的組成。
2‧‧‧玻璃物件/物件8‧‧‧厚度10‧‧‧第二片材/片材12‧‧‧第一表面14‧‧‧接合表面16‧‧‧周邊18‧‧‧厚度20‧‧‧第一片材/薄片/片材22‧‧‧第一表面24‧‧‧接合表面26‧‧‧周邊28‧‧‧厚度30‧‧‧改質層/表面改質層38‧‧‧厚度900‧‧‧第一基板/載具902‧‧‧表面910‧‧‧第二基板/蓋材912‧‧‧表面920‧‧‧隔片930‧‧‧加熱室/腔室940‧‧‧箭頭
當參考隨附圖式閱讀以下詳細描述時,上文描述及其他特徵、態樣及優點得以較好地理解,其中:
第1圖為具有載具之物件的示意側視圖,該載具接合至薄片而改質層介於該載具與該薄片之間。
第2圖為第1圖中之物件之分解及部分地剖視圖。
第3圖為氫化物芳基矽反應形成芳基矽聚合物之實例之示意圖。
第4圖為具有2微米之厚度的苯基矽層之表面能之圖表。
第5圖為接合至具有250 nm之厚度的苯基矽層之薄玻璃的鍵能及起泡區域百分數變化之圖表。苯基矽層在將薄玻璃與苯基矽層接合之前利用氮來電漿處理。
第6圖為接合至具有100 nm之厚度的甲基苯基矽層之薄玻璃的鍵能及起泡區域百分數變化之圖表。苯基矽層在將薄玻璃與苯基矽層接合之前利用氮來電漿處理。
第7圖為接合至具有30 nm之厚度的二苯基矽層之薄玻璃的鍵能及起泡區域百分數變化之圖表。二苯基矽層在將薄玻璃與二苯基矽層接合之前利用氮來電漿處理。
第8圖為測量設備之示意圖。
第9圖為電漿沉積在玻璃載具上的具有250 nm之厚度的苯基矽層及根據第8圖之測量設備中之覆蓋晶圓的表面能之圖表。
第10圖為電漿沉積在玻璃載具上的具有37 nm之厚度的苯基矽層及根據第8圖之測量設備中之覆蓋晶圓的表面能之圖表。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
10‧‧‧第二片材/片材
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
20‧‧‧第一片材/薄片/片材
24‧‧‧接合表面
26‧‧‧周邊
30‧‧‧改質層/表面改質層

Claims (19)

  1. 一種薄片-載具物件,包含:一第一片材,包含一第一片材接合表面,其中該第一片材是一薄片;一第二片材,包含一第二片材接合表面,其中該第二片材是一載具;一改質層,包含一改質層接合表面,該改質層包含有機矽;且該改質層接合表面與該第一片材接合表面接觸,且該第二片材接合表面與該第一片材接合表面耦合而該改質層介於該等接合表面之間,其中在將該薄片-載具物件在一氮氣氛中於600℃下保持10分鐘之後,該第一片材接合表面與該改質層接合表面以小於600mJ/m2之一鍵能接合;其中該改質層是一聚合非晶形有機矽,該聚合非晶形有機矽選自以下物種所構成的群組:苯基矽部分、甲基苯基矽部分、二苯基矽部分、甲基聯苯矽部分及三苯基矽部分或前述物的一組合;及該改質層包含範圍為500nm至1μm厚的一厚度。
  2. 如請求項1所述之物件,其中在將該薄片-載具物件在一氮氣氛中於700℃下保持10分鐘之後,該第一片材接合表面與該改質層接合表面以小 於600mJ/m2之一鍵能接合。
  3. 如請求項1所述之物件,該改質層包含小於0.9的氧對矽之一原子百分數比率,其中矽及氧之該原子百分數係於表面改質及與該第一片材接合表面接觸之前自該改質層量測。
  4. 如請求項1所述之物件,該改質層接合表面包含在1至3範圍內的氧對矽之一原子百分數比率及在0.5至1.5範圍內的氮對矽之一原子百分數比率,其中矽、氧及氮之該原子百分數係於該改質層接合表面暴露於含氮反應物以將該改質層接合表面之該表面能增加至55mJ/m2至75mJ/m2範圍之後自該改質層接合表面量測。
  5. 如請求項1所述之物件,該改質層接合表面包含在1至3範圍內的氧對矽之一原子百分數比率及在2.5至6.5範圍內的氮對矽之一原子百分數比率,其中矽、氧及氮之該原子百分數係於該薄片-載具物件在含氮反應物中於700℃下保持10分鐘且隨後在該薄片-載具物件冷卻至室溫之後該第一片材與該第二片材分離之後自該改質層接合表面量測。
  6. 如請求項1所述之物件,在該薄片-載具物件藉由於以每分鐘600℃之一速率自室溫循環至700 ℃的一腔室內加熱而經受一溫度循環且在允許該薄片-載具物件冷卻至室溫之前於700℃下保持10分鐘之後,起泡區域百分數之變化小於1%。
  7. 如請求項1所述之物件,其中在該薄片-載具物件藉由於以每分鐘600℃之一速率自室溫循環至700℃的一腔室內加熱而經受一溫度循環且在允許該薄片-載具物件冷卻至室溫之前於700℃下保持10分鐘之後,該第一片材可與該第二片材分離而不使該第一片材破裂成兩個或兩個以上碎塊。
  8. 如請求項1至7中任一項所述之物件,該第一片材為包含300微米或更小之一厚度的玻璃,且該第二片材為包含300微米或更大之一厚度的玻璃。
  9. 一種製作一薄片-載具物件之方法,包含以下步驟:藉由在一第二片材之一接合表面上沉積一有機矽烷單體而將一改質層形成在該第二片材之該接合表面上,該改質層包含有機矽且該改質層包含一改質層接合表面;增加該改質層接合表面之表面能;及將一第一片材接合至該第二片材而該改質層介於該等片材之間,其中該第一片材之該接合表面接觸該 改質層之該接合表面,其中在將該薄片-載具物件在一氮氣氛中於600℃下保持10分鐘之後,該第一片材之該接合表面與該改質層之該接合表面以小於600mJ/m2之一鍵能接合;其中該第一片材是一薄片,而該第二片材是一載具;及其中該改質層是一聚合非晶形有機矽,該聚合非晶形有機矽選自以下物種所構成的群組:苯基矽部分、甲基苯基矽部分、二苯基矽部分、甲基聯苯矽部分及三苯基矽部分或前述物的一組合;及該改質層包含範圍為500nm至1μm厚的一厚度。
  10. 如請求項9所述之方法,該改質層接合表面之該表面能係藉由暴露於氮、氧、氫、二氧化碳氣體或前述的組合而增加。
  11. 如請求項9所述之方法,該改質層係藉由沉積選自由以下各項組成之群的一化合物而形成:苯基矽烷、甲基苯基矽烷、二苯基矽烷、甲基聯苯矽烷及三苯基矽烷。
  12. 如請求項9所述之方法,該有機矽烷單體包含一式(R1)xSi(R2)y,其中R1為一芳基、烷基、炔基及/或烯基,且x為1、2或3,R2為氫、鹵素、一芳基、烷基、炔基及/或烯基,或前述的組合,且 y為1、2或3,其中R1及R2不為氧且x+y=4。
  13. 如請求項12所述之方法,R1或R2為一芳基。
  14. 如請求項12所述之方法,R2為氫、甲基或氫與甲基的組合。
  15. 如請求項12所述之方法,R1或R2為一苯基、甲苯基、二甲苯基、萘基或前述的組合。
  16. 如請求項12所述之方法,R1或R2為一二芳基。
  17. 如請求項12所述之方法,該有機矽烷單體係選自由以下各項組成之群:苯基矽烷、甲基苯基矽烷、二苯基矽烷、甲基聯苯矽烷及三苯基矽烷。
  18. 如請求項12所述之方法,該有機矽烷單體不含一氧原子。
  19. 如請求項9至18中任一項所述之方法,該第一片材為包含300微米或更小之一厚度的玻璃,且該第二片材為包含300微米或更大之一厚度的玻璃。
TW105115609A 2015-05-19 2016-05-19 用於接合片材與載具之物件及方法 TWI746440B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562163821P 2015-05-19 2015-05-19
US62/163,821 2015-05-19
US201562201245P 2015-08-05 2015-08-05
US62/201,245 2015-08-05

Publications (2)

Publication Number Publication Date
TW201704184A TW201704184A (zh) 2017-02-01
TWI746440B true TWI746440B (zh) 2021-11-21

Family

ID=56137509

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105115609A TWI746440B (zh) 2015-05-19 2016-05-19 用於接合片材與載具之物件及方法

Country Status (7)

Country Link
US (2) US11167532B2 (zh)
EP (1) EP3297824A1 (zh)
JP (1) JP2018524201A (zh)
KR (1) KR102573207B1 (zh)
CN (1) CN107635769B (zh)
TW (1) TWI746440B (zh)
WO (1) WO2016187186A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
JP2017518954A (ja) 2014-04-09 2017-07-13 コーニング インコーポレイテッド デバイスで改質された基体物品、およびそれを製造する方法
CN107635769B (zh) 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
CN108353507B (zh) 2015-10-30 2020-11-27 康宁股份有限公司 用于加工与第二基材粘结的第一基材的方法
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
WO2019036710A1 (en) 2017-08-18 2019-02-21 Corning Incorporated TEMPORARY BINDING USING POLYCATIONIC POLYMERS
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
US10607832B2 (en) * 2018-01-15 2020-03-31 Samsung Electronics Co., Ltd. Method and apparatus for forming a thin layer
KR102609357B1 (ko) * 2018-01-15 2023-12-06 삼성전자주식회사 박막 형성 방법 및 박막 형성 장치
DE102018200656A1 (de) * 2018-01-16 2019-07-18 Disco Corporation Verfahren zum Bearbeiten eines Wafers
KR102577497B1 (ko) * 2022-11-28 2023-09-11 에스케이엔펄스 주식회사 반도체 소자 제조 장치용 부품, 이를 포함하는 반도체 소자 제조 장치 및 반도체 소자의 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201442968A (zh) * 2013-03-15 2014-11-16 Corning Inc 玻璃片之大量退火

Family Cites Families (415)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB952609A (en) 1959-05-07 1964-03-18 Rank Xerox Ltd Improved image development
NL267831A (zh) 1960-08-17
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (zh) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
JPS5614533A (en) 1979-07-16 1981-02-12 Shin Etsu Chem Co Ltd Production of polarizing film or sheet
GB2107930B (en) 1981-10-21 1985-06-12 Secr Defence Photoconductive strip detectors
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
JPS58222438A (ja) 1982-06-18 1983-12-24 Tdk Corp 磁気記録媒体
FR2531235B1 (fr) 1982-07-27 1985-11-15 Corning Glass Works Verres stratifies transparents polarisants et leur procede d'obtention
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
US5143747A (en) * 1991-02-12 1992-09-01 Hughes Aircraft Company Die improved tooling for metal working
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
JPH07500122A (ja) 1991-06-14 1995-01-05 ダブリュ.エル.ゴア アンド アソシエーツ,インコーポレイティド 表面改質多孔質膨張延伸ポリテトラフルオロエチレンとその製造方法
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3136951B2 (ja) 1994-06-28 2001-02-19 松下電工株式会社 ガラスクロスの表面処理方法
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
US5461934A (en) 1994-12-20 1995-10-31 Budd; Alexander G. Ambient air collection device for use with a self-contained breathing apparatus
EP0764704B1 (en) 1995-09-25 2000-03-08 Dow Corning Corporation Use of preceramic polymers as electronic adhesives
AU7435896A (en) 1995-10-13 1997-04-30 Dow Chemical Company, The Coated plastic substrate
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6211065B1 (en) 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2261921A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
NL1009703C2 (nl) 1998-07-21 2000-01-24 Instituut Voor Dierhouderij En Werkwijze voor het vervaardigen van een preparaathouder voor chemische of biochemische tests.
JP3811713B2 (ja) 1998-09-22 2006-08-23 株式会社片山化学工業研究所 紙離れ促進剤および紙切れ防止方法
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
EP1054454A3 (en) 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
EP1258035A4 (en) 2000-02-01 2008-12-24 Analog Devices Inc PROCESS FOR MACHINING AT WAFER LEVEL TO REDUCE THE ADHESION AND PASSIVATE MICRO-WORKING SURFACES AND COMPOSITIONS USED THEREFROM
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
EP1127706B2 (en) 2000-02-22 2013-10-02 Agfa Graphics N.V. Ink jet recording material
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6599437B2 (en) 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
WO2003001616A2 (en) 2001-06-20 2003-01-03 Showa Denko K.K. Light emitting material and organic light-emitting device
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
EP1275624B1 (en) 2001-06-29 2007-08-15 Crystal Systems Inc. Antifogging product, inorganic hydrophilic hard layer forming material and process for producing antifogging lens
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
EP1363319B1 (en) 2002-05-17 2009-01-07 Semiconductor Energy Laboratory Co., Ltd. Method of transferring an object and method of manufacturing a semiconductor device
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
US7279239B2 (en) 2002-08-07 2007-10-09 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminating product including adhesion layer and laminate product including protective film
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
WO2004027110A2 (en) 2002-09-18 2004-04-01 Arch Specialty Chemicals, Inc. Additives to prevent degradation of alkyl-hydrogen siloxanes
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
EP1573788A3 (en) 2002-11-20 2005-11-02 Reveo, Inc. Method and system for fabricating multi layer devices on a substrate
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
AU2003299296A1 (en) 2002-11-29 2004-06-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
DE50311232D1 (de) 2002-12-17 2009-04-09 Wipf Ag Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
WO2005036604A2 (en) 2003-10-09 2005-04-21 E.I. Dupont De Nemours And Company Apparatus and method for supporting a flexible substrate during processing
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
EP1737044B1 (en) 2004-03-12 2014-12-10 Japan Science and Technology Agency Amorphous oxide and thin film transistor
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
AU2006216707B2 (en) 2005-02-24 2010-09-02 Pilkington North America, Inc. Anti-reflective, thermally insulated glazing articles
JP5068674B2 (ja) 2005-03-01 2012-11-07 ダウ・コーニング・コーポレイション 半導体加工のための一時的なウェハ結合法
US8043697B2 (en) 2005-04-19 2011-10-25 Ube Industries, Ltd. Polyimide film-laminated body
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
CN101242951B (zh) 2005-08-09 2012-10-31 旭硝子株式会社 薄板玻璃层压体以及利用薄板玻璃层压体的显示装置的制造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
WO2007055142A1 (en) 2005-11-11 2007-05-18 Semiconductor Energy Laboratory Co., Ltd. Layer having functionality, method for forming flexible substrate having the same, and method for manufacturing semiconductor device
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
DE602007013478D1 (de) 2006-02-08 2011-05-12 Semiconductor Energy Lab RFID-Vorrichtung
DE102006013834A1 (de) 2006-03-23 2007-09-27 Tesa Ag Elektrolumineszierende Haftklebemassen
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
CN101437772B (zh) 2006-05-08 2011-09-07 旭硝子株式会社 薄板玻璃叠层体、使用了薄板玻璃叠层体的显示装置的制造方法及支持用玻璃基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
EP2041782A4 (en) 2006-07-05 2014-03-26 Univ Arizona METHOD FOR TEMPORARILY FIXING A SUBSTRATE ON A RIGID SUPPORT
KR20090037856A (ko) 2006-07-12 2009-04-16 아사히 가라스 가부시키가이샤 보호 유리가 부착된 유리 기판, 보호 유리가 부착된 유리 기판을 사용한 표시 장치의 제조 방법 및 박리지용 실리콘
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
EP2074188A1 (en) 2006-10-13 2009-07-01 Sunwoo AMC Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
WO2008111361A1 (ja) 2007-03-12 2008-09-18 Asahi Glass Company, Limited 保護ガラス付ガラス基板及び保護ガラス付ガラス基板を用いた表示装置の製造方法
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
ATE495181T1 (de) 2007-04-26 2011-01-15 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
KR101436115B1 (ko) 2007-04-27 2014-09-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제조방법, 및 반도체장치의 제조방법
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
JP5359871B2 (ja) 2007-06-20 2013-12-04 旭硝子株式会社 フッ素化剤による酸化物ガラスの表面処理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
JP5788173B2 (ja) 2007-06-25 2015-09-30 ブルーワー サイエンス アイ エヌシー. 高温スピンオン仮接合用組成物
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035721A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
US8449970B2 (en) 2007-07-23 2013-05-28 3M Innovative Properties Company Antistatic article, method of making the same, and display device having the same
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
WO2009085362A2 (en) 2007-10-05 2009-07-09 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
US9111981B2 (en) 2008-01-24 2015-08-18 Brewer Science Inc. Method for reversibly mounting a device wafer to a carrier substrate
WO2009092664A1 (en) 2008-01-24 2009-07-30 Basf Se Superhydrophilic coating compositions and their preparation
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
US8859101B2 (en) 2008-02-05 2014-10-14 Saint-Gobain Performance Plastics Corporation Multi-layer article
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
JP5555226B2 (ja) 2008-04-08 2014-07-23 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティ 半導体加工中のフレキシブル基板のwarpおよびbowを減少させるアセンブリおよび方法
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
KR20110007134A (ko) 2008-04-17 2011-01-21 아사히 가라스 가부시키가이샤 유리 적층체, 지지체를 부착한 표시 장치용 패널 및 이들의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP2009298916A (ja) 2008-06-13 2009-12-24 Toyo Ink Mfg Co Ltd 制電性アクリル系樹脂組成物、制電性アクリル系感圧式接着剤組成物、及びそれを用いてなる光学部材保護用感圧式接着性保護フィルム
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
WO2010059710A1 (en) 2008-11-19 2010-05-27 Dow Corning Corporation A silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
CN102239553B (zh) 2008-12-05 2014-08-27 皇家飞利浦电子股份有限公司 具有塑料基板的电子装置
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
CA2751881C (en) 2009-02-27 2013-09-17 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
JP5514302B2 (ja) 2009-05-06 2014-06-04 コーニング インコーポレイテッド ガラス基板用の担体
JP5578174B2 (ja) 2009-05-08 2014-08-27 日立化成株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
US8298959B2 (en) 2009-06-03 2012-10-30 Applied Materials, Inc. Method and apparatus for etching
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JPWO2011024690A1 (ja) 2009-08-27 2013-01-31 旭硝子株式会社 フレキシブル基材−支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
KR101583394B1 (ko) 2009-09-11 2016-01-07 헨켈 아이피 앤드 홀딩 게엠베하 중합체 결합을 위한 조성물
WO2011034034A1 (ja) 2009-09-18 2011-03-24 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
WO2011048978A1 (ja) 2009-10-20 2011-04-28 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
RU2593841C2 (ru) 2009-12-17 2016-08-10 Керамтек Гмбх Кондиционирование поверхности для улучшения адгезии костного цемента к керамическим субстратам
EP2463253B1 (en) 2010-01-12 2019-11-06 Nippon Electric Glass Co., Ltd. Glass film laminate, method of producing the same, and method of producing glass film
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
CN102883879B (zh) 2010-05-11 2015-06-17 旭硝子株式会社 层叠体的制造方法和层叠体
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB201008912D0 (en) 2010-05-27 2010-07-14 Gx Labs Holdings Ltd Biocidal coating composition
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
WO2012014959A1 (ja) 2010-07-28 2012-02-02 日本電気硝子株式会社 ガラスフィルム積層体
KR101455547B1 (ko) 2010-07-29 2014-10-27 미쓰이 가가쿠 가부시키가이샤 조성물, 이 조성물로 이루어지는 표시 디바이스 단면 시일제용 조성물, 표시 디바이스 및 그 제조 방법
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
EP2624326A4 (en) 2010-09-29 2017-05-10 Posco Method for manufacturing a flexible electronic device using a roll-shaped motherboard, flexible electronic device, and flexible substrate
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
EP2641883A4 (en) 2011-02-01 2014-10-01 Micro Technology Co Ltd THIN-PLATED GLASS SUBSTRATE BONDED BODY AND METHOD FOR MANUFACTURING THE SAME
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
US9604391B2 (en) * 2011-04-15 2017-03-28 Toyobo Co., Ltd. Laminate, production method for same, and method of creating device structure using laminate
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
KR20140018937A (ko) 2011-04-22 2014-02-13 아사히 가라스 가부시키가이샤 적층체, 그 제조 방법 및 용도
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
KR20130022819A (ko) 2011-08-26 2013-03-07 한양대학교 산학협력단 버퍼층을 포함하는 비휘발성 고분자 기억 소자 및 그의 제조 방법
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
WO2013044941A1 (en) 2011-09-27 2013-04-04 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
KR101973826B1 (ko) 2011-10-18 2019-08-26 에이지씨 가부시키가이샤 적층체, 적층체의 제조 방법 및 전자 디바이스용 부재가 부착된 유리 기판의 제조 방법
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US20140363579A1 (en) 2011-12-30 2014-12-11 Compagnie Generale Des Establissment Michelin Thin Film Diffusion Barrier
WO2013109607A1 (en) * 2012-01-16 2013-07-25 Dow Corning Corporation Optical article and method of forming
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
WO2013119737A2 (en) 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
JP5991373B2 (ja) 2012-05-29 2016-09-14 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
US20140050911A1 (en) 2012-08-17 2014-02-20 Corning Incorporated Ultra-thin strengthened glasses
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
CN107265844B (zh) 2012-09-28 2020-04-14 Hoya株式会社 外置保护罩玻璃及其制造方法、玻璃基板、保护罩玻璃
EP3872047A1 (en) 2012-10-12 2021-09-01 Corning Incorporated Articles having retained strength
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
TWI617437B (zh) * 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10014177B2 (en) * 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
EP2932496A4 (en) 2012-12-13 2016-11-02 Corning Inc GLASS AND METHOD FOR PRODUCING GLASS ARTICLES
US9340443B2 (en) * 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US10060851B2 (en) 2013-03-05 2018-08-28 Plexense, Inc. Surface plasmon detection apparatuses and methods
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
JP2016511517A (ja) 2013-03-15 2016-04-14 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 保護電極構造
WO2014142280A1 (ja) 2013-03-15 2014-09-18 日産自動車株式会社 非水電解質二次電池
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
TWI617521B (zh) 2013-04-02 2018-03-11 Asahi Glass Co Ltd 附有被覆膜之玻璃基板及其製造方法
TWI617522B (zh) 2013-04-02 2018-03-11 Asahi Glass Co Ltd Glass product with protective film and manufacturing method thereof
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
US20150210466A1 (en) 2014-01-27 2015-07-30 The Procter & Gamble Company Dispensing System for Sanitary Tissue Products
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
SG11201605964QA (en) 2014-01-27 2016-08-30 Corning Inc Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
JP2017506204A (ja) 2014-01-27 2017-03-02 コーニング インコーポレイテッド 高分子表面の担体との制御された結合のための物品および方法
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
CN105980150B (zh) 2014-02-07 2018-01-30 旭硝子株式会社 玻璃层叠体
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
JP2017518954A (ja) 2014-04-09 2017-07-13 コーニング インコーポレイテッド デバイスで改質された基体物品、およびそれを製造する方法
CN106163798B (zh) 2014-04-10 2019-05-10 Agc株式会社 玻璃层叠体及其制造方法、电子器件的制造方法
WO2015163134A1 (ja) 2014-04-25 2015-10-29 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
KR20170039135A (ko) 2014-08-01 2017-04-10 아사히 가라스 가부시키가이샤 무기막을 구비한 지지 기판 및 유리 적층체, 그리고, 그것들의 제조 방법 및 전자 디바이스의 제조 방법
US11247501B2 (en) 2014-08-27 2022-02-15 3M Innovative Properties Company Layer-by-layer assembled multilayer lamination transfer films
JP6384235B2 (ja) 2014-09-25 2018-09-05 Agc株式会社 ガラス板積層体およびその梱包方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN107635769B (zh) 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
TW201716245A (zh) 2015-08-05 2017-05-16 康寧公司 用於將片材與載體鍵結之物件及方法
JP6868368B2 (ja) 2015-10-21 2021-05-12 ライオン株式会社 浴室用液体洗浄剤
TWI757257B (zh) 2015-11-19 2022-03-11 美商康寧公司 玻璃物件以及黏合玻璃片與載體之方法
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
SG11201901745YA (en) 2016-08-22 2019-04-29 Corning Inc Articles of controllably bonded sheets and methods for making same
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
WO2019036710A1 (en) 2017-08-18 2019-02-21 Corning Incorporated TEMPORARY BINDING USING POLYCATIONIC POLYMERS
KR20200081496A (ko) 2017-11-20 2020-07-07 코닝 인코포레이티드 양이온성 계면활성제 및/또는 유기염을 사용한 유리 쌍의 임시 접합
CN115175809A (zh) 2020-02-26 2022-10-11 康宁股份有限公司 采用聚电解质多层的具有大粗糙度的基材临时性粘结

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201442968A (zh) * 2013-03-15 2014-11-16 Corning Inc 玻璃片之大量退火

Also Published As

Publication number Publication date
WO2016187186A1 (en) 2016-11-24
US20210402743A1 (en) 2021-12-30
KR102573207B1 (ko) 2023-08-31
US11167532B2 (en) 2021-11-09
KR20180008644A (ko) 2018-01-24
TW201704184A (zh) 2017-02-01
US20180126705A1 (en) 2018-05-10
EP3297824A1 (en) 2018-03-28
JP2018524201A (ja) 2018-08-30
CN107635769A (zh) 2018-01-26
US11660841B2 (en) 2023-05-30
CN107635769B (zh) 2020-09-15

Similar Documents

Publication Publication Date Title
TWI746440B (zh) 用於接合片材與載具之物件及方法
KR102469504B1 (ko) 시트 결합을 위한 실록산 플라즈마 중합체
US10014177B2 (en) Methods for processing electronic devices
US10086584B2 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
US20190184686A1 (en) Articles of controllably bonded sheets and methods for making same
TWI679175B (zh) 玻璃片之大量退火
TWI830710B (zh) 使用陽離子表面活性劑及/或有機鹽將玻璃對暫時接合
WO2017024197A1 (en) Articles and methods for bonding sheets with carriers
TWI810161B (zh) 具以可控制式黏結的薄片之製品及製作其之方法