TWI663653B - 基底處理方法及通過所述基底處理方法製造的半導體器件 - Google Patents

基底處理方法及通過所述基底處理方法製造的半導體器件 Download PDF

Info

Publication number
TWI663653B
TWI663653B TW107112773A TW107112773A TWI663653B TW I663653 B TWI663653 B TW I663653B TW 107112773 A TW107112773 A TW 107112773A TW 107112773 A TW107112773 A TW 107112773A TW I663653 B TWI663653 B TW I663653B
Authority
TW
Taiwan
Prior art keywords
layer
barrier layer
etching
silicon nitride
word line
Prior art date
Application number
TW107112773A
Other languages
English (en)
Other versions
TW201842584A (zh
Inventor
柳太熙
閔允基
劉龍珉
Original Assignee
荷蘭商Asm知識產權私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm知識產權私人控股有限公司 filed Critical 荷蘭商Asm知識產權私人控股有限公司
Publication of TW201842584A publication Critical patent/TW201842584A/zh
Application granted granted Critical
Publication of TWI663653B publication Critical patent/TWI663653B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本發明提供一種基底處理方法及通過所述基底處理方法 製造的半導體器件,所述基底處理方法可防止在具有臺階式結構的垂直與非器件中選擇性地沉積接地焊盤的製程中沉積在每一臺階上的接地焊盤的厚度不均勻,其包括:將包括絕緣層與犧牲層的堆疊結構堆疊多次;及對所述堆疊結構進行蝕刻以形成臺階式結構,所述臺階式結構具有上表面、下表面及連接所述上表面與下表面的側表面。所述方法亦包括在所述臺階式結構上形成阻擋層;在所述阻擋層上形成罩幕層;通過利用第一蝕刻溶液對所述罩幕層的至少一部分進行蝕刻來暴露出所述阻擋層的至少一部分;及利用第二蝕刻溶液對被暴露出的所述阻擋層進行蝕刻;所述方法還包括利用第三蝕刻溶液對所述罩幕層進行蝕刻。

Description

基底處理方法及通過所述基底處理方法製造的 半導體器件
[相關申請的交叉參考]
本申請主張在2017年4月13日在美國專利與商標局提出申請的美國臨時專利申請第62/485,302號以及在2018年4月12日在美國專利與商標局提出申請的美國專利申請第15/951,626號的權利,所述美國臨時專利申請的公開內容全文併入本申請供參考。
本發明是有關於一個或多個實施例涉及利用薄膜沉積技術的基底處理方法以及通過所述基底處理方法製成的半導體器件,且更具體來說,涉及用於向三維垂直與非(three-dimensional Vertical NAND,3D VNAND)器件的臺階式結構應用接地焊盤(landing pad)的膜蝕刻方法。
一般來說,可將氧化矽/氮化矽堆疊結構堆疊多次來形成垂直與非器件的閘極堆疊。接著,可對閘極堆疊進行蝕刻以暴露 出氮化矽層來形成臺階式結構。暴露出的氮化矽層被導電層(例如鎢)置換,且導電層可用作字線且可連接到接觸塞。
然而,當通過幹法蝕刻形成接觸通孔(via contact hole)時,接觸通孔可能會因過量蝕刻而通過閘極堆疊連接到兩個或更多個導電層。這可能會在驅動半導體器件時造成電短路的風險。
一個或多個實施例包括即使在不執行單獨的光刻製程的條件下也在由臺階式結構形成的區上選擇性地沉積接地焊盤。
一個或多個實施例包括當在具有臺階式結構的垂直與非(VNAND)器件中選擇性地形成接地焊盤時在每一臺階上選擇性地沉積具有相同厚度的接地焊盤。
一個或多個實施例包括當即使由與非器件形成的堆疊結構的數目增多時也通過更簡單的製程在每一個臺階上沉積具有相同厚度的接地焊盤。
一個或多個實施例包括能夠減少或避免當接觸通孔通過接地焊盤連接到另一個接地焊盤的電短路的風險的三維(3D)半導體器件。
其他方面將在以下說明中予以部分闡述,且這些方面將通過所述說明而部分地變得顯而易見,抑或可通過實踐所提供的實施例而得知。
根據一個或多個實施例,一種基底處理方法包括:將包 括絕緣層與犧牲層的堆疊結構堆疊多次;對所述堆疊結構進行蝕刻,以形成結構,所述結構具有上表面、下表面及連接所述上表面與所述下表面的側表面;在所述結構上形成阻擋層,以覆蓋所述結構;在所述阻擋層上形成罩幕層,以覆蓋所述阻擋層;通過對所述罩幕層的至少一部分進行蝕刻來暴露出所述阻擋層的至少一部分;對被暴露出的所述阻擋層進行蝕刻;以及對所述罩幕層進行蝕刻。
根據一個實施例,在將所述阻擋層的所述至少一部分暴露到對所述罩幕層進行的蝕刻期間,位於所述結構的所述上表面與所述下表面上的所述阻擋層的厚度可為均勻的。
根據另一個實施例,對被暴露出的所述阻擋層進行蝕刻可包括對所述阻擋層進行各向同性蝕刻,以形成具有比位於所述結構的所述上表面及所述下表面上的所述罩幕層的寬度窄的寬度的阻擋層。
根據另一個實施例,暴露出所述阻擋層的所述至少一部分可包括對位於所述結構的所述側表面上的所述罩幕層進行蝕刻。
根據另一個實施例,所述基底處理方法還可包括在所述阻擋層上形成所述罩幕層之前,對所述阻擋層中的位於所述結構的所述上表面及所述下表面上的阻擋層進行選擇性緻密化。
根據另一個實施例,在對被暴露出的所述阻擋層進行蝕刻期間,位於所述結構的所述上表面及所述下表面上的阻擋層的 蝕刻速率可低於位於所述結構的所述側表面上的阻擋層的蝕刻速率。
根據另一個實施例,所述基底處理方法還可包括在暴露出所述阻擋層的所述至少一部分之前,對所述罩幕層中的位於所述結構的所述上表面及所述下表面上的罩幕層進行選擇性緻密化。
根據另一個實施例,犧牲字線結構可包括所述犧牲層及所述阻擋層,所述基底處理方法還可包括:在所述犧牲字線結構上形成層間絕緣層;移除所述犧牲字線結構;以及形成與經移除所述犧牲字線結構的空間對應的導電字線結構,所述導電字線結構可包括:第一導電層,朝溝道延伸;以及第二導電層,位於所述第一導電層上,且所述第二導電層的兩個側壁中的每一者可具有從所述第二導電層的所述側壁朝所述第二導電層的另一側壁凹陷的部分。
根據一個或多個實施例,一種基底處理方法可包括:在第一方向上交替地堆疊n個第一氧化矽層與n個第一氮化矽層,其中所述n是大於或等於2的自然數;對經堆疊的所述第一氧化矽層與所述第一氮化矽層進行蝕刻,以形成n層臺階式結構,所述n層臺階式結構具有上表面、下表面及連接所述上表面與所述下表面的側表面;在所述臺階式結構的所述上表面、所述下表面及所述側表面上形成第二氮化矽層;對所述上表面及所述下表面上的所述第二氮化矽層進行選擇性緻密化;在所述第二氮化矽層 上形成第二氧化矽層;對所述上表面及所述下表面上的所述第二氧化矽層進行選擇性緻密化;移除所述第二氧化矽層的未緻密化部分;移除所述第二氮化矽層的未緻密化部分;以及移除所述第二氧化矽層的緻密化部分。
根據另一個實施例,在移除所述第二氧化矽層的所述未緻密化部分到移除所述第二氧化矽層的所述緻密化部分期間,在對所述第二氮化矽層進行選擇性緻密化期間經緻密化的所述第二氮化矽層的厚度可不發生改變。
根據另一個實施例,移除所述第二氧化矽層的所述未緻密化部分可包括利用第一蝕刻溶液移除所述第二氧化矽層的未緻密化部分,且移除所述第二氧化矽層的所述緻密化部分可包括利用第二蝕刻溶液移除所述第二氮化矽層的緻密化部分。在附加實施例中,所述第一蝕刻溶液與所述第二蝕刻溶液可為相同的溶液,且移除所述第二氧化矽層的所述緻密化部分的蝕刻時間可長於移除所述第二氧化矽層的所述未緻密化部分的蝕刻時間。
根據另一個實施例,所述臺階式結構的每一臺階可包括:形成在所述臺階的下部部分處的所述第一氧化矽層;以及形成在所述第一氧化矽層上的所述第一氮化矽層,形成在形成所述臺階式結構的第k個臺階(k:大於或等於2且小於或等於n的自然數)的第一氮化矽層上的第二氮化矽層在移除所述第二氮化矽層的所述未緻密化部分之後可包括:第一側壁,面對形成所述臺階式結構的第k+1個臺階的第一氧化矽層的一個側;以及第二側 壁,面對所述第一側壁,在移除所述第二氮化矽層的所述未緻密化部分之後,形成在所述臺階式結構的每一臺階上的第二氮化矽層的第一側壁及第二側壁中的每一者可具有在各自的側向方向上凹陷的部分,所述凹陷部分可在第二方向上延伸,且所述第二方向可垂直於所述第一方向。在附加實施例中,在移除所述第二氮化矽層的所述未緻密化部分之後,形成在所述臺階式結構的每一臺階上的第二氮化矽層可被形成為在第三方向上與彼此間隔開,且所述第三方向可垂直於所述第一方向及所述第二方向。
根據另一個實施例,在對所述第二氮化矽層進行選擇性緻密化之後,形成在所述臺階式結構的每一臺階上的第二氮化矽層可具有不同的密度。
根據另一個實施例,在移除所述第二氮化矽層的所述未緻密化部分之後,形成在所述臺階式結構的每一臺階上的第二氮化矽層在所述第一方向上可具有相同的厚度。
根據一個或多個實施例,一種半導體器件可包括:基底;至少一個儲存單元串,延伸以在所述基底上突出;第一字線,連接到所述至少一個儲存單元串的第一儲存單元;以及第二字線,連接到所述至少一個儲存單元串的第二儲存單元,其中所述第一字線及所述第二字線中的每一者可包括:第一導電層,朝溝道延伸;以及第二導電層,位於所述第一導電層上,且所述第一字線的所述第二導電層及所述第二字線的所述第二導電層可具有在各自的側向方向上從各自的兩個側壁中的每一者凹陷的部分,且所 述第二導電層的中心部分的側向方向寬度可小於所述第二導電層的上表面及下表面的側向方向寬度。
根據另一個實施例,所述第一字線的所述第二導電層的厚度可等於所述第二字線的所述第二導電層的厚度。
根據另一個實施例,所述凹陷部分可具有圓形形狀或者帶有預定曲率的形狀。
根據另一個實施例,所述第一字線的所述第二導電層及所述第二字線的所述第二導電層在與所述第一字線的所述第二導電層的所述上表面垂直的方向上可不相互交疊。
1‧‧‧堆疊結構/臺階式結構
2、2a、2b、2c、2d、20、20a、20b、20c‧‧‧絕緣層
3、3a、3b、3c、3d、30、30a、30b、30c‧‧‧犧牲層
6、60、60k-1、60k、60k+1‧‧‧阻擋層
9‧‧‧局部留下的傾斜突出部
10‧‧‧臺階式結構
12‧‧‧金屬線
13‧‧‧導電層
70‧‧‧罩幕層
80‧‧‧側壁
200‧‧‧基底
210a、210b、210c、210d‧‧‧第一絕緣層
220a、220b、220c、220d‧‧‧第一犧牲層
230、230'‧‧‧第二犧牲層
240‧‧‧第二絕緣層
250‧‧‧層間絕緣層
260‧‧‧通孔接觸件
a、b、b1、b2、c‧‧‧側向方向寬度
A、B、C‧‧‧區
C1、C11、C12‧‧‧第一導電層
C2、C21、C22‧‧‧第二導電層
d1、d2‧‧‧距離
h、h11、h11'、h22、h22'、h33、h33'、h44、h44'、h55、h55'、T1、T2、T3、T4、T11、T12、Tk-1、Tk、Tk+1‧‧‧厚度
L‧‧‧下表面
MC1‧‧‧第一儲存單元
MC2‧‧‧第二儲存單元
MC3‧‧‧第三儲存單元
MC4‧‧‧第四儲存單元
MCS‧‧‧儲存單元串
S‧‧‧側表面
S1、S2、S3、S4、S5、S6‧‧‧操作
ST‧‧‧臺階
t0、t1、t2、t3、t4、t5、t6、t7、t8、t9、t10、t11、t12、t13、t14、t15、t16、t17‧‧‧時間
U‧‧‧上表面
w1‧‧‧第一側壁
w2‧‧‧第二側壁
WL‧‧‧導電字線結構
WL1‧‧‧第一字線
WL2‧‧‧第二字線
WL3‧‧‧第三字線
WL4‧‧‧第四字線
Wm‧‧‧寬度
x、y、z‧‧‧方向
結合附圖閱讀以下對實施例的說明,這些方面和/或其他方面將變得顯而易見且更易於理解,在附圖中:圖1A、圖1B及圖1C示意性地示出於臺階式結構中形成接點而造成電短路的半導體製造製程。
圖2A至圖2C示意性地示出在三維(3D)半導體器件的臺階式結構上沉積並選擇性地蝕刻阻擋層的製程。
圖3A及圖3B示意性地示出沉積在臺階式結構上的阻擋層因電漿不均勻而可具有不同厚度。
圖4是示意性地示出根據本發明實施例的基底處理方法的流程圖。
圖5示意性地示出根據本發明實施例的基底處理方法。
圖6A、圖6B、圖6C、圖6D、圖6E、圖6F、圖6G及圖6H示出對臺階式結構的圖案應用根據本發明實施例的基底處理方法的結果。
圖6I示出沉積阻擋層以用作接地焊盤的方法。
圖6J示出接觸通孔在形成以後因過量蝕刻而可通過位於第k個臺階上的阻擋層連接到位於第k-1個臺階上的阻擋層。
圖7A示出在未對阻擋層執行不對稱的電漿製程(asymmetric plasma process)時應用根據本發明實施例的基底處理方法的結果。
圖7B示出在對阻擋層執行不對稱的電漿製程時應用根據本發明實施例的基底處理方法的結果。
圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15、圖16、圖17及圖18示意性地示出根據本發明實施例的基底處理方法。
圖19示出由根據本發明實施例的基底處理方法形成的半導體器件。
現將詳細參照實施例,所述實施例的實例被示出於附圖中,其中相同的參考編號自始至終指代相同的元件。就此來說,本發明各實施例可具有不同的形式且不應被視為僅限於本文所述說明。因此,以下通過參照各圖來闡述實施例僅是為了闡釋本說 明的各方面。本文所用用語"和/或"包括相關列出項中的一個或多個項的任意及所有組合。當例如"...中的至少一者"等表達位於一系列元件之後時,所述表達修飾整個系列的元件而不是修飾所述系列中的個別元件。
在下文中,將參照附圖闡述本發明的實施例。
提供本發明的實施例是為了向所屬領域中的一般技術人員更充分地闡述本發明,以下實施例可被修改成各種其他形式,且本發明的範圍並非僅限於以下實施例。確切來說,提供這些實施例是為了使本發明將更透徹及完整,並將向所屬領域中的一般技術人員充分傳達本發明的概念。
本文所用術語僅是出於闡述具體實施例的目的而非旨在限制本發明。除非上下文中另外清楚地指明,否則本文所用單數形式"一"及"所述"可包括複數形式。另外,當在本說明書中使用用語"包括"時,是指明所陳述形狀、數目、步驟、操作、構件、元件、和/或其群組的存在,而不排除一或多個其他形狀、數目、操作、構件、元件、和/或其群組的存在或添加。本文所用用語"和/或"可包括相關列出項中的一個或多個項的任意及所有組合。
儘管本文中使用例如"第一"及"第二"等用語來闡述各個構件、區、和/或部分,然而這些構件、元件、區、層、和/或部分並不受這些用語限制。這些用語並非旨在意指特定次序、優先權、或優先性,而是僅用於區分各個構件、區、或部分。因此,在不背離本發明的教示內容的條件下,以下將闡述的第一構件、區、 或部分也可被稱為第二構件、區或部分。
在本說明書中,x軸、y軸及z軸並非僅限於直角坐標系的三個軸,而是可被解釋為具有更廣泛的意義。舉例來說,x軸、y軸及z軸可相互垂直,或者可表示不相互垂直的不同方向。
在本發明中,"氣體"可包括蒸發的固體和/或液體且可包括單一氣體或氣體的混合物。在本發明中,通過噴淋頭向反應室中引入的製程氣體可包括前驅體氣體及添加氣體。前驅體氣體及添加氣體可通常被作為混合氣體引入或者可被單獨地引入到反應空間中。前驅體氣體可與例如惰性氣體等載氣一同被引入。添加氣體可包括稀釋氣體,例如反應物氣體及惰性氣體。反應物氣體與稀釋氣體可混合地或單獨地被引入到反應空間中。前驅體可包括兩種或更多種前驅體,且反應物氣體可包括兩種或更多種反應物氣體。前驅體可為被化學吸附到基底上的氣體,且通常含有構成介電膜基質的主要結構的類金屬或金屬元素,且用於沉積的反應物氣體可為當被激發時會與化學吸附到基底上的前驅體發生反應以在基底上固著原子層或單層的氣體。用語"化學吸附(chemisorption)"可指化學飽和吸附。可使用除了製程氣體之外的氣體(即,不通過噴淋頭引入的氣體)來對反應空間進行密封,且所述氣體可包括例如惰性氣體等密封氣體。在一些實施例中,用語"膜"可指實質上不具有針孔而在與厚度方向垂直的方向上連續地延伸以覆蓋整個目標或相關表面的層,或者可指簡單地覆蓋目標或相關表面的層。在一些實施例中,用語"層"可指由膜形成的 結構或膜的同義詞、或者在表面上形成的具有任意厚度的非膜結構。膜或層可包括具有一些特性的分立的單個膜或層或者多個膜或層,且相鄰的膜或層之間的邊界可為清楚的或不清楚的,且可基於物理特性、化學特性、和/或一些其他特性、形成製程或形成順序、及或相鄰的膜或層的功能或用途來進行設定。
在本發明中,表達"含有Si-N鍵"可被稱為"具有實質上由Si-N鍵或多個Si-N鍵構成的主要骨架"、"具有實質上由Si-N鍵或多個Si-N鍵構成的取代基"、或"由Si-N鍵或多個Si-N鍵來表徵"。氮化矽層可為含有Si-N鍵的介電層且可包括氮化矽層(SiN)及氮氧化矽層(SiON)。
在本發明中,表達"相同的材料"應被解釋為意指主要成分是相同的。舉例來說,當第一層與第二層均為氮化矽層且由相同的材料形成時,第一層可選自由Si2N、SiN、Si3N4及Si2N3組成的群組且第二層也可選自以上群組,但第二層的具體的膜品質可不同於第一層的膜品質。
另外,在本發明中,如果可操作範圍可基於常規作業來確定,則任意兩個變數便可構成變數的可操作範圍,且任意所表示範圍可包括或不包括端點。另外,任意所表示變數的值可指確切值或近似值(不論所述值是否由"大約"表示),可包括等效值,且可指平均值、中值、代表值、多數值(majority value)等。
在本發明中當未規定條件和/或結構時,所屬領域中的一般技術人員可根據本發明來容易地提供這些條件和/或結構作為常 規實驗的要素。在所有所闡述的實施例中,在實施例中使用的任意元件均可被所述實施例的任意等效組件取代,包括在本文中出於預期目的而明確地、必要地或本質地闡述的那些元件,且另外,本發明可被相似地應用於器件及方法。
在下文中,將參照附圖闡述本發明的實施例。在附圖中,可預期由於例如製造技術和/或容差而從所示出的形狀發生變化。由此,本發明的實施例不應被視為僅限於本文所示區的特定形狀,而是可包括由例如製造製程而引起的形狀的偏差。
圖1A、圖1B及圖1C示意性地示出於臺階式結構中形成接點的半導體製造製程(未必是現有技術)。如圖1A所示,將絕緣層2a、2b、2c、2d(在下文中被稱為"絕緣層2")與犧牲層3a、3b、3c、3d(在下文中被稱為"犧牲層3")交替地堆疊來形成堆疊結構1。舉例來說,絕緣層2可為氧化矽(SiO2)層,且犧牲層3可為氮化矽(SiN)層。絕緣層2在各個閘極電極(圖中未示出)之間絕緣。犧牲層3a、3b、3c中的一者可連接到閘極電極且在後續製程中可被金屬或其他導電層(例如鎢(W)或鋁)置換。
如圖1B所示,由絕緣層2與犧牲層3形成的堆疊結構包括臺階式結構以使得金屬線可連接到每一臺階。當形成接觸通孔時,接觸通孔還可能會因過量蝕刻而通過犧牲層3b連接到另一個犧牲層3a。如圖1C所示,當犧牲層3a、3b、3c被金屬取代且接觸通孔12被金屬填充時,在犧牲層3a與犧牲層3b之間可發生電短路,從而無法實現正常器件操作。
圖2A、圖2B及圖2C示意性地示出在三維(3D)半導體器件的臺階式結構上沉積並選擇性地蝕刻阻擋層的製程。
為減小由圖1A、圖1B及圖1C中的製程產生的電短路的風險,可引入附加氮化矽層來用作接觸通孔的接地焊盤,如圖2A、圖2B及圖2C所示。
如圖2A所示,在臺階式結構1上沉積阻擋層6。可利用電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)製程在每一臺階的側表面S、上表面U及下表面L上沉積阻擋層6。電漿製程是在基底上產生的原位電漿或直接電漿製程。所述電漿製程是不對稱的電漿製程或各向異性的電漿製程。根據自由基及活性物質的線性度,沉積在例如上表面U及下表面L的水平表面上的阻擋層會由於離子轟擊效果而比沉積在例如側表面S的垂直表面上的阻擋層更緻密。也就是說,沉積在上表面U及下表面L上的阻擋層具有比沉積在側表面S上的阻擋層高的耐化學性(chemical resistance)。換言之,阻擋層在垂直表面或側表面S上的部分對於隨後的各向同性的蝕刻比阻擋層在水平表面或上表面U及下表面L上的部分更易受影響。可在改變例如電漿電力、頻率或製程氣體流動速率等製程條件的同時通過多級製程來形成阻擋層6以改善選擇性蝕刻並提高耐化學性。
如圖2B所示,接著通過蝕刻溶液(例如經稀釋的氫氟酸(HF))來對阻擋層進行蝕刻。由於在圖2A所示沉積阻擋層期間離子的線性特性及各向異性特性,在濕法蝕刻製程中,臺階式結 構的側表面S的阻擋層被移除且臺階式結構的上表面U及下表面L的至少一部分阻擋層被留下(選擇性蝕刻)。因此,儘管使用了各向同性的蝕刻(例如濕法蝕刻),在側表面S上的阻擋層相對於在上表面U及下表面L上的阻擋層選擇性地被蝕刻。
之後,在臺階式結構1中形成接觸通孔。每一個接觸通孔均連接到與其對應的犧牲層3上的阻擋層6。所沉積的阻擋層6可防止接觸通孔穿過犧牲層3。
在後續製程中,如圖2C所示,利用金屬來填充接觸通孔以形成金屬線12。之後,利用金屬(例如鎢(W)或鋁)或導體(例如經摻雜的絕緣體或多晶矽(polycrystalline silicon))來置換犧牲層3及阻擋層6以形成字線。因此,閘極電極可通過導電層13連接到金屬線12。
然而,在阻擋層沉積操作期間使用的電漿製程的電漿特性取決於臺階式結構的從頂部到底部的深度,且由此,沉積在臺階式結構的上表面及下表面上的阻擋層的耐濕法蝕刻性(wet etching resistance)會隨著臺階式結構的深度變化。因此,在濕法蝕刻操作之後從臺階式結構的頂部到底部留下的阻擋層的厚度會隨著臺階式結構的深度而變化。這種厚度差異將隨著濕法蝕刻時間增加而增大。另外,當由絕緣層與犧牲層形成的堆疊結構的數目(堆疊高度或深度)增大時,因不均勻的電漿特性而引起的阻擋層的耐濕法蝕刻性差異將變得更嚴重,且由此阻擋層的厚度差異將變得更嚴重。這可能會造成器件故障。
圖3A及圖3B示意性地示出沉積在臺階式結構上的阻擋層6因電漿在不同高度的水平表面上不均勻而可具有不同厚度的問題。
圖3A示出在臺階式結構上沉積阻擋層6(例如氮化矽層)的操作。在此操作中,電漿性質(例如電漿密度)根據臺階式結構的深度而有所不同。舉例來說,在電容耦合電漿(capacitive coupled plasma,CCP)電漿製程中,區A、區B及區C中的電漿性質彼此不同。具體來說,在最靠近在電漿製程中使用的電極的區A中電漿密度最大,且在距離所述電極最遠的區C中電漿密度最小。因此,沉積在區A中的阻擋層變得比沉積在區C中的阻擋層更緻密,且沉積在區A中的阻擋層的耐濕法蝕刻性變得比沉積在區C中的阻擋層的耐濕法蝕刻性大。
由於阻擋層的耐濕法蝕刻性的差異,因此在濕法蝕刻操作之後,留在每一臺階上的阻擋層的厚度T1、T2、T3、T4彼此不同,如圖3B所示。具體來說,在耐濕法蝕刻性相對大的區A中沉積的阻擋層在濕法蝕刻操作期間被相對小的蝕刻,且因此,留在區A中的阻擋層的厚度比留在耐濕法蝕刻性相對小的區B及區C中的阻擋層的厚度大(∴T1>T2>T3>T4)。在這種情形中,由於器件在未來會發生故障,因而需要一種方法來減小或避免阻擋層的厚度變化。
本發明在用作接地焊盤的阻擋層上引入罩幕層來處理前述議題。在下文中,將參照圖4至圖19闡述根據本發明實施例的 基底處理方法以及通過所述基底處理方法製造的器件。
圖4是示意性地示出根據本發明實施例的基底處理方法的流程圖。
參照圖4,首先,形成臺階式結構(操作S1)。舉例來說,首先,交替地堆疊絕緣層與犧牲層。接著,對經堆疊的絕緣層與犧牲層進行蝕刻以形成臺階式結構,所述臺階式結構具有上表面、下表面及連接所述上表面與所述下表面的側表面。
之後,在臺階式結構上形成阻擋層(操作S2)。可在臺階式結構的上表面、下表面及側表面上形成阻擋層。可在臺階式結構上形成阻擋層以覆蓋臺階式結構。阻擋層可利用原子層沉積(atomic layer deposition,ALD)製程形成,且具體來說,利用電漿增強原子層沉積製程形成。在替代實施例中,阻擋層可通過依序電漿增強化學氣相沉積或與其對應的其他方法形成。阻擋層可為絕緣層。舉例來說,阻擋層可為氮化矽層。在一實施例中,阻擋層可被形成為單個層。在替代實施例中,阻擋層可包括雙層或複合層,所述雙層或複合層可具有在不同的製程條件下形成的不同的耐化學性。形成臺階式結構及阻擋層的製程可在同一反應器中在原位執行或可在不同的反應器中在非原位執行。
在替代實施例中,可在操作S2之後執行電漿後處理操作。電漿後處理操作可在反應器中利用電容耦合電漿(CCP)來執行,所述電容耦合電漿是通過向其之間具有基底的上電極與下電極兩者中的至少一者施加射頻(radio frequency,RF)電力來激發 的。在替代實施例中,電漿後處理操作可與臺階式結構及阻擋層的形成一起在同一反應器中在原位執行,或者可在不同的反應器中在非原位執行。
電漿後處理操作可利用不對稱的電漿製程執行,且可通過不對稱的電漿製程來對阻擋層進行選擇性緻密化。不對稱的電漿製程可為包括施加電漿以向電漿提供方向性的製程的概念,且可因電漿的方向性而實現沉積在臺階式結構上的薄層的選擇性緻密化。
舉例來說,對於具有上表面、下表面及連接上表面與下表面的側表面的臺階式結構來說,可在與側表面平行的方向上施加電漿。在這種情形中,由於電漿活性物質的方向性,可對在位於與電漿活性物質的傳播方向垂直的方向上的臺階式結構的例如上表面及下表面的水平表面上形成的阻擋層進行選擇性緻密化。因此,臺階式結構的上表面及下表面上的阻擋層的耐濕法蝕刻性可比臺階式結構的側表面上的阻擋層的耐濕法蝕刻性增強更多。
如以下將描述的操作S3,由於形成在上表面及下表面上的罩幕層和/或由於因不對稱地施加電漿而形成的層的膜品質的逐位差異(position-by-position),在隨後利用第二蝕刻溶液進行的各向同性蝕刻製程(操作S5)期間,可對形成在側表面上的阻擋層進行蝕刻,同時實質上可不對形成在上表面上的阻擋層及形成在下表面上的阻擋層進行蝕刻(參見圖7B)。
另一方面,當不對阻擋層執行不對稱的電漿製程時,由 於形成在上表面及下表面上的阻擋層未被緻密化,因此在隨後對阻擋層進行的蝕刻製程(對應於圖4所示操作S5)中,形成在上表面及下表面上的阻擋層可能被過量地蝕刻(參見圖7A)。
圖7A示出在未對阻擋層執行不對稱的電漿製程時應用根據本發明實施例的基底處理方法的結果,且圖7B示出在對阻擋層執行不對稱的電漿製程時應用根據本發明實施例的基底處理方法的結果。參照圖7A及圖7B,形成在圖7A所示臺階式結構10的上表面及下表面上的阻擋層60可被蝕刻劑過量地蝕刻,而形成在圖7B所示臺階式結構10的上表面及下表面上的阻擋層60可幾乎不被蝕刻。具體來說,圖7A所示阻擋層60的中心部分的側向方向寬度b1小於圖7B所示阻擋層60的中心部分的側向方向寬度b2(b1<b2)。
由於形成在上表面及下表面上的阻擋層被蝕刻地更多,阻擋層在未來可能無法用作接地焊盤。因此,可對阻擋層執行不對稱的電漿製程以使得位於臺階式結構的側表面上的阻擋層可被第二蝕刻溶液蝕刻,而位於臺階式結構的上表面及下表面上的阻擋層可幾乎不被蝕刻且不被移除。另外,位於臺階式結構的上表面及下表面上的阻擋層的蝕刻速率可等於或低於相對於第二蝕刻溶液的預定蝕刻速率以使得位於臺階式結構的上表面及下表面上的阻擋層可幾乎不被第二蝕刻溶液蝕刻且不被移除。
請往回參照圖4,在操作S2之後,在阻擋層上形成罩幕層(操作S3)。可在阻擋層上形成罩幕層以覆蓋阻擋層。罩幕層可 利用以下中的至少一者形成:原子層沉積(ALD)製程、電漿增強原子層沉積製程、依序的電漿增強化學氣相沉積(PECVD)製程及脈衝式化學氣相沉積製程。罩幕層可為絕緣層。舉例來說,罩幕層可為氧化矽層。在這種情形中,可使用例如O2、O3、N2O、或NO2等含氧氣體作為反應劑。形成臺階式結構及罩幕層的製程可在同一反應器中在原位執行或者可在不同的反應器中在非原位執行。
在替代實施例中,可在操作S3之後執行電漿後處理操作。電漿後處理操作可在反應器中利用電容耦合電漿(CCP)來執行,所述電容耦合電漿是通過向其之間具有基底的兩個電極中的至少一者施加射頻電力來激發的。在替代實施例中,電漿後處理操作可與臺階式結構、阻擋層及罩幕層的形成一起在同一反應器中在原位執行,或者可在不同的反應器中在非原位執行。
電漿後處理操作可利用不對稱的電漿製程或各向異性的電漿製程執行,且可通過不對稱的電漿製程來對罩幕層進行選擇性緻密化。不對稱的電漿製程可為包括施加電漿以使電漿具有方向性的製程的概念,且可因電漿的方向性而實現沉積在臺階式結構上的罩幕層的選擇性緻密化。
舉例來說,對於具有上表面、下表面及連接上表面與下表面的側表面的臺階式結構來說,可在與側表面平行的方向上施加電漿。在這種情形中,由於電漿活性物質的方向性,可對在位於與電漿活性物質的傳播方向垂直的方向上的臺階式結構的例如 上表面及下表面的水平表面上形成的罩幕層進行選擇性緻密化。因此,臺階式結構的例如上表面及下表面的水平表面上的罩幕層的耐濕法蝕刻性可相對於臺階式結構的例如側表面的垂直表面上的罩幕層的耐濕法蝕刻性增強。
罩幕層的耐濕法蝕刻性可通過改變不對稱的電漿的製程條件(例如電漿電力、頻率或製程氣體流動速率)來改變。
由於由不對稱的電漿施加形成的層的膜品質的逐位差異,形成在上表面上的罩幕層與形成在下表面上的罩幕層在隨後的各向同性蝕刻製程(操作S4)期間可分離。
之後,可對罩幕層執行濕法蝕刻操作(操作S4)。罩幕層可通過這種操作被移除,且所述移除可利用蝕刻溶液在罩幕層的選擇性區中局部地執行(選擇性蝕刻)。舉例來說,通過執行濕法蝕刻操作,形成在側表面上的罩幕層可被移除,而形成在上表面及下表面上的罩幕層可被留下。由此,根據本發明實施例的基底處理方法可在臺階式結構的區上選擇性地形成罩幕層,而無需進行單獨的附加光刻製程。因此,這種選擇性罩幕層沉積操作也可被稱為拓撲選擇性(topological selective,TS)罩幕層沉積操作。當通過濕法蝕刻來對罩幕層的至少一部分進行蝕刻時,阻擋層的至少一部分可在與所述一部分對應的位置處暴露到蝕刻溶液。因此,毯式沉積(blanket deposition)及各向同性的蝕刻可導致水平表面上的罩幕層選擇性的形成。
在對罩幕層進行的濕法蝕刻操作(操作S4)之後,可執 行蝕刻操作來移除被暴露出的阻擋層(操作S5)。在這種情形中,為了僅對被暴露出的阻擋層進行蝕刻而不對留在上表面及下表面上的罩幕層進行蝕刻,對於在操作S5中使用的蝕刻溶液而言,罩幕層的耐蝕刻性可比阻擋層的耐蝕刻性大。因此,通過執行濕法蝕刻操作,形成在側表面上的阻擋層可被移除,而形成在上表面及下表面上的罩幕層及阻擋層可被留下。由於阻擋層的水平部分經緻密化,相對於阻擋層的垂直部分,儘管阻擋層的垂直部分經移除,在罩幕層下的阻擋層的水平部分可抵抗側向凹陷(如圖7B所示)。
以下表1示出氧化矽層與氮化矽層相對於磷酸(H3PO4)的濕法蝕刻速率(wet etch rate,WET)。根據表1,對於磷酸溶液而言,氮化矽具有比氧化矽大約12.4倍的濕法蝕刻速率。因此,當阻擋層是氮化矽層且罩幕層是氧化矽層時,如果操作S5是利用磷酸溶液作為蝕刻溶液執行的,則在臺階式結構的側表面上暴露出的阻擋層可被容易地移除,而形成在上表面及下表面上的罩幕層可實質上不被蝕刻且不被移除。
由此利用膜對於特定化學品的耐蝕刻性,可在臺階式結構的區上選擇性地形成阻擋層而無需進行單獨的附加光刻製程。這種選擇性阻擋層形成操作也可被稱為拓撲選擇性(TS)阻擋層沉積操作。
之後,為了使用阻擋層作為接地焊盤,執行對留在上表面及下表面上的罩幕層進行蝕刻的操作(操作S6)。在這種情形中,為了僅對留在上表面及下表面上的罩幕層進行蝕刻而不對留在上表面及下表面上的阻擋層進行蝕刻,對於在操作S6中使用的蝕刻溶液而言,罩幕層的耐蝕刻性可比阻擋層的耐蝕刻性大。因此,通過執行操作S6,所留下的罩幕層可被選擇性移除,同時形成在上表面及下表面上的阻擋層可被留下。
在替代實施例中,在操作S4中使用的蝕刻溶液與在操作S6中使用的蝕刻溶液可為相同材料的溶液(例如經稀釋的氫氟酸溶液)。在這種情形中,在操作S4中使用的蝕刻溶液與在操作S6中使用的蝕刻溶液可具有不同的濃度,或者操作S4的蝕刻時間與操作S6的蝕刻時間可彼此不同。當對罩幕層執行不對稱的電漿後處理時,由於形成在上表面及下表面上的罩幕層被進一步緻密化,因此在操作S6中使用的蝕刻溶液的濃度可高於在操作S4中使用的蝕刻溶液的濃度。在其他情況下,即,當在操作S6中使用的蝕刻溶液的濃度等於在操作S4中使用的蝕刻溶液的濃度時,操作S6的蝕刻時間可長於操作S4的蝕刻時間。
同時,在執行操作S6之後,留在臺階式結構的每一臺階 上的阻擋層的厚度將彼此相等。當對阻擋層執行不對稱的電漿製程時,儘管留在臺階式結構的每一臺階上的阻擋層因深度與不對稱的電漿製程的相關性而具有不同的密度,然而留在臺階式結構的每一臺階上的阻擋層的厚度仍將彼此相等。這是因為通過在阻擋層上形成罩幕層且執行選擇性沉積/蝕刻製程,在上表面及下表面上形成的阻擋層在操作S3到操作S6期間未暴露到蝕刻溶液且由此未被蝕刻。因此,不同於圖3A及圖3B闡述的製程,圖4闡述的製程減小或避免臺階式結構的每一臺階上的阻擋層的厚度變化。
圖5示意性地示出根據本發明實施例的基底處理方法。
參照圖5,闡述在臺階式結構上維持阻擋層的厚度的方法。根據本實施例,在將被用作接地焊盤的阻擋層上進一步沉積罩幕層。
根據本實施例,所述方法可包括第一操作、第二操作、第三操作、第四操作及第五操作。第一操作是電漿預處理操作,第二操作是阻擋層沉積操作,第三操作是電漿後處理操作,第四操作是罩幕層沉積操作,且第五操作是電漿後處理操作。在替代實施例中,可跳過第一操作、第三操作及第五操作和/或將第一操作、第三操作及第五操作併入沉積操作。
具體來說,在第一操作中,將基底(臺階式結構)裝載到反應器中且接著對基底(臺階式結構)執行電漿預處理。電漿預處理通過僅供應反應氣體而不供應源氣體來通過電漿活化反應 氣體。在第一操作的一個迴圈期間,可供應反應氣體(t0到t2)且接著可與所供應的反應氣體一起施加電漿(t1到t2)。經活化的反應氣體的離子轟擊效果會增大目標膜的耐濕法蝕刻性。在這種情形中,臺階式結構的上部部分及下部部分的耐濕法蝕刻性可增大。由此通過在阻擋層沉積操作之前對臺階式結構執行電漿預處理,可避免臺階式結構的上部部分及下部部分在隨後的蝕刻操作(例如圖4所示操作S5)期間被過蝕刻(over-etched)的問題。如圖5所示,可將反應氣體供應(t0到t2)/電漿施加(t1到t2)/吹洗(t2到t3)這一迴圈重複多次(例如,m次)來對臺階式結構的密度進行調整。
第二操作是在基底上(即,在臺階式結構上)沉積阻擋層的操作。第二操作是電漿增強原子層沉積薄膜沉積操作。如圖5所示,可將源氣體供應(t3到t4)/吹洗(t4到t5)/反應物氣體供應(t5到t7)/射頻電漿(t6到t7)/吹洗(t7到t8)這一基本電漿增強原子層沉積迴圈重複若干次(例如n次)以形成具有期望厚度的阻擋層。
在本實施例中,源氣體可為包含Si的前驅體,且更具體來說,包含氨基矽烷基(aminosilane group)的前驅體。可使用包含氮的氣體作為反應物的實例。舉例來說,反應氣體可為N2、NH3或NH4 +。然而,本文中所用的源氣體及反應物並非僅限於此。可使用三甲矽烷基胺(TSA),(SiH3)3N;二矽氧烷(DSO),(SiH3)2;二甲矽烷基甲胺(DSMA),(SiH3)2NMe;二甲矽烷基乙胺(DSEA), (SiH3)2NEt;二甲矽烷基異丙胺(DSIPA),(SiH3)2N(iPr);二甲矽烷基叔丁胺(DSTBA),(SiH3)2N(tBu);二乙氨基矽烷(DEAS),SiH3NEt2;二異丙氨基矽烷(DIPAS),SiH3N(iPr)2;二叔丁氨基矽烷(DTBAS),SiH3N(tBu)2;雙(二乙基氨基)矽烷(BDEAS),SiH2(NEt2)2;雙(二甲氨基)矽烷(BDMAS),SiH2(NMe2)2;雙(叔丁氨基)矽烷(BTBAS),SiH2(NHtBu)2;雙(三甲基甲矽烷基氨基)矽烷(BITS),SiH2(NHSiMe3)2;原矽酸四乙酯(TEOS),Si(OEt)4;SiCl4;六氯乙矽烷(HCD),Si2Cl6;三(二甲氨基)矽烷(3DMAS),SiH(N(Me)2)3;雙(乙基甲基氨基)矽烷(BEMAS),SiH2[N(Et)(Me)]2;AHEAD,Si2(NHEt)6;TEAS,Si(NHEt)4;Si3H8等作為Si源,或者可使用其組合來供應Si源。在本發明中,反應物可為含氫氮氣,且除上述氨(NH3)之外還可使用NH4 +等。可使用Ar作為吹洗氣體。
在將第二操作重複若干次來沉積具有期望厚度的阻擋層之後,可在第三操作期間執行使用Ar氣體進行的電漿後處理。在第三操作的一個迴圈期間,可供應Ar氣體(t8到t10)且接著可與所供應的Ar氣體一起施加電漿(t9到t10)。可執行這一迴圈多次(例如a次)。在第三操作期間,可實現由電漿中的Ar離子造成的離子轟擊效果。
在替代實施例中,可利用不對稱的電漿製程或各向異性的電漿製程執行電漿後處理操作。舉例來說,對於具有上表面、下表面及連接上表面與下表面的側表面的臺階式結構來說,可在 與側表面平行的方向上施加電漿。在這種情形中,由於Ar離子具有方向性(線性),因此形成在臺階結構(例如臺階式結構)的上表面及下表面上的阻擋層的離子轟擊效果很大而形成在側表面上的離子轟擊效果很小。因此,通過電漿後處理沉積在側壁上的阻擋層不如形成在臺階式結構的上部部分及下部部分上的阻擋層緻密,且沉積在側壁上的阻擋層的濕法蝕刻速率變得相對高。
第四操作是在阻擋層上沉積罩幕層的操作。第四操作是電漿增強原子層沉積薄膜沉積操作。如圖5所示,可將源氣體供應(t10到t11)/吹洗(t11到t12)/反應物氣體供應(t12到t14)/射頻電漿(t13到t14)/吹洗(t14到t15)這一基本電漿增強原子層沉積迴圈重複若干次(例如b次)以形成具有期望厚度的罩幕層。
在本實施例中,源氣體可為包含矽的前驅體,且更具體來說,包含氨基矽烷基的前驅體。可使用包含氧的氣體作為反應物的實例。舉例來說,反應氣體可為O2、O3、N2O或NO2。然而,本文中所用的源氣體及反應物並非僅限於此。
在將第四操作重複若干次來沉積具有期望厚度的罩幕層之後,可在第五操作期間執行使用Ar氣體進行的電漿後處理。在第五操作的一個迴圈期間,可供應Ar氣體(t15到t17)且接著可與所供應的Ar氣體一起施加電漿(t16到t17)。可執行這一迴圈多次(例如,c次)。在第五操作期間,可實現由電漿中的Ar離子造成的離子轟擊效果。
在替代實施例中,罩幕層上的不對稱的電漿的製程條件(例如,電漿電力、頻率或製程氣體流動速率)可不同於阻擋層上的不對稱的電漿的製程條件。
由此,根據本發明的實施例,可執行罩幕層沉積操作以使得形成在上表面及下表面上的阻擋層的一部分在後續蝕刻製程中可經保護且形成在上表面及下表面上的所述阻擋層的厚度可維持不變。因此,可減小或避免因形成在臺階式結構的每一臺階上的阻擋層的密度差異而導致在後續蝕刻製程中臺階式結構的每一臺階上的阻擋層的厚度變化。
圖6A、圖6B、圖6C、圖6D、圖6E、圖6F、圖6G及圖6H示出對臺階式結構的圖案應用根據本發明實施例的基底處理方法的結果。
如圖6A所示,臺階式結構可為其中在第一方向(例如,z軸方向)上交替地堆疊有絕緣層20a、20b及20c(在下文中被統稱為"20")與犧牲層30a、30b及30c(在下文中被統稱為"30")的臺階式結構10。臺階式結構10可通過將n個(n:大於或等於2的自然數)絕緣層20與n個犧牲層30交替地堆疊多次且接著對經堆疊的絕緣層20與犧牲層30進行階梯蝕刻(staircase-etching)來形成(對應於圖4所示操作S1)。在這種情形中,臺階式結構可為n層臺階式結構。臺階式結構的每一臺階可包括形成在臺階的下部部分處的絕緣層20以及形成在絕緣層20上的犧牲層30。臺階式結構10具有上表面U、下表面L及連接上表面U與下表面L 的側表面S。
在替代實施例中,絕緣層20可為氧化矽層(SiO2),且犧牲層30可為氮化矽層(SiN)。
參照圖6B,在臺階式結構10上沉積阻擋層60(對應於圖4所示操作S2)。阻擋層60可利用原子層沉積(ALD)製程形成,且具體來說,利用電漿增強原子層沉積製程形成。
阻擋層60可為與犧牲層30具有相同材料的層。舉例來說,當犧牲層30是氮化矽層時,阻擋層60也可為氮化矽層。
之後,如圖6C所示,可對阻擋層60執行電漿後處理操作。電漿後處理操作可在反應器中利用電容耦合電漿(CCP)來執行,所述電容耦合電漿是通過向其之間具有基底的兩個電極中的至少一者施加射頻電力來激發的。在一實施例中,電漿後處理操作可與絕緣層20及犧牲層30的形成一起在同一反應器中在原位執行,或者可在不同的反應器中在非原位執行。
在一實施例中,電漿後處理操作可包括對阻擋層的位於上表面及下表面上的至少一部分進行選擇性緻密化。具體來說,如以上參照圖7A及圖7B所述,由於罩幕層被選擇性地蝕刻且接著阻擋層被暴露到蝕刻溶液,因此可對位於上表面及下表面上的阻擋層進行選擇性緻密化並防止所述阻擋層被蝕刻。
在另一實施例中,在不對稱的電漿製程期間可使用包含阻擋層的成分的氣體。舉例來說,當阻擋層是氮化矽層時,在不對稱的電漿製程期間可將包含阻擋層的成分的氣體(例如氮氣) 活化來產生活性物質。由於氮電漿的方向性,因此可向在臺階式結構的上表面及下表面上形成的阻擋層(氮化矽層)施加氮電漿。因此,作為阻擋層的成分的氮組分可增大且因此可形成富含氮的氮化矽層。
在所示的實施例中,可在與側表面S平行的方向上向臺階式結構10施加電漿。在這種情形中,由於Ar離子的方向性(線性),形成在例如上表面U及下表面L的水平表面上的阻擋層60的膜品質可不同于形成在例如側表面S的垂直表面上的阻擋層60的膜品質。也就是說,由於離子轟擊效果集中在上表面U及下表面L上且離子轟擊效果在形成在側表面S上的阻擋層上相對小,因此逐位膜品質可彼此不同。因此,形成在上表面U及下表面L上的阻擋層60的耐濕法蝕刻性可增大且在後續濕法蝕刻操作期間可首先對位於側表面S上的阻擋層60進行蝕刻。
在這種情形中,如上所述,由於電漿特性取決於臺階式結構的深度,因此形成在臺階式結構的上表面U及下表面L上的阻擋層60的膜品質可隨著臺階式結構的深度而變化。舉例來說,形成在臺階式結構10的第一層上的阻擋層60接收到比形成在臺階式結構10的第n個臺階上的阻擋層60小的離子轟擊效果,形成在臺階式結構10的第一臺階上的阻擋層60的密度將低於形成在臺階式結構10的第n層上的阻擋層60的密度。由此,在對阻擋層60進行的電漿後處理操作之後,形成在臺階式結構10的每一臺階上的阻擋層60可具有不同的密度。
接下來,參照圖6D,在阻擋層60上形成罩幕層70(對應於圖4所示操作S3)。罩幕層70可利用原子層沉積製程形成,且具體來說,利用電漿增強原子層沉積製程形成。罩幕層70可為與絕緣層20具有相同材料的層。舉例來說,當絕緣層20是氧化矽層時,罩幕層70也可為氧化矽層。形成阻擋層60的製程與罩幕層70的製程可在同一反應器中在原位執行或可在不同的反應器中在非原位執行。
之後,如圖6E所示,可對阻擋層60及罩幕層70執行電漿後處理操作。在本實施例中,可在與側表面S平行的方向上向臺階式結構10施加電漿。在這種情形中,由於Ar離子的方向性(線性),形成在例如上表面U及下表面L的水平表面上的罩幕層70的膜品質可不同于形成在例如側表面S的垂直表面上的罩幕層70的膜品質。也就是說,由於離子轟擊效果集中在上表面U及下表面L上且離子轟擊效果在形成在側表面S上的罩幕層70上相對小,因此逐位膜品質可彼此不同。因此,形成在上表面U及下表面L上的罩幕層70的耐濕法蝕刻性可增大且在後續濕法蝕刻操作期間可首先對位於側表面S上的罩幕層70進行蝕刻。
接著,利用第一蝕刻溶液,對罩幕層70的至少一部分進行蝕刻以暴露出阻擋層60的至少一部分(對應於圖4所示操作S4)。更具體來說,如圖6F所示,可對罩幕層70的在不對稱的電漿後處理操作期間未被緻密化的一部分(即,形成在側表面S上的罩幕層70)進行蝕刻,且由此可暴露出位於側表面S上的阻擋 層60。在這種情形中,位於上表面U及下表面L上的罩幕層70將被留下。
在替代實施例中,當罩幕層70是氧化矽層時,第一蝕刻溶液可為經稀釋的氫氟酸(dHF)溶液。經稀釋的氫氟酸溶液的濃度可為例如HF:DIW=1:100。
之後,利用第二蝕刻溶液對暴露出的阻擋層進行蝕刻(對應於圖4所示操作S5)。更具體來說,如圖6G所示,可利用罩幕層70作為蝕刻罩幕來對阻擋層60的暴露出的一部分(即,形成在側表面S上的阻擋層60)進行蝕刻。在這種情形中,由於位於上表面U及下表面L上的阻擋層60因罩幕層70而未被暴露到第二蝕刻溶液,因此位於上表面U及下表面L上的所述阻擋層60將被留下。
在替代實施例中,當阻擋層60是氮化矽層時,第二蝕刻溶液可為H3PO4溶液。
同時,由於對阻擋層60進行蝕刻的製程是利用罩幕層70作為蝕刻罩幕的各向同性蝕刻製程,因此與以上側壁(參見圖6G所示80)相比,位於上表面U及下表面L上的阻擋層60的側壁可在與罩幕層70的下表面平行的水平方向上凹陷。因此,留在上表面及下表面上的阻擋層60將具有比留在上表面及下表面上的罩幕層70的寬度Wm小的寬度。以下將參照圖6H闡述其更多細節。
在一實施例中,如以上參照圖5所闡述,當在沉積阻擋層60之前對臺階式結構10執行電漿預處理時,可對臺階式結構 的上表面U及下表面L進行緻密化。因此,臺階式結構10的犧牲層30在阻擋層60的蝕刻期間可不會被過蝕刻。
接著,利用第三蝕刻溶液對罩幕層的剩餘部分進行蝕刻(對應於圖4所示操作S6)。具體來說,利用第三蝕刻溶液對經緻密化的罩幕層(即,位於上表面U及下表面L上的罩幕層70)進行蝕刻。
在一實施例中,當罩幕層70是氧化矽層時,第三蝕刻溶液可為經稀釋的氫氟酸(dHF)溶液。在附加實施例中,由於位於上表面U及下表面L上的罩幕層70具有比位於側表面S上的罩幕層70高的耐濕法蝕刻性,因此第三蝕刻溶液可為具有比第一蝕刻溶液高的濃度的經稀釋的氫氟酸溶液。舉例來說,當第一蝕刻溶液是具有為例如HF:DIW=1:100的濃度的經稀釋的氫氟酸溶液時,第三蝕刻溶液可為具有為HF:DIW>1:100的濃度的經稀釋的氫氟酸溶液。在另一個附加實施例中,第一蝕刻溶液與第三蝕刻溶液可為具有相同濃度的蝕刻溶液,且在這種情形中,利用第三蝕刻溶液進行的蝕刻製程(即,圖4所示操作S6)的蝕刻時間可長於利用第一蝕刻溶液進行的蝕刻製程(即,圖4所示操作S4)的蝕刻時間。
當執行利用第三蝕刻溶液對罩幕層的剩餘部分進行蝕刻的操作(對應於圖4所示操作S6)時,臺階式結構僅具有留在上表面及下表面上的阻擋層60,如圖6H所示。
如上所述,由於對阻擋層60進行蝕刻的操作(對應於圖 4所示操作S5)是各向同性蝕刻製程,因此位於上表面U及下表面L上的阻擋層60的側壁可因罩幕層70而凹陷。
具體來說,在執行圖4所示操作S5之後,在形成臺階式結構的第k個臺階(k:大於或等於2且小於或等於n的自然數)的犧牲層30b上形成的阻擋層60k可包括面對形成臺階式結構的第k+1個臺階的犧牲層30c的一個側的第一側壁w1及面對第一側壁w1的第二側壁w2。由於上述各向同性製程,阻擋層60k的第一側壁w1及第二側壁w2中的每一者可具有在與阻擋層60k的上表面平行的側向方向(例如,y軸方向)上凹陷的一部分。所述凹陷部分可具有圓形形狀或者帶有預定曲率的形狀。另外,阻擋層60k的中心部分的側向方向(例如,y軸方向)寬度"b"可小於阻擋層60k的上表面的側向方向寬度"a"及阻擋層60k的下表面的側向方向寬度"c"。第一側壁w1的凹陷部分及第二側壁w2的凹陷部分可在第二方向上延伸(例如,x軸方向)。
因此,當對應於已被移除犧牲層30及阻擋層60的空間形成導電字線結構時,導電字線結構的第二導電層(對應於阻擋層60)的兩個側壁中的每一者、以及第二導電層的中心部分的側向方向寬度可小於第二導電層的上表面及下表面的側向方向寬度。
另外,如上所述,由於形成在上表面及下表面上的阻擋層在圖4所示操作S3到操作S6期間未暴露到蝕刻溶液,因此位於臺階式結構的上表面及下表面上的阻擋層的厚度可為均勻的。 因此,形成在臺階式結構的每一臺階上的阻擋層在第一方向(例如,z軸方向)上可具有相同的厚度。舉例來說,在圖6H中,形成在第k-1個臺階到第k+1個臺階上的阻擋層可具有相同的厚度(即,Tk-1=Tk=Tk+1)。即使當由絕緣層與犧牲層形成的堆疊結構的數目增大時(即,即使當"n"增大時),根據本發明的實施例形成在每一臺階上的阻擋層也可具有相同的厚度。
然而,根據替代實施例,當對阻擋層執行不對稱的電漿製程(對應於圖5所示第三操作)時,形成在臺階式結構的每一臺階上的阻擋層可因深度與不對稱的電漿製程的相關性而具有不同的密度。舉例來說,形成在第k+1個臺階上的阻擋層60k+1與形成在第k-1個臺階上的阻擋層60k-1可具有不同的密度。
另外,形成在臺階式結構的各個臺階上的阻擋層可在第三方向(例如,y軸方向)上彼此間隔開。舉例來說,參照圖6H,形成在第k+1個臺階上的阻擋層60k+1與形成在第k個臺階上的阻擋層60k可在y軸方向上彼此間隔開且阻擋層60k+1與阻擋層60k之間的距離可為d1。另外,形成在第k個臺階上的阻擋層60k與形成在第k-1個臺階上的阻擋層60k-1可在y軸方向上彼此間隔開且阻擋層60k與阻擋層60k-1之間的距離可為d2。距離d1與距離d2可彼此相等。
在沉積阻擋層以用作接地焊盤的現有技術技法(未必是現有技術)中,如圖6I所示,由於位於上表面U與側表面S之間的介面上的阻擋層的密度及位於下表面L與側表面S之間的介面 上的阻擋層的密度介於沉積在上表面U上的阻擋層的密度與沉積在側表面上的阻擋層的密度之間,因此在對阻擋層執行濕法蝕刻之後,會留下局部留下的傾斜突出部(懸垂部分)9(參見韓國專利申請第10-2017-0084986號)。因此,留在各個臺階上的阻擋層不在y軸方向上彼此間隔開。也就是說,留在各個臺階上的阻擋層可在z軸方向上彼此交疊地排列。因此,如圖6J所示,在形成接觸通孔以後,接觸通孔可能會因過量蝕刻而通過位於第k個臺階上的阻擋層連接到位於第k-1個臺階上的阻擋層。
然而,根據本發明的實施例,由於形成在各個臺階上的阻擋層可彼此間隔開,因此當此後形成接觸通孔時,可防止接觸通孔因過量蝕刻而通過一阻擋層(例如60k)連接到另一個阻擋層(例如60k-1)。
圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15、圖16、圖17及圖18示意性地示出根據本發明實施例的基底處理方法。
參照圖8,在基底200上將包括第一絕緣層210a、210b、210c及210d(在下文中被統稱為"210")與第一犧牲層220a、220b、220c及220d(在下文中被統稱為"220")的堆疊結構交替地堆疊多次。舉例來說,第一絕緣層210可為第一氧化矽層,且第一犧牲層220可為第一氮化矽層。然而,本發明並非僅限於此,且第一絕緣層210與第一犧牲層220可由具有不同的蝕刻選擇性的任意材料形成。
之後,對第一絕緣層210及第一犧牲層220進行蝕刻以形成溝道孔,並執行向溝道孔中形成儲存單元串(圖19所示MCS)的至少一些組件的製程。儲存單元串可包括例如溝道、閘極導電層及閘極絕緣層等組件。
用於形成儲存單元串的元件的製程可通過直接形成溝道、閘極導電層、及閘極絕緣層中的至少一些來執行,可通過向溝道孔中形成犧牲層以使得可在後續製程中形成溝道、閘極導電層及閘極絕緣層來執行,或者可通過其組合來執行。
接著,對所述堆疊結構進行蝕刻,以形成臺階式結構,所述臺階式結構具有上表面、下表面及連接所述上表面與所述下表面的側表面。舉例來說,如圖9所示,對包括第一絕緣層210與第一犧牲層220的堆疊結構的一端進行蝕刻以形成臺階式結構。臺階式結構可通過例如反應離子蝕刻及抗蝕劑細化(resist slimming)來形成。
由於臺階式結構被形成為臺階形狀,因此臺階式結構具有上表面、下表面及連接上表面與下表面的側表面。舉例來說,臺階式結構可包括至少一個臺階ST,且一個臺階ST可包括位於第一犧牲層220b上的上表面U、位於第一犧牲層220a上的下表面L及連接上表面U及下表面L的側表面S。
參照圖10,在臺階式結構上形成至少一個層。所述至少一個層可為絕緣層,且絕緣層可根據以上實施例通過電漿增強原子層沉積製程形成。在這種情形中,可在臺階式結構上形成第二 犧牲層230。
在實施例中,第一犧牲層220與第二犧牲層230可為由相同材料形成的層。舉例來說,當第一犧牲層220是氮化矽層時,第二犧牲層230也可為氮化矽層(即,第二氮化矽層)。
之後,可執行對第二犧牲層230進行緻密化的製程(即,電漿後處理操作)。電漿後處理操作可利用例如氬氣等惰性氣體和/或包含第二犧牲層的成分的氣體(例如,當第二犧牲層230是氮化矽層時為氮氣)來執行。
電漿後處理操作可利用不對稱的電漿製程來執行。由於不對稱的電漿製程的電漿離子的方向性,可僅對第二犧牲層230的形成在臺階的上表面U及下表面L上的部分進行緻密化。
接下來,參照圖11,在第二犧牲層230上形成另一個層。所述另一個層可為絕緣層,且可根據以上實施例通過電漿增強原子層沉積製程形成。在這種情形中,可在第二犧牲層230上形成第二絕緣層240。
在實施例中,第一絕緣層210與第二絕緣層240可為由相同材料形成的層。舉例來說,當第一絕緣層210是第一氧化矽層時,第二絕緣層240可為第二氧化矽層。
之後,可執行對第二絕緣層240進行緻密化的製程(即,電漿後處理操作)。電漿後處理操作可利用例如氬氣等惰性氣體和/或包含第二絕緣層的成分的氣體來執行。
電漿後處理操作可利用不對稱的電漿製程來執行。由於 不對稱的電漿製程的電漿離子的方向性,可僅對第二絕緣層240的形成在臺階式結構的上表面U及下表面L上的部分進行緻密化。
參照圖12,執行對第二絕緣層240的至少一部分進行蝕刻的操作。所述蝕刻可為各向同性蝕刻。更具體來說,蝕刻操作可利用濕法蝕刻製程來執行且可利用例如磷酸或氫氟酸來執行。
由於不對稱的電漿後處理製程,在蝕刻操作期間,對位於一個臺階的側表面S上的第二絕緣層240的蝕刻速率高於對位於所述臺階的上表面U及下表面L上的第二絕緣層240的蝕刻速率。因此,可僅移除位於側表面S上的第二絕緣層240,且位於上表面U及下表面L上的第二絕緣層240可被留下。由此,可僅將第二絕緣層240的在不對稱的電漿後處理製程期間未被緻密化的部分移除。
參照圖13,執行對第二犧牲層230的至少一部分進行蝕刻的操作。所述蝕刻可為各向同性蝕刻。更具體來說,蝕刻操作可利用濕法蝕刻製程來執行且可利用例如磷酸或氫氟酸來執行。由於第二絕緣層240留在臺階式結構的上表面U及下表面L上,因此可僅移除位於側表面S上的第二犧牲層230,且位於上表面U及下表面L上的第二犧牲層230可被留下。另外,在各向同性蝕刻期間,由於第二絕緣層240留在臺階式結構的上表面U及下表面L上,因此可形成寬度比留在臺階式結構的上表面U及下表面L上的第二絕緣層240的寬度小的第二犧牲層230。
參照圖14,執行對留在臺階式結構的上表面U及下表面 L上的第二絕緣層240進行蝕刻的操作。由此,可僅將第二絕緣層240的在不對稱的電漿後處理製程期間被緻密化的部分移除。
在圖11至圖14所示操作期間,第二犧牲層230的經緻密化的部分(即,形成在上表面U及下表面L上的第二犧牲層230)可不被蝕刻且由此其厚度可維持不變。舉例來說,當在圖10所示製程中沉積的第二犧牲層230的厚度是"h"時,留在臺階式結構的每一臺階上的第二犧牲層230的厚度也可為"h"。也就是說,在圖14所示情形中,可滿足h11=h22=h33=h44=h55=h。這會具有以下技術意義:可減小或避免位於臺階式結構的各個臺階上的第二犧牲層的厚度變化。
參照圖15,在包括第一犧牲層(圖15所示220)及第二犧牲層(圖15所示230)的犧牲字線結構上形成層間絕緣層250。層間絕緣層250可由與臺階式結構的第一絕緣層210相同的材料形成。舉例來說,臺階式結構的第一犧牲層220可為氮化矽層,臺階式結構的第一絕緣層210可為氧化矽層,且層間絕緣層250可為氧化矽層。
參照圖16,在層間絕緣層250的上表面上,通過圖案化來形成連接到第二犧牲層230的至少一部分的通孔接觸件260。在替代實施例中,可在對第一犧牲層220及第二犧牲層230進行蝕刻之後形成通孔接觸件260以形成導電字線結構。
參照圖17,對第一犧牲層220及第二犧牲層230進行蝕刻以暴露出溝道(圖中未示出)、第一絕緣層210、層間絕緣層250 及通孔接觸件260。因此,可移除犧牲字線結構。在替代實施例中,在犧牲層蝕刻操作期間,也可同時移除形成在基底200上的第二犧牲層(圖16所示230'),且因此可暴露出基底200的上表面的一部分。
參照圖18,穿過暴露出的空間形成導電字線結構WL。導電字線結構WL可被形成為與被移除犧牲字線結構的空間對應。導電字線結構WL可為鎢且可包含各種導電材料(例如銅及多晶矽)中的至少一者或所述各種導電材料的組合。
由此形成的導電字線結構WL可包括以下配置。
第一導電層C1(對應於朝溝道延伸的第一犧牲層(圖16所示220))
第二導電層C2(對應於位於第一導電層的一端上的第二犧牲層(圖16所示230))
換句話說,導電字線結構WL中包括的第一導電層C1及第二導電層C2是由通過不同的製程形成的層(即,犧牲層)造成的。
如以上參照圖6H及圖14所述,根據本發明的實施例,由於額外地沉積了第二絕緣層,因此在蝕刻製程期間位於臺階式結構的上表面及下表面上的第二犧牲層可不被蝕刻。因此,留在臺階式結構的各個臺階上的第二犧牲層的厚度可彼此相等。因此,如圖18所示,導電字線結構WL的第二導電層C2可具有相同的厚度(即,h11'=h22'=h33'=h44'=h55')。另外,如上所述, 由於利用第二絕緣層作為蝕刻罩幕對臺階式結構的側表面上的第二犧牲層進行了各向同性蝕刻,因此可在存在於臺階式結構的上表面及下表面上的第二犧牲層中形成凹陷區。因此,導電字線結構WL的第二導電層C2也可具有從第二導電層C2的兩個側壁在側向方向上凹陷的部分。也就是說,第二導電層C2的兩個側壁中的每一者可具有從一個側壁朝另一個側壁凹陷的一部分。凹陷部分可具有圓形形狀或者帶有預定曲率的形狀。因此,第二導電層C2的中心部分的側向方向(y軸方向)寬度可比第二導電層C2的上表面及下表面的側向方向寬度小。
另外,如以上參照圖6H及圖14所述,形成在臺階式結構的各個臺階上的第二犧牲層可在第三方向(例如,y軸方向)上彼此間隔開。因此,如圖18所示,導電字線結構WL的各個第二導電層C2也可彼此間隔開地形成。具體來說,第二導電層C2可在包括第三方向(y軸方向)在內的所有方向上彼此間隔開地形成。因此,第二導電層C2可不位於從另一個第二導電層C2的上表面延伸的垂直延伸線上。如以上參照圖6I及圖6J所述,這具有以下技術意義:可減小或避免接觸通孔會因過量蝕刻而通過第二導電層連接到另一個第二導電層的電短路的風險。
圖19是根據本發明實施例的半導體器件的電路圖。所述半導體器件可通過根據以上實施例的基底處理方法形成。在下文中,為簡明起見,將省略各個實施例之間重複的說明。
參照圖19,半導體記憶體器件可包括基底200、儲存單 元串MCS、第一字線WL1、第二字線WL2、第三字線WL3及第四字線WL4。
儲存單元串MCS可具有在基底200上突出及延伸的結構。儲存單元串MCS可包括多個儲存單元。儘管在圖19中僅示出四個儲存單元,然而一個儲存單元串MCS根據需要可包括更少或更多的儲存單元。
第一字線WL1可連接到第一儲存單元MC1。舉例來說,第一字線WL1可被形成為朝第一儲存單元MC1的溝道延伸。同樣地,第二字線WL2到第四字線WL4可分別連接到第二儲存單元MC2到第四儲存單元MC4,且第二字線WL2到第四字線WL4可分別被形成為朝第二儲存單元MC2到第四儲存單元MC4的溝道延伸。
第一字線WL1到第四字線WL4中的至少兩者可包括通過圖18所示操作形成的字線結構。舉例來說,第一字線WL1及第二字線WL2可包括通過圖18所示操作形成的字線結構。在這種情形中,第一字線WL1可包括朝溝道延伸的第一導電層C11及位於第一導電層C11上的第二導電層C21。第二字線WL2可包括朝溝道延伸的第一導電層C12及位於第一導電層C12上的第二導電層C22。第一字線WL1的第二導電層C21的厚度T11可等於第二字線WL2的第二導電層C22的厚度T12。另外,如圖19所示,第一字線WL1的第二導電層C21及第二字線WL2的第二導電層C22中的每一者可具有從各自的兩個側壁中的一者在側向方向上 凹陷的一部分,以使得第二導電層C21及第二導電層C22各自的中心部分的側向方向寬度可小於第二導電層C21及第二導電層C22各自的上表面及下表面的側向方向寬度。凹陷部分可具有圓形形狀或者帶有預定曲率的形狀。如上所述,這是因為利用第二絕緣層作為蝕刻罩幕對位於臺階式結構的側表面S上的第二犧牲層進行了各向同性蝕刻。另外,第一字線WL1的第二導電層C21及第二字線WL2的第二導電層C22在與第一字線WL1的第二導電層C21的上表面垂直的方向(z軸方向)上可不相互交疊。
根據本發明實施例的基底處理方法可通過在阻擋層上額外地沉積絕緣層來使阻擋層最少地暴露到蝕刻溶液,且可維持從臺階式結構的頂部到底部沉積的阻擋層的厚度。由此,根據本發明實施例的基底處理方法可防止在臺階式結構上選擇性地沉積接地焊盤的製程中沉積在每一臺階上的接地焊盤的厚度變得不均勻。因此,可減少在利用金屬層置換臺階式結構的犧牲層時發生電短路的風險。
應理解,附圖中的每一部分的形狀均是例示性的以用於清楚地理解本發明。應注意,本發明可被修改成除所示形狀之外的各種形狀。附圖中相同的參考編號可指代相同的元件。
對所屬領域中的一般技術人員而言將顯而易見的是,本發明並非僅限於以上實施例及附圖,且在不背離本發明的精神及範圍的條件下可在本文中作出各種替代、修改及更改。
應理解,本文中所述的實施例應被視為僅具有說明性意 義,而並非用於限制目的。對每一實施例中的特徵或方面的說明通常應被視為可用於其它實施例中的其他類似特徵或方面。
儘管已參照各個圖闡述了一個或多個實施例,然而所屬領域中的一般技術人員應理解,在不背離由以上權利要求所界定的本發明的精神及範圍的條件下,在本文中可作出形式及細節上的各種改變。

Claims (20)

  1. 一種基底處理方法,包括:將包括絕緣層與犧牲層的堆疊結構堆疊多次;對所述堆疊結構進行蝕刻,以形成結構,所述結構具有上表面、下表面及連接所述上表面與所述下表面的側表面;在所述結構上形成阻擋層,以覆蓋所述結構;對位於所述結構的所述上表面及所述下表面上的所述阻擋層進行選擇性緻密化;對所述阻擋層進行選擇性緻密化之後,在所述阻擋層上形成罩幕層,以覆蓋所述阻擋層;通過對所述罩幕層的至少一部分進行蝕刻來暴露出所述阻擋層的至少一部分;對被暴露出的所述阻擋層進行蝕刻;以及對所述罩幕層進行蝕刻。
  2. 如申請專利範圍第1項所述的基底處理方法,其中在將被暴露的所述阻擋層以及所述罩幕層進行暴露以及蝕刻的期間,位於所述結構的所述上表面與所述下表面上的所述阻擋層的厚度是均勻的。
  3. 如申請專利範圍第1項所述的基底處理方法,其中對被暴露出的所述阻擋層進行蝕刻包括對所述阻擋層進行各向同性蝕刻,以形成具有比位於所述結構的所述上表面及所述下表面上的所述罩幕層的寬度小的寬度的所述阻擋層。
  4. 如申請專利範圍第1項所述的基底處理方法,其中暴露出所述阻擋層的所述至少一部分包括對位於所述結構的所述側表面上的所述罩幕層進行蝕刻。
  5. 如申請專利範圍第1項所述的基底處理方法,其中,在對被暴露出的所述阻擋層進行蝕刻的期間,位於所述結構的所述上表面及所述下表面上的所述阻擋層的蝕刻速率低於位於所述結構的所述側表面上的所述阻擋層的蝕刻速率。
  6. 如申請專利範圍第1項所述的基底處理方法,更包括在暴露出所述阻擋層的所述至少一部分之前,對位於所述結構的所述上表面及所述下表面上的所述罩幕層進行選擇性緻密化。
  7. 如申請專利範圍第1項所述的基底處理方法,更包括:在由所述犧牲層及所述阻擋層形成的犧牲字線結構上形成層間絕緣層;移除所述犧牲字線結構;以及形成與經移除所述犧牲字線結構的空間對應的導電字線結構,所述導電字線結構包括:第一導電層,朝溝道延伸;以及第二導電層,位於所述第一導電層上,其中所述第二導電層具有第一側壁以及與所述第一側壁相對的第二側壁,且所述第一側壁以及所述第二側壁中的每一者具有朝向各自對應的側壁的凹陷的部分。
  8. 如申請專利範圍第1項所述的基底處理方法,其中所述結構具有臺階式的形狀。
  9. 一種基底處理方法,包括:在第一方向上交替地堆疊n個第一氧化矽層與n個第一氮化矽層,其中n是大於或等於2的自然數;對經堆疊的所述第一氧化矽層與所述第一氮化矽層進行蝕刻,以形成n層臺階式結構,所述n層臺階式結構具有上表面、下表面及連接所述上表面與所述下表面的側表面;在所述n層臺階式結構的所述上表面、所述下表面及所述側表面上形成第二氮化矽層;對所述上表面及所述下表面上的所述第二氮化矽層進行選擇性緻密化;在所述第二氮化矽層上形成第二氧化矽層;對所述上表面及所述下表面上的所述第二氧化矽層進行選擇性緻密化;移除所述第二氧化矽層的未緻密化部分;移除所述第二氮化矽層的未緻密化部分;以及移除所述第二氧化矽層的緻密化部分。
  10. 如申請專利範圍第9項所述的基底處理方法,其中在移除所述第二氧化矽層與所述第二氮化矽層的所述未緻密化部分以及移除所述第二氧化矽層的所述緻密化部分的期間,在對所述第二氮化矽層進行選擇性緻密化的期間經緻密化的所述第二氮化矽層的厚度不發生改變。
  11. 如申請專利範圍第9項所述的基底處理方法,其中利用第一蝕刻溶液以移除所述第二氧化矽層的所述未緻密化部分,且利用第二蝕刻溶液以移除所述第二氧化矽層的所述緻密化部分。
  12. 如申請專利範圍第11項所述的基底處理方法,其中所述第一蝕刻溶液與所述第二蝕刻溶液是相同的溶液,且移除所述第二氧化矽層的所述緻密化部分的蝕刻時間長於移除所述第二氧化矽層的所述未緻密化部分的蝕刻時間。
  13. 如申請專利範圍第9項所述的基底處理方法,其中所述n層臺階式結構的每一臺階包括:形成在所述臺階的下部部分處的所述第一氧化矽層;以及形成在所述第一氧化矽層上的所述第一氮化矽層,形成在形成所述n層臺階式結構的第k個臺階的所述第一氮化矽上的所述第二氮化矽層在移除所述第二氮化矽層的所述未緻密化部分之後,其中所述第二氮化矽層包括:第一側壁,面對形成所述n層臺階式結構的第k+1個臺階的所述第一氧化矽層的一側;以及第二側壁,面對所述第一側壁,在移除所述第二氮化矽層的所述未緻密化部分之後,形成在所述n層臺階式結構的每一臺階上的所述第二氮化矽層的所述第一側壁及所述第二側壁中的每一者具有在各自的側向方向上凹陷的部分,凹陷的所述部分在第二方向上延伸,且所述第二方向垂直於所述第一方向,其中k為大於或等於2且小於或等於n的自然數。
  14. 如申請專利範圍第13項所述的基底處理方法,其中在移除所述第二氮化矽層的所述未緻密化部分之後,形成在所述n層臺階式結構的每一臺階上的所述第二氮化矽層被形成為在第三方向上與彼此間隔開,且所述第三方向垂直於所述第一方向及所述第二方向。
  15. 如申請專利範圍第9項所述的基底處理方法,其中在對所述第二氮化矽層進行選擇性緻密化之後,形成在所述n層臺階式結構的每一臺階上的所述第二氮化矽層具有不同的密度。
  16. 如申請專利範圍第9項所述的基底處理方法,其中在移除所述第二氮化矽層的所述未緻密化部分之後,形成在所述n層臺階式結構的每一臺階上的所述第二氮化矽層在所述第一方向上具有相同的厚度。
  17. 一種半導體器件,其特徵在於,包括:基底;至少一個儲存單元串,延伸以在所述基底上突出;第一字線,連接到所述至少一個儲存單元串的第一儲存單元;以及第二字線,連接到所述至少一個儲存單元串的第二儲存單元,其中所述第一字線及所述第二字線中的每一者包括:第一導電層,朝溝道延伸;以及第二導電層,位於所述第一導電層上,且所述第一字線的所述第二導電層及所述第二字線的所述第二導電層具有在各自的側向方向上從各自的兩個側壁中的每一者凹陷的部分,且所述第二導電層的中心部分的側向方向寬度小於所述第二導電層的上表面及下表面的側向方向寬度。
  18. 如申請專利範圍第17項所述的半導體器件,其中所述第一字線的所述第二導電層的厚度等於所述第二字線的所述第二導電層的厚度。
  19. 如申請專利範圍第17項所述的半導體器件,其中凹陷的所述部分具有圓形形狀或者帶有預定曲率的形狀。
  20. 如申請專利範圍第17項所述的半導體器件,其中所述第一字線的所述第二導電層及所述第二字線的所述第二導電層在與所述第一字線的所述第二導電層的所述上表面垂直的方向上不相互交疊。
TW107112773A 2017-04-13 2018-04-13 基底處理方法及通過所述基底處理方法製造的半導體器件 TWI663653B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762485302P 2017-04-13 2017-04-13
US62/485,302 2017-04-13
US15/951,626 US10847529B2 (en) 2017-04-13 2018-04-12 Substrate processing method and device manufactured by the same
US15/951,626 2018-04-12

Publications (2)

Publication Number Publication Date
TW201842584A TW201842584A (zh) 2018-12-01
TWI663653B true TWI663653B (zh) 2019-06-21

Family

ID=63790887

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107112773A TWI663653B (zh) 2017-04-13 2018-04-13 基底處理方法及通過所述基底處理方法製造的半導體器件

Country Status (4)

Country Link
US (2) US10847529B2 (zh)
KR (1) KR102592693B1 (zh)
CN (1) CN108735756B (zh)
TW (1) TWI663653B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN107644876B (zh) * 2017-08-28 2019-01-01 长江存储科技有限责任公司 台阶结构及其形成方法
EP3853899A4 (en) * 2019-01-31 2022-05-11 Yangtze Memory Technologies Co., Ltd. STAIR FORMATION IN A THREE-DIMENSIONAL MEMORY DEVICE
US10964621B2 (en) * 2019-05-01 2021-03-30 Micron Technology, Inc. Memory device with high resistivity thermal barrier
US11158561B2 (en) 2019-05-01 2021-10-26 Micron Technology, Inc. Memory device with low density thermal barrier
KR20200139526A (ko) 2019-06-04 2020-12-14 삼성전자주식회사 수직형 메모리 장치
JP7257930B2 (ja) * 2019-10-08 2023-04-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210051262A (ko) 2019-10-30 2021-05-10 삼성전자주식회사 반도체 메모리 장치 및 반도체 메모리 장치 제조 방법
KR102640175B1 (ko) 2019-11-18 2024-02-23 삼성전자주식회사 반도체 장치
JP2021150392A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体装置及びその製造方法
TW202217953A (zh) * 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022151033A1 (en) * 2021-01-13 2022-07-21 Yangtze Memory Technologies Co., Ltd. Methods for forming stairs in three-dimensional memory devices
CN113451326B (zh) * 2021-06-17 2022-07-19 长江存储科技有限责任公司 三维存储器及其制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120003831A1 (en) * 2010-07-05 2012-01-05 Daehyuk Kang Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines
US20120119283A1 (en) * 2010-11-17 2012-05-17 Samsung Electronics Co., Ltd. Methods for forming etch stop layers, semiconductor devices having the same, and methods for fabricating semiconductor devices
US20120208347A1 (en) * 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US20130134372A1 (en) * 2011-11-29 2013-05-30 Kiwamu Sakuma Semiconductor device and method of manufacturing the same
US20150214103A1 (en) * 2014-01-24 2015-07-30 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20160064281A1 (en) * 2014-08-26 2016-03-03 Sandisk Technologies Inc. Multiheight contact via structures for a multilevel interconnect structure

Family Cites Families (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
WO1996017104A1 (en) 1994-11-30 1996-06-06 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
EP0800592B1 (de) 1994-12-27 1998-08-26 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
PL190391B1 (pl) 1999-02-11 2005-12-30 Hardide Ltd Materiał na powłoki odporne na ścieranie, erozję i korozję, materiał obejmujący podłoże z osadzoną powłoką, powłoka zawierająca wolfram i węglik wolframu, sposób wytwarzania węglików wolframu i sposób osadzania powłok
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP4864402B2 (ja) 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
DE102006004430B4 (de) 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
KR20090126273A (ko) 2007-03-28 2009-12-08 다우 코닝 코포레이션 실리콘 및 탄소를 함유하는 장벽층의 롤투롤 플라즈마 화학 기상 증착법
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100148903A1 (en) 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
JP2011060958A (ja) * 2009-09-09 2011-03-24 Toshiba Corp 半導体装置及びその製造方法
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US10092893B2 (en) 2010-07-26 2018-10-09 Waters Technologies Corporation Superficially porous materials comprising a substantially nonporous hybrid core having narrow particle size distribution; process for the preparation thereof; and use thereof for chromatographic separations
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TWI504701B (zh) 2011-04-28 2015-10-21 Fujifilm Corp 導電性構件、其製造方法、組成物、觸控面板及太陽電池
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP2012244180A (ja) * 2011-05-24 2012-12-10 Macronix Internatl Co Ltd 多層接続構造及びその製造方法
WO2013016594A2 (en) 2011-07-26 2013-01-31 Virginia Commonwealth University Abhesive coatings
KR20130015145A (ko) 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
KR101628211B1 (ko) 2011-10-14 2016-06-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
US9449983B2 (en) * 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US9224747B2 (en) * 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
JP6438038B2 (ja) 2014-09-19 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9530787B2 (en) * 2014-10-20 2016-12-27 Sandisk Technologies Llc Batch contacts for multiple electrically conductive layers
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
KR20180002774A (ko) 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
KR102307059B1 (ko) * 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10475642B2 (en) 2016-04-21 2019-11-12 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3620461B1 (en) 2016-07-05 2022-04-20 Samsung Electronics Co., Ltd. Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
KR20180046964A (ko) * 2016-10-28 2018-05-10 삼성전자주식회사 반도체 메모리 소자
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) * 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120003831A1 (en) * 2010-07-05 2012-01-05 Daehyuk Kang Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines
US20120119283A1 (en) * 2010-11-17 2012-05-17 Samsung Electronics Co., Ltd. Methods for forming etch stop layers, semiconductor devices having the same, and methods for fabricating semiconductor devices
US20120208347A1 (en) * 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US20130134372A1 (en) * 2011-11-29 2013-05-30 Kiwamu Sakuma Semiconductor device and method of manufacturing the same
US20150214103A1 (en) * 2014-01-24 2015-07-30 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20160064281A1 (en) * 2014-08-26 2016-03-03 Sandisk Technologies Inc. Multiheight contact via structures for a multilevel interconnect structure

Also Published As

Publication number Publication date
TW201842584A (zh) 2018-12-01
US20180301460A1 (en) 2018-10-18
CN108735756A (zh) 2018-11-02
KR20180115647A (ko) 2018-10-23
US11195845B2 (en) 2021-12-07
US10847529B2 (en) 2020-11-24
US20210035988A1 (en) 2021-02-04
CN108735756B (zh) 2022-11-22
KR102592693B1 (ko) 2023-10-23

Similar Documents

Publication Publication Date Title
TWI663653B (zh) 基底處理方法及通過所述基底處理方法製造的半導體器件
TWI682454B (zh) 基板處理方法
US10644025B2 (en) Method of processing a substrate and a device manufactured by using the method
KR102354490B1 (ko) 기판 처리 방법
TW202011582A (zh) 基底處理方法與使用其製造的裝置
KR102484303B1 (ko) 3d-nand 디바이스들에서의 워드라인 분리를 위한 방법들
KR100724568B1 (ko) 반도체 메모리 소자 및 그 제조방법
US8975152B2 (en) Methods of reducing substrate dislocation during gapfill processing
TW202010108A (zh) 半導體結構暨其形成方法
KR20160135913A (ko) 산화막 및 집적회로 소자와 이들의 제조 방법
US9780116B2 (en) Semiconductor device and method for manufacturing the same
WO2019168027A1 (ja) 不揮発性記憶装置の製造方法
TW202121668A (zh) 半導體裝置
CN113517287A (zh) 一种半导体结构及其制备方法
US11798806B2 (en) Pattern forming method and method for manufacturing semiconductor device
KR20050002382A (ko) 반도체 메모리 소자의 에스티아이 제조 방법
KR20220041751A (ko) 반도체 처리 방법
TW201719865A (zh) 具有改良金屬閘極填充之垂直記憶胞的半導體元件及其製造方法