TWI446442B - 電漿處理裝置及電漿處理方法 - Google Patents

電漿處理裝置及電漿處理方法 Download PDF

Info

Publication number
TWI446442B
TWI446442B TW099113780A TW99113780A TWI446442B TW I446442 B TWI446442 B TW I446442B TW 099113780 A TW099113780 A TW 099113780A TW 99113780 A TW99113780 A TW 99113780A TW I446442 B TWI446442 B TW I446442B
Authority
TW
Taiwan
Prior art keywords
gas
plasma
plasma processing
exhaust
temperature
Prior art date
Application number
TW099113780A
Other languages
English (en)
Other versions
TW201126603A (en
Inventor
Naomi Onodera
Kiyohiko Gokon
Jun Sato
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201126603A publication Critical patent/TW201126603A/zh
Application granted granted Critical
Publication of TWI446442B publication Critical patent/TWI446442B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • F17D3/01Arrangements for supervising or controlling working operations for controlling, signalling, or supervising the conveyance of a product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Description

電漿處理裝置及電漿處理方法
本發明係關於一種針對半導體晶圓等之被處理體使用電漿而於室溫程度之溫度帶區域內實施成膜處理用的電漿處理裝置及電漿處理方法。
一般來說,為了製造出半導體積體電路,係針對由矽基板等組成之半導體晶圓進行成膜處理、蝕刻處理、氧化處理、擴散處理、改質處理、自然氧化膜去除處理等各種處理。然後,於最近,因考量到成膜材料之耐熱性等而於製程處理時要求低溫化,對應於此便提出有數種使用了即使製程時晶圓溫度較低亦可促進反應之電漿的電漿處理裝置(專利文獻1~4)。
說明前述電漿處理裝置之一範例,圖14係前述習知縱型電漿處理裝置之一範例的概略模式圖。圖14中,於可將內部氣氛抽成真空之石英製圓筒體狀處理容器2內,將半導體晶圓W支撐於可進行迴轉之多段式晶舟(wafer boat)4處。該晶舟4可從處理容器2下方進行昇降而插入/脫離該處理容器2內。又,該處理容器2之下端處則藉由蓋部6而氣密地關閉。該處理容器2側壁處則設置有沿其高度方向而剖面呈矩形的電漿形成匣體8。接著,於該電漿形成匣體8內設置有讓因電漿而活性化之氣體流動的氣體噴嘴10。
然後,該電漿形成匣體8分隔壁外側之兩側處沿著電漿形成匣體8之高度方向相互對向設置有一對各自獨立的電漿電極12,前述兩個電漿電極12之間的區域處會施加有來自電漿產生用高頻電源14之高頻電功率(例如13.56MHz)。又,該處理容器2外側(亦包含頂部外側)設置有隔熱材16。再者,該隔熱材16內側之側面設置有加熱該半導體晶圓W用的加熱器18。然後,該隔熱材16之外側面則設置有包含頂部的遮蔽框體20,且該遮蔽框體20形成接地,而可防止高頻洩露至外部。
前述結構中,於該電漿電極12之間區域處施加高頻電功率便會產生電漿。藉由該電漿來讓供給至電漿形成匣體8內的氣體活性化而產生活性種(active species)。藉此,即使晶圓W之加熱溫度較低亦可藉由前述產生之活性種來促進反應等。
專利文獻1:日本專利特開2006-049809號公報
專利文獻2:日本專利特開2006-270016號公報
專利文獻3:日本專利特開2007-42823號公報
專利文獻4:國際公開WO2006/093136號公報
然而,在最近提出有一種,藉由新技術之MEMS(Micro Electro Mechanical Systems)的微細加工技術來進行組裝的技術。該MEMS技術係藉由微細加工技術來將壓力感測器或麥克風(microphone)等1個三維裝置組裝於小晶片內的技術。其中,亦有能組裝具有轉子之微馬達的MEMS技術範例。例如形成前述微馬達之情況,便需要形成能迴轉之微細轉子。於是,便在相當於該轉子之微細部件整體周圍預先以氧化膜包覆而形成,然後,沿其周邊部以薄膜來形成收納該轉子用的殼體。然後,以蝕刻去除該氧化膜整體,藉以於殼體內形成空洞化。如此一來,便可製作出能讓該微細之轉子於殼體內自行迴轉般的構造。
如前述般,將於裝置本體處最終不會留下來,但因製程途中需要所形成,而於之後會被去除之薄膜稱作為犧牲層,其為氧化膜之情況則稱作為犧牲氧化膜。前述犧牲層(或犧牲氧化膜)於之後會被去除,故不會特別將膜質特性等視作問題。因此,通常在形成閘極氧化膜或層間絕緣膜等要求高膜質特性的氧化膜等時,為了獲得較高膜質特性而需於溫度相對較高之高溫下進行成膜處理。另一方面,為了形成前述犧牲氧化膜,則不斷地開發出能於室溫等低溫區域內成膜的技術。
形成該犧牲氧化膜時,例如作為成膜裝置而使用圖14所述之電漿處理裝置,作為原料氣體則使用DIPAS(二乙胺基矽烷;diethylaminosilane)等的胺基矽烷(aminosilane)系氣體與因電漿所產生之臭氧等活性種,於室溫程度之相對低溫下形成該犧牲氧化膜。
但是,如為了形成前述犧牲氧化膜而使用圖14所述之電漿處理裝置,在每次進行批次(batch)處理時,於處理容器2與隔熱材16之間的空間部份會累積因電漿所產生的熱量,且熱量會屯積於此,其結果,連續地進行批次處理時,每進行一次批次處理則製程溫度便會逐漸上昇,導致成膜之再現性降低等問題。
於是,本發明之實施例係有鑑於前述情事,提供一種能解決該等問題、新穎且實用之電漿處理裝置及電漿處理方法。更詳細說明,本發明之實施例係提供一種當製程溫度為室溫程度之較低溫度帶區域而實施成膜處理時,能將該製程溫度維持於低溫而能提高電漿成膜處理等之電漿處理的再現性之電漿處理裝置。
又,本發明之實施例可提供一種能減少進行清潔之頻率以提高產能的電漿處理裝置及電漿處理方法。
依本發明之一觀點,係為一種電漿處理裝置,其具有可抽真空之筒體狀處理容器、保持複數個被處理體且插入/脫離該處理容器內的保持機構、將氣體供給至該處理容器內的氣體供給機構、以及沿著該處理容器之長度方向設置以藉由高頻電功率所產生之電漿來讓該氣體活性化的活性化機構,而能針對該被處理體實施電漿處理,其中具備有:筒體狀遮蔽框體,係為了遮斷高頻而包圍該處理容器周圍般地設置,且形成接地;以及冷卻機構,係在該電漿處理中沿著該遮蔽框體與該處理容器之間的空間部流通冷卻氣體。
如此一來,將保持機構所保持之複數個被處理體收納於筒體狀處理容器內並導入必要氣體,對該等被處理體藉由活性化機構所產生之電漿來形成氣體之活性種,而藉由此活性種來對被處理體實施電漿處理的電漿處理裝置中,具體有:為了遮斷高頻而包圍處理容器周圍般地設置且形成接地的筒體狀遮蔽框體、以及在電漿處理中沿著遮蔽框體與處理容器之間的空間部流通冷卻氣體的冷卻機構。由於能在電漿處理中藉由冷卻機構沿著處理容器外側流通冷卻氣體以進行冷卻,因此電漿所產生的熱量便不會屯積在遮蔽框體與處理容器之間的空間部處,其結果,製程溫度為室溫程度之較低溫度帶區域而實施成膜處理時,便能將該製程溫度維持於低溫而能提高電漿成膜處理等之電漿處理的再現性。
依本發明之其他觀點,更具備有:溫度量測機構,係量測該空間部內環境氣體之溫度;排氣通路,係設置於該排氣集流部與該排氣源之間處;以及閥機構,係設置於該排氣通路中途處,在將該電漿處理裝置維持於待命狀態之狀態下,針對該空間部之環境氣體以預先設定好之排氣風量進行排氣時,當該溫度量測機構之量測溫度較預先設定好之閾值溫度更低之情況,於該電漿處理時便形成關閉狀態。
如此一來,更具備有:溫度量測機構,係量測空間部內環境氣體之溫度;排氣通路,係設置於排氣集流部與排氣源之間處;以及閥機構,係設置於排氣通路中途處,在將電漿處理裝置維持於待命狀態之狀態下,針對空間部之環境氣體以預先設定好之排氣風量進行排氣時,當溫度量測機構之量測溫度較預先設定好之閾值溫度更低之情況,於電漿處理時便形成關閉狀態。藉此,便可設定在例如堆積於處理容器內壁之不需要的膜不容易會剝落而掉下之條件。其結果,可達到減少進行清潔之頻率以提高產能。
依本發明之其他觀點,係為一種電漿處理方法,為了將電漿處理裝置內部之環境氣體排出而供給及排出氣體,藉以冷卻該內部並對處理對象物進行電漿處理,具有下列步驟:在未產生電漿之狀態下,量測該電漿處理裝置之該內部的該環境氣體之溫度;以及針對環境氣體以預先設定好之排氣風量進行排氣時,當該量測出的溫度較預先設定好之第1閾值溫度更低之情況,於該電漿處理中便不將該氣體供給至該電漿處理裝置之該內部。
藉此,便可設定在例如堆積於處理容器內壁之不需要的膜不容易會剝落而掉下之條件。其結果,可達到減少進行清潔之頻率以提高產能。
再者,本發明之目的與優點,部份如說明書所記載,部份則可由說明書而思及。本發明之目的與優點可藉由添附之申請專利範圍中所特別指出的要素與其組合來實現且達成。前述之一般性記載與後述詳細說明為說明用之範例,並非用以限定申請專利範圍內所述之本發明。
以下,使用圖1至14來說明本申請案之實施例。
圖1係本發明電漿處理裝置之一範例的縱剖面結構圖,圖2係電漿處理裝置的橫剖面圖,圖3係遮蔽框體與冷卻機構的部份概略立體圖,圖4係顯示吸氣集流(header)部之狀態的分解立體圖,圖5係排氣集流部的橫剖面圖。
如圖1及圖2所示,本發明之電漿處理裝置22具有沿鉛直方向所設置且下端形成開口之具有頂部的縱長圓筒體狀處理容器24。該處理容器24整體係由例如石英所形成,該處理容器24內的頂部處則密封地設置有石英製頂板26。又,為了提高排氣特性而將該處理容器24下端部的內徑設定為稍大,且該下端形成有開口。亦可使用能讓該下端部連結至例如不鏽鋼製圓筒體狀歧管(manifold)的結構。
於該處理容器24之下端開口部處,可讓多段式地載置有多數片半導體晶圓W(作為被處理體)之石英製晶舟28(作為保持機構)進行昇降般地從其下方插入/脫離。本實施例之情況,於該晶舟28之支柱28A處可例如多段式地且約略等間距地支撐有50~150片左右之直徑300mm的晶圓W。
該晶舟28係藉由石英製保溫筒30而載置在於台座32上,該台座32則被支撐於迴轉軸36上,該迴轉軸36係貫穿用以開啟/關閉處理容器24下端開口部的例如不鏽鋼製蓋部34。然後,面向該迴轉軸36蓋部34之貫穿部則介設有例如磁性流體密封材38而將該迴轉軸36氣密地密封,且可進行迴轉般地加以支撐。又,蓋部34之周邊部與處理容器24之下端部則介設有例如由O型環等所組成的密封材組件40,以保持處理容器24內部的密封性。
前述迴轉軸36被安裝在例如晶舟昇降機等昇降機構(圖中未顯示)所支撐的手臂42之前端處,以使得晶舟28及蓋部34等形成一體進行昇降而插入/脫離處理容器24內。另外,亦可將該台座32固定地設置於該蓋部34側,而不讓晶舟28迴轉般地來進行晶圓W處理。然後,該處理容器24下端部則安裝在例如由不鏽鋼所組成的基座板44處而加以支撐。
該處理容器24下部設置有:朝處理容器24內部供給電漿化之第1氣體的第1氣體供給機構46、以及供給第2氣體的第2氣體供給機構48。具體而言,該第1氣體供給機構46具有往內側貫穿該處理容器24下部之側壁且朝上方彎曲而延伸之由石英管所組成的第1氣體噴嘴50。該第1氣體噴嘴50係沿其長度方向以特定間隔形成有複數(多數)個氣體噴射孔50A以形成分散形的氣體噴嘴,而能從各氣體噴射孔50A朝水平方向以約略均勻地噴出第1氣體。
又,同樣地,該第2氣體供給機構48亦具有往內側貫穿該處理容器24下部之側壁且朝上方彎曲而延伸之由石英管所組成的第2氣體噴嘴52。該第2氣體噴嘴52係沿其長度方向以特定間隔形成有複數(多數)個氣體噴射孔52A以形成分散形的氣體噴嘴,而能從各氣體噴射孔52A朝水平方向以約略均勻地噴出第2氣體。又,連接至該第1及第2氣體噴嘴50、52的氣體通路46A、48A中途各自介設有流量控制器46B、48B(如控制氣體流量之質量流量控制器)以及開關閥46C、48C。
另外,此處僅提及供給第1氣體與第2氣體的第1氣體供給機構46與第2氣體供給機構48,但是,在使用了更多氣體種類之情況,當然會對應地設置更多其它的氣體供給機構,例如亦可設置供給N2 等沖洗氣體用的氣體供給機構。又,雖然圖中並未顯示,亦可設置清潔氣體供給系統,以供給將不需要之膜去除用的清潔氣體(例如HF系氣體)。
然後,該處理容器24之下部側壁處形成有排氣口54。然後,該排氣口54連接至介設有壓力調整閥56A與真空泵56B等的真空排氣系56,以將處理容器24內部氣氛抽真空而維持於特定壓力。
然後,該處理容器24係形成有沿著其長度方向而設置以藉由高頻電功率所產生之電漿來讓該第1氣體活性化的活性化機構58。該活性化機構58如圖2所示,主要結構有:沿處理容器24之長邊方向所設置的電漿分隔壁60所區分形成的電漿形成匣體62、於該電漿分隔壁60處沿其長邊方向所設置的電漿電極64、以及連接至該電漿電極64的高頻電源66。
具體而言,係沿上下方向以特定寬度將該處理容器24之側壁削除來形成上下方向之細長型開口68,由其外側覆蓋該開口68般地設置有剖面呈ㄈ字型結構的上下方向細長之該電漿分隔壁60(例如石英製),且將該電漿分隔壁60氣密地焊接至該處理容器24外壁的方式來形成該電漿形成匣體62。
藉此,朝向該處理容器24側壁之外側突出般地,讓剖面呈ㄈ字型凹陷且一側朝向處理容器24內形成開口而連通,便可一體地形成電漿形成匣體62。即,電漿分隔壁60之內部空間為電漿形成區域,且一體地連通至該處理容器24內部的狀態。該開口68係在該處理容器24之高度方向上形成有能沿上下方向充分地覆蓋晶舟28所保持之所有晶圓W的長度。然後,於該電漿分隔壁60之兩側壁的外側面處設置有相互對向的一對該電漿電極64。該電漿電極64係沿著電漿形成匣體62之長邊方向而形成於其整體處。
然後,該各電漿電極64各自連接至供電線路70,該供電線路70則連接至該電漿產生用高頻電源66,且於中途介設有用以達成阻抗匹配的匹配電路71,以藉由該高頻電功率來於電漿形成匣體62內形成電漿。此處,作為該高頻電源66之頻率可使用例如13.56MHz,但並非限定於此,亦可使用4MHz~27.12MHz範圍內的頻率。
然後,於該處理容器24內朝上方延伸之第1氣體噴嘴50係於中途往處理容器24之半徑方向外側彎曲。而位於該電漿形成匣體62內最深處,且最遠離處理容器24中心部份處。即,係沿著該最深處部份而朝向上方站立般地設置。因此,當開啟高頻電源66時,從該第1氣體噴嘴50之各氣體噴射孔50A所噴出的第1氣體會受到該電漿形成匣體62處之電漿而活性化,且朝向處理容器24中心擴散並流動。另外,該第1氣體噴嘴50亦可不貫穿處理容器24之側壁,而從電漿分隔壁之下端部直接貫穿般地設置。
又,處理容器24之開口68內側的一側係站立般地設置有該第2氣體噴嘴52(參考圖2),而能藉由設置於第2氣體噴嘴52之各氣體噴射孔52A來朝向處理容器24中心方向噴出第2氣體。然後,如前述所形成之處理容器24外側,則設置有本發明特徵之遮蔽框體72、以及在電漿處理中於該遮蔽框體72內流通冷卻氣體的冷卻機構74。具體而言,於該處理容器24外側處,包含其周圍整體(亦包含頂部)般地設置有例如成形為圓筒狀的該遮蔽框體72。該遮蔽框體72係經由鋁或不鏽鋼等金屬而形成接地,以遮斷從活性化機構64洩露至外部的高頻並防止其洩露至外側。
該遮蔽框體72之下端部則連接至該基座板44,以防止高頻從下方洩出。該遮蔽框體72之遮蔽值(比導電率×比透磁率×板厚)越高越好,例如使用SUS304(不鏽鋼種類)之情況,將板厚設定為1.5mm以上較佳。又,關於其尺寸,例如收納有直徑300mm晶圓W的該處理容器24之直徑為450mm左右之情況,該遮蔽框體72之直徑則為600mm左右。
然後,安裝於該遮蔽框體72的該冷卻機構74係由設置在遮蔽框體72之一端(下端部)以吸入冷卻氣體用的吸氣集流部76、以及設置在該遮蔽框體72之另一端(上端部)以將遮蔽框體72內之環境氣體排出用的排氣集流部78所構成,以使得冷卻氣體會如箭頭84所示沿著該遮蔽框體72與處理容器24之間的空間部82而流動。然後,該排氣集流部78連接至排氣源80。於此處,該排氣源80係由針對無塵室內所設置之包含該電漿處理裝置22的各裝置內部進行排氣用的工場導管(duct)83所構成,該工場導管83下游側則設置有大型排氣風扇(圖中未顯示),以對工場內整體進行排氣。
該排氣集流部78如圖3及圖4所示,係具有:於該遮蔽框體72側壁處沿其圓周方向所設置的氣體流通導管86;於該遮蔽框體72側壁處沿其圓周方向以特定間隔而均等形成的氣體流通孔88;設置於該氣體流通導管86處以將冷卻氣體吸入該遮蔽框體72用的氣體導入口90。於此處,該氣體流通導管86之剖面形成約略矩形,且係環狀地包圍遮蔽框體72下端部周圍般地加以設置。
然後,該氣體流通導管86之頂部86A處沿該遮蔽框體72之直徑方向對向配置形成有一對(2個)之該氣體導入口90。於此處,該氣體流通孔88係沿著遮蔽框體72之圓周方向而形成為長方形,且於整體處等間隔地設置有4個氣體流通孔88。因此,從該2個氣體導入口90而吸入氣體流通導管86內的冷卻氣體會沿著該氣體流通導管86內流動且從該長方形氣體流通孔88流入遮蔽框體72內。
此時,為了讓冷卻氣體均等地流動,較佳地,讓該氣體導入口90設置於鄰近之氣體流通孔88之間中央部處。該氣體流通孔88個數不限定為4個,亦可設置為2個或更多個,亦可環狀地形成於沖孔金屬板上。又,為了提升高頻之遮蔽效果,亦可於該氣體流通孔88處安裝有沖孔金屬板。
然後,於此處,設置有半圓弧狀冷卻氣體導引導管92以連接至該2個氣體導入口90。於該冷卻氣體導引導管92的中央部設置有氣體入口94,同時於其兩端側各自形成有連通至該各氣體導入口90的開口96。於此處,將無塵室內經常維持在23~27℃左右的清淨空氣作為冷卻氣體,因此,由從該氣體導入口90導入之清淨空氣所構成的冷卻氣體會流通於該冷卻氣體導引導管92內部且經由開口96及氣體導入口90而朝2方向分流於環狀氣體流通導管86內,再經由該氣體流通孔88流入遮蔽框體72內。實際上,該氣體入口94連接有圖中未顯示之供氣通路,可從供氣通路導入與無塵室內同樣溫度的清淨空氣。
另外,亦可不設置該冷卻氣體導引導管92,而直接藉由2個氣體導入口90來吸入冷卻氣體(無塵室內的清淨空氣),抑或設置更多數個該氣體導入口90。
另一方面,如圖3及圖5所示,設置於該遮蔽框體72上端部的排氣集流部78係具有:於阻塞遮蔽框體72端面之端板98處所形成的氣體流通孔100;包圍且覆蓋該氣體流通孔100般而設置的箱型排氣匣體102;於該排氣匣體102處所設置的氣體排氣口104;連接至該氣體排氣口104且連接至該排氣源80(工場導管83;參考圖1)的排氣通路106。
該端板98具有作為遮蔽框體72頂板的機能,該端板98亦是由對於高頻具有遮蔽機能的金屬板(例如不鏽鋼)所形成。於此處,形成於該端板98處之氣體流通孔100係由複數個直徑較小的沖孔100A所排列形成,可讓冷卻氣體從下方上昇通過沖孔100A而流向上方,同時可提高對於高頻的遮蔽性。即,於此處,作為端板98可使用於中央部側形成有複數個孔的沖孔金屬板。此時,亦能形成為1個大口徑的孔來作為該氣體流通孔100。亦可於該大口徑之氣體流通孔100處安裝有沖孔金屬板。
於此處,該排氣匣體102係形成約略正四角形,於其內側更包圍該氣體流通孔100般地設置有一邊連通至該排氣匣體102側壁的倒”ㄈ”字型分隔壁108。然後,該分隔壁108之對向面形成有一對之流通孔110,且該流通孔110會經由形成於該排氣匣體102壁面與該分隔壁108之間的流路112而連通至該氣體排氣口104。
因此,藉由該複數個沖孔100A而如前述流出的冷卻氣體會經由分隔壁108所設置的一對流通孔110流入流路112內,再藉由氣體排氣口104流向工場導管83側。另外,該排氣匣體102之形狀並不限定為矩形,亦可形成例如圓形,再者亦可將分隔壁108形狀作成圓形。再者,氣體排氣口104亦可無需設置於排氣匣體102側壁,可將該氣體排氣口104設置於排氣匣體102頂部以使得冷卻氣體朝上方流出。又,該排氣通路106處介設有流量控制閥113,藉以控制排氣風量。
然後,回到圖1,關於該電漿處理裝置22整體動作的控制,例如氣體供給開始及供給停止、高頻電源66電功率的設定或其ON/OFF、製程壓力設定等係例如由電腦等所組成的裝置控制部114來進行的。又,該裝置控制部114具有例如軟碟、CD(Compact Disc)、硬碟、快閃記憶體或DVD等記憶媒體116,能記憶用以控制該各種氣體供給或供給停止、高頻之ON/OFF控制及控制裝置整體動作的電腦可讀取之程式。
其次,作為使用如前述所構成之電漿處理裝置來進行的電漿處理,以在室溫附近藉由電漿實施成膜方法之情況作為範例來加以說明。具體而言,於此處,作為成膜處理係以第1氣體使用氧,第2氣體使用胺基矽烷系氣體而於室溫附近形成犧牲氧化膜之情況作為範例來加以說明。另外,作為胺基矽烷系氣體如先前所述可使用DIPAS。
首先,如圖1及圖2所示,讓載置有常溫之多數片(例如50~150片)尺寸300mm晶圓W狀態的晶舟28,從下方上昇而裝載至呈室溫(例如23~27℃左右)狀態的處理容器24內,並藉由蓋部34來封閉處理容器24之下端開口部以將容器內部密閉。
然後,將處理容器24內抽真空而維持於特定製程壓力,從第1氣體供給機構46及第2氣體供給機構48各自控制流量般地供給該第1氣體與第2氣體。於此同時,開啟高頻電源(RF電源)66而於活性化機構58之電漿形成匣體62內生成電漿。
具體而言,將作為第1氣體之氧氣體從第1氣體噴嘴50之各氣體噴射孔50A朝水平方向噴出,又,將作為第2氣體之胺基矽烷系氣體從第2氣體噴嘴52之各氣體噴射孔52A朝水平方向噴出。然後,氧氣體會因電漿形成匣體62內所形成之電漿而受到活性化以產生臭氧等活性種,該活性種便會與該胺基矽烷系氣體相互反應而於晶圓W表面形成犧牲氧化膜。
另一方面,在進行前述電漿成膜處理之期間,由該電漿所產生的熱量,會有傳至覆蓋該處理容器24外側之高頻遮斷用遮蔽框體72內而屯積的傾向。但是,於本發明中,該遮蔽框體72內部會因冷卻機構74所流通之冷卻氣體而受到冷卻,故可抑制處理容器24與晶圓W之溫度上昇,讓其維持於室溫程度。
即,該遮蔽框體72內的環境氣體會連通至排氣源80(工場導管83)而排出,故形成經常減壓之環境氣體。因此,該電漿處理裝置22所設置之無塵室內的維持在23~27℃左右之清淨空氣會從遮蔽框體72下部所設置的氣體導入口94如箭頭120(參考圖1及圖3)所示作為冷卻氣體而被吸入冷卻氣體導引導管92內且於流通於其中,該冷卻氣體便會經由圓弧形狀冷卻氣體導引導管92兩端所設置之各開口96及氣體導入口90而如箭頭122(參考圖3)所示被吸入排氣集流部78之氣體流通導管86內。該冷卻氣體會朝2方向分流而流通於環狀氣體流通導管86內,再經由所設置之4個該氣體流通孔88而流入遮蔽框體72內。
流入至該遮蔽框體72內的冷卻氣體則會如箭頭84(參考圖1)所示上昇並流經遮蔽框體72與處理容器24之間的空間部82內部,此時,能針對受到電漿所產生之熱量而有昇溫傾向的處理容器24側壁進行冷卻,同時將屯積於該空間部82處之因電漿所產生的熱量搬運且排出。該冷卻氣體之上昇氣流會於處理容器24之約略全周處產生。
於遮蔽框體72內上昇而流通之冷卻氣體會經由排氣集流部78之氣體流通孔100之各沖孔100A而流入至排氣匣體102內部且匯聚,再者,該冷卻氣體會如箭頭124(參考圖5)所示經由分隔壁108所設置的一對各流通孔110而流經排氣匣體102與分隔壁108之間的流路112內部,再從氣體排氣口104經由排氣通路106流向工場導管83側。
如此一來,便能冷卻遮蔽框體72內部,故可抑制處理容器24與晶圓W之溫度上昇,讓其維持於室溫程度。又,本實施例中,即使於1次之批次處理完成而欲進行下一次之批次處理時,遮蔽框體72內亦未形成屯積有熱量之狀態,故可抑制處理容器24與晶圓W之溫度上昇,而讓其維持於室溫程度,並可維持電漿處理之高再現性。換言之,即使連續地進行一口氣對複數片晶圓W實施電漿處理的批次處理,由於如前述般讓冷卻氣體流入遮蔽框體72內而將屯積於空間部82處的熱量排出,並冷卻處理容器24及晶圓W而讓其維持於室溫程度,故可維持電漿處理(成膜處理)之高再現性。
如此一來,依本發明之本實施例,將保持機構28所保持之複數個被處理體(例如半導體晶圓W)收納至筒體狀處理容器24內,導入必要氣體,對該等被處理體藉由活性化機構58所產生之電漿來形成氣體活性種,並藉由該活性種來對被處理體實施電漿處理的電漿處理裝置22中,係具備有為了遮斷高頻而包圍處理容器24周圍般而設置且形成接地的筒體狀遮蔽框體72、以及於電漿處理中沿著遮蔽框體72與處理容器24之間的空間部82流通冷卻氣體的冷卻機構74,由於能於電漿處理中藉由冷卻機構74讓冷卻氣體沿處理容器24外側流動而加以冷卻,故於遮蔽框體72與處理容器24之間的空間部82處不會屯積因電漿所產生的熱量,其結果,讓製程溫度於室溫程度之較低溫度帶區域內實施電漿處理時,可維持低製程溫度而提高電漿成膜處理等之電漿處理的再現性。
<本發明實施例之冷卻機構的評價>
其次,在使用設置有如前述般冷卻機構74之本發明本實施例的電漿處理裝置來連續進行複數次之電漿批次處理時,量測處理容器24與遮蔽框體72之間所形成之空間部82的溫度變化,說明其評價結果。於此處,為了進行比較,藉由如圖14所示具有附加圓筒體狀隔熱材的加熱器(不驅動加熱器本體)之習知電漿處理裝置來進行電漿批次處理,亦說明其結果。
此處的處理係在1次之批次處理中針對117片晶圓進行60分鐘的電漿成膜處理,並連續進行該批次處理7次(RUN1至RUN7)。圖6A與6B係連續進行批次處理時,於處理中空間部的溫度變化圖表,圖6A為習知電漿處理裝置之情況,圖6B則為本發明本實施例之電漿處理裝置之情況。於此處,圖6B所示本發明本實施例之電漿處理裝置係將遮蔽框體內的排氣風量設定為0.55m3 /min。
圖7A、7B、7C、7D係顯示由圖6A與6B所示圖表結果所求得之空間部的溫度差的圖。另外,於此處,一併記載有改變排氣風量(3種類)後進行實驗的結果。又,於此處,將多段式地支撐於晶舟的晶圓沿高度方向分割呈4個區域,對應於最上段位置之區域的空間部溫度表示為”TOP”,對應於其下方之區域的空間部溫度表示為”T-C”(top center),對應於其更下方之區域的空間部溫度表示為”C-B”(center bottom),對應於最下段位置之區域的空間部溫度則表示為”BTM”(bottom)。該各溫度係由設置於空間部82之熱電偶所測得。又,於全部製程中,開始處理時之空間部82溫度為27℃。
如圖6A所示,習知電漿處理裝置之情況,已知於”TOP”、”T-C”、”C-B”、及”BTM”處,全部區域之空間部溫度從1RUN至7RUN,皆會隨著反覆進行批次處理而累次地讓空間部溫度從27~28℃緩慢上昇,最終上昇至40~46℃左右,而造成再現性惡化。具體而言,如圖7A所示,空間部之最大值(Max)與最小值(Min)之間的溫度差(Δ),於”TOP”處為18.5℃、於”T-C”處為16.5℃、於”C-B”處為13.6℃、於”BTM”處為10.7℃,於批次處理之期間內空間部之溫度會有大幅變動,故非較佳結構。
相對於此,如圖6B所示,本發明之情況,已知於”TOP”、”T-C”、”C-B”、及”BTM”處,全部區域之空間部溫度從1RUN至7RUN,皆會控制在約略27~30℃範圍內,即使連續進行批次處理,空間部之溫度亦不會上昇而可穩定地維持該溫度,故可提高電漿處理之再現性。
圖7C係標示圖6B所示實驗中空間部溫度之最大值(Max)與最小值(Min)之間的溫度差(Δ),於”TOP”、”T-C”、”C-B”、及”BTM”處各為3.6℃、1.9℃、1.4℃、1.2℃,而於全部區域處之溫度差皆非常小,故相較於習知裝置例,本發明之本實施例可獲得非常良好之結果。又,使用本發明之本實施例的電漿處理裝置,僅將排氣風量改成0.35m3 /min及0.72m3 /min,並進行與圖6B所述同樣實驗時,結果各自顯示於圖7B及圖7D。
依此,於”TOP”、”T-C”、”C-B”,及”BTM”之空間部的各溫度於圖7B及圖7D所示情況下,相較於設定溫度(27℃)亦不會有太大之變化,而顯示出十分良好的結果,又,已知溫度差(Δ)於圖7B之情況為5.2℃、3.9℃、2.2℃、1.4℃、又,於圖7D之情況為3.0℃、1.7℃、1.5℃、1.2℃,皆顯示出良好之結果,故可提高電漿處理之再現性。
此時,如圖7B所示,排氣風量少於0.35m3 /min之情況,於”TOP”與”T-C”之空間部的各溫度會上昇至32.5℃與31.1℃,但仍在設定溫度之27℃±6℃範圍內,故為充分實用的結果。
<變形實施例1>
其次,說明本發明之變形實施例1。前述實施例中,雖係於成膜處理中使用了冷卻機構74來讓冷卻氣體強制流向遮蔽框體72內的空間部82,但就設置該電漿處理裝置22之環境(例如無塵室內)的溫度環境等,於提高產能之觀點來看,有時不流通有冷卻氣體者較佳。具體而言,反覆進行成膜處理時,處理容器24內壁剝離而掉落時,便會累積而堆積出微粒成因之不需要的膜,一般來說,在該不需要之膜剝離而掉落之前,會定期或不定期地以清潔氣體來進行將該不需要之膜去除的清潔操作。
將該不需要之膜去除的清潔操作中,例如監控處理容器24側壁處堆積之該不需要之膜的累積膜厚,每當該膜厚達預先設置之基準厚度(例如1~20μm左右範圍內之預先設置的基準值)時便進行清潔操作。此時,對應於成膜時之處理容器24溫度,堆積於處理容器24內壁處之不需要之膜的膜質會有微妙變化,有時例如在累積膜厚達到基準值之前便會輕易地剝離掉落,此問題亦經本發明之發明人確認。該基準值會依成膜之膜種類與製程條件等而預先進行設定。
具體而言,已知讓冷卻機構74作動而使得處理容器24側壁之溫度過度下降時,隨著低溫而不需要之膜越容易剝離掉落,故會發生在累積膜厚為預先設定之基準值以下之情況,不需要之膜亦會有開始剝離掉落而產生微粒的現象。於是,本發明之變形實施例1中,便對應於電漿處理裝置22之設置環境,來決定是否要讓冷卻機構74進行作動。
圖8係本發明變形實施例1之電漿處理裝置之一範例的概略結構圖。圖8中,基本結構除下述各點外,皆與參考圖1至圖5所述電漿處理裝置相同。與圖1至圖5中所述結構之相同的結構部份係賦予相同之參考符號,並省略說明。
於此處,在連結該冷卻機構74之排氣集流部78之氣體排氣口104與排氣源88的排氣通路106中途設置有閥機構130。該閥機構130係將蝶閥般之流量控制閥132與第1開關閥134串聯設置的結構。
又,冷卻機構74之吸氣集流部76所設置的冷卻氣體導引導管92之氣體入口94連接有供氣通路136,該供氣通路136中途則介設有第2開關閥138。該供氣通路136會如箭頭120般吸入與無塵室內約略相同溫度的清淨空氣以作為冷卻氣體。另外,亦可不設置該供氣通路136而讓氣體入口94開放至無塵室內。
又,處理容器24與遮蔽框體72之間所形成的空間部82處設置有量測該空間部82內環境氣體之溫度的溫度量測機構140。具體而言,該溫度量測機構140係由較遮蔽框體72側壁更朝向內側而稍微延伸設置的複數個(例如4個)熱電偶140A、140B、140C、140D所構成。該4個熱電偶140A~140D係對應於處理容器24內之晶圓W收納區域,而沿其高度方向呈約略等間隔般地設置。
即,熱電偶140A係設置於最上段,其次之熱電偶140B係設置於熱電偶140A下方,再其次之熱電偶140C係設置於熱電偶140B下方,最下段之熱電偶140D則係設置於熱電偶140C下方。因此,該等熱電偶140A~140D係從該上方朝向下方對應於”TOP”、”T-C”(top center)、”C-B”(center bottom)及”BTM”(bottom)。然後,該等各熱電偶140A~140D之各自的輸出會輸入至例如裝置控制部114。又,該空間部82處設置有量測該空間部28內壓力與無塵室內之間的壓力差之壓力差計150,並將其輸出訊號輸入至例如該裝置控制部114。
接著,前述結構之本變形實施例1中,該電漿處理裝置在維持於待命狀態之狀態下,以預先設定好的排氣風量對該空間部82之環境氣體進行排氣時,當該溫度量測機構140之量測溫度較預先設定之閾值溫度更低之情況,於電漿處理時,該閥機構130會呈關閉狀態。該閥機構130之作動可由裝置控制部114來進行控制,亦可由操作員以手動進行。
具體而言,如前述,由於對應於成膜電漿處理時之處理容器24溫度,附著於容器內壁的不需要之膜的附著強度會有微妙變化,故讓冷卻機構74作動而流通有冷卻氣體時,對應於電漿處理裝置22之設置環境會有附著容易剝離掉落之不需要之膜的情況。例如作為冷卻氣體所使用之無塵室內部環境氣體的溫度較高之情況,所吸入之冷卻氣體的溫度亦較高,故即使於電漿處理時讓冷卻機構74作動而流通冷卻氣體時,亦不會將處理容器24過度冷卻,因此,不需要之膜會在較難剝離之狀態進行附著。
相對於此,作為冷卻氣體所使用之無塵室內部環境氣體之溫度較低之情況,由於所吸入之冷卻氣體的溫度亦較低,故於電漿處理時讓冷卻機構74作動而流通冷卻氣體時,則處理容器24會被過度冷卻,因此,不需要之膜會在容易剝離之狀態進行附著。
此時,於處理容器24內壁處特別容易產生微粒之部份係受到電漿激烈撞擊的電漿形成匣體62內部,但是如設置有檢測該部份溫度用的熱電偶之情況,於電漿形成匣體62附近處設置有高頻電極,會變成導致異常放電的原因,故非為現實情況。
於是,於本變形實施例1係求得在藉由冷卻氣體來冷卻處理容器24之側壁而使得冷氣氣體通過該空間部82內時會使得處理容器24之側壁過度冷卻的環境氣體溫度,而在當處理容器24側壁過度冷卻之狀態時,便不讓冷卻機構74作動以停止冷卻氣體之流動。
然後,為了實現前述操作,於此處,當電漿處理裝置22於待命時讓冷卻氣體流通於空間部82內,以此時之空間部82內部環境氣體溫度為基準來進行成膜,來決定於電漿處理時是否要流通冷卻氣體。具體而言,當電漿處理裝置22維持於待命狀態之狀態下,以預先設定好之排氣風量(例如與大氣之壓力差為-100Pa左右的排氣風量)對空間部82內部進行排氣時,當作為溫度量測機構140之熱電偶140A~140D的量測溫度較預先設定好之閾值溫度(例如33℃)更低之情況,則在進行該成膜用之電漿處理時將閥機構130之第1開關閥134調至關閉狀態,讓冷卻氣體不會流通於空間部82內。即,不讓冷卻機構74作動。
相反地,該量測溫度為33℃以上之情況,則將該閥機構130之第1開關閥134調至開啟狀態而讓冷卻氣體流通。即,讓冷卻機構74作動。又,較佳地,與該第1開關閥134之開/關連動般地來進行第2開關閥138之開/關。於此處,該閾值溫度33℃係使用胺基矽烷系氣體(例如DIPAS)來作為成膜氣體之情況。
如前述般之第1及第2開關閥134、138的開關,基本上係在將電漿處理裝置22設置於無塵室內時實現待命狀態後而如前述般加以決定的。此後,該第1及第2開關閥134、138基本上會在固定為開啟狀態或關閉狀態之情況下,反覆地進行成膜用之電漿處理與清潔處理。又,有時會依清潔時之條件而於清潔處理時流通有冷卻氣體。再者,因維修等改變設定環境之情況,則再次如前述般地來進行冷卻機構74作動之決定。
又,該待命狀態係指,開啟裝置本體之電源,將各種配線類之加熱器等為ON狀態但活性化機構58為OFF狀態而未形成有電漿,且處理容器24溫度無變動而呈穩定的狀態,例如在開啟裝置電源後經過一天以上的狀態,抑或於成膜製程(操作)完成後例如經過約7小時以上的狀態。
<驗證實驗>
其次,進行該變形實施例1之驗證實驗,並說明其內容。圖9係本發明之變形實施例1之遮蔽框體內環境氣體與大氣之間的壓力差、與遮蔽框體之內部溫度之間的關係圖表;圖10係壓力差為0Pa時,操作次數、與微粒數及累積膜厚之間的關係圖表;圖11係壓力差為60Pa時,操作次數、與微粒數及累積膜厚之間的關係圖表;圖12係壓力差為130Pa時,操作次數、與微粒數及累積膜厚之間的關係圖表;圖13係在電漿處理(操作)完成後於遮蔽框體內的溫度變化圖表。於此處,讓該壓力差變化為0~-250Pa,於其中數個數值處實施電漿成膜處理以進行微粒之評價。
此時,壓力差為0Pa之情況,第1及第2開關閥134、138皆為關閉狀態而空間部82內不流通有冷卻氣體,於壓力差0Pa以外之情況,第1及第2開關閥134、138皆為開啟狀態,且藉由適當地調整閥機構130之流量控制閥132的閥門開關程度以改變壓力差。因此,圖9之橫軸的壓力差會對應於排氣風量。即,壓力差較少之情況則冷卻氣體之排氣流量較少,隨著壓力差增大則依序增加冷卻氣體之排氣流量。
圖9所示圖表中,曲線A顯示圖8中TOP處熱電偶104A的溫度,曲線B顯示圖8中CTP處熱電偶104B的溫度,曲線C顯示圖8中CBT處熱電偶104C的溫度,曲線D顯示圖8中BTM處熱電偶104D的溫度。又,曲線X1顯示圖8中處理容器24之點X1位置處的溫度,曲線X2顯示處理容器24之點X2位置處的溫度。又,此時,設置有電漿處理裝置22之無塵室內的溫度為23~24℃左右。
如圖9所示圖表可知,未流通有冷卻氣體之壓力差為0Pa時,全部之溫度量測位置處的溫度皆為最高。例如曲線X1、X2所示各點X1、X2的溫度為44~45℃左右,曲線A~D所示各熱電偶140A~140D的溫度為35~39℃左右。然後,隨著壓力差增大,即,隨著排氣風量增大則各溫度便會依序下降。
於此處,在壓力差為0Pa、-2Pa、-50Pa、-60Pa、-100Pa、-130Pa、-250Pa各點之情況下,各自實施複數輪的成膜處理以進行微粒之評價。其結果,當壓力差為0Pa時,即使累積膜厚達基準值以上,微粒數仍於上限值之50個以下,故為合格,但是於此以外的壓力差-2Pa~-250Pa中全部條例下,累積膜厚較基準值更小時,微粒數便達到上限值之50個,故為不合格。即,即使在壓力差僅有-2Pa左右而讓些許量之冷卻氣體流通於空間部,於此時所產生之些許的溫度降低亦會造成不良影響,導致累積膜厚在達到基準值之前,微粒數便達到50個。
其結果,於此處之設置環境中,已知必須不讓冷卻機構74作動,即,在不流通冷卻氣體之情況下進行成膜電漿處理。圖10至圖12之圖表顯示了此時之一部份的結果,圖10係顯示壓力差為0Pa時之情況,圖11係顯示壓力差為60Pa時之情況,圖12則係顯示壓力差為130Pa時之情況。於各圖中,左側縱軸係顯示微粒數(長條圖),右側縱軸則係顯示累積膜厚(折線圖)。
又,於1輪操作係表示1次之批次處理,各輪操作中,針對TOP(top),CTR(center),BTM(bottom)之各晶圓位置處進行微粒量測,並依該順序將微粒的累計個數記載為長條圖。又,於此處,將微粒數之上限值設置為50個,累積膜厚之基準值則如前述般預先設定於1~20μm左右的範圍內之特定數值。其結果,如圖10所示當壓力差為0Pa之情況,進行1~22輪操作,已知即使如前述般累積膜厚達到基準值,微粒數仍未達上限值之50個,故可獲得較佳結果。
相對於使,如圖11所示當壓力差為60Pa之情況,在累積膜厚較基準值更低之點P1處(22輪),微粒數50個便已達上限值,故非較佳結果。又,如圖12所示當壓力差為130Pa之情況,在累積膜厚較基準值更薄且較該點P1更薄之點P2處(22輪),微粒數50個便已達上限值,故非較佳結果。如前述,可理解到藉由縮小該壓力差,微粒之增加量會依序減少。又,如前述般,於此處之設置環境中,已知必須不讓冷卻機構74作動,即,在不流通冷卻氣體之情況下進行成膜電漿處理。
於此處,說明該壓力差與排氣風量之間的關係。該空間部82之容量(遮蔽框體72容量一處理容器24外殼的體積)為250公升左右,於該空間部82內流通有冷卻氣體時,預先藉由調整流量控制閥132之閥門開啟程度來將壓力差設定於-40~-100Pa範圍內。此時,壓力差為-40Pa之情況,排氣風量為0.45m3 /min左右,壓力差為-100Pa之情況,排氣風量為0.70m3 /min左右。該排氣風量可依設置電漿處理裝置之無塵室而任意設定。然後,實際上,將電漿處理裝置設置於無塵室時,使用流量控制閥132來將該壓力差預先設定為-40~100Pa範圍內,換言之,將排氣風量設定為0.45~0.70m3 /min左右範圍內的任意風量。
於此處,以該驗證實驗針對微粒為合格之壓力差0Pa時的待命時條件進行檢討。圖13係進行了1輪成膜用電漿處理(操作)後於待命狀態時的各部份溫度圖表。圖13中,關於曲線A~D、X1、X2,與圖9所述情況相同,曲線A係顯示圖8中TOP處熱電偶104A的溫度,曲線B係顯示圖8中CTP處熱電偶104B的溫度,曲線C係顯示圖8中CBT處熱電偶104C的溫度,曲線D則係顯示圖8中BTM處熱電偶104D的溫度。又,曲線X1係顯示圖8中處理容器24之點X1位置處的溫度,曲線X2係顯示處理容器24中點X2位置處的溫度。於此處,室溫設置為23~24℃,又,不針對遮蔽框體72內部空間部82進行排氣,壓力差為0Pa。
如圖13所示,於2點24分完成1輪操作時,各部份之溫度會慢慢降低。接著,於操作完成後,經過約7小時之9點24分以後,各部份之溫度便約略穩定,而形成待命狀態。此時,各熱電偶140A~140D之溫度穩定在約略33~34℃範圍內。即,待命時之熱電偶140A~140D的量測溫度達33℃以上,即使使用該電漿處理裝置來進行電漿處理(排氣風量=0之狀態),便可達成累積膜厚之基準值條件,即可達成微粒數50個之上限值條件。
換言之,於待命狀態時,藉由該流量控制閥132以預先設定好之排氣風量來於空間部82內流通有冷卻氣體之狀態下,該各熱電偶140A~140D之量測溫度只要達33℃以上,則於成膜用電漿處理時,較佳地便要讓冷卻機構74作動,即,讓第1及第2開關閥124、138皆為開啟狀態而流通冷卻氣體以冷卻處理容器24。
此時,就抑制微粒產生之觀點來看,亦可不流通冷卻氣體,但不流通冷卻氣體則處理容器溫度便會上昇。然後,溫度過度上昇時,於偶爾進行之清潔時,便難以將附著於容器內壁的不需要的膜去除。因此,較佳地應如前述般地流通冷卻氣體。
如此一來,依本發明變形實施例1,更具備有:溫度量測機構,係量測空間部內之環境氣體溫度;排氣通路,係設置於該排氣集流部與該排氣源之間處;以及閥機構,係設置於該排氣通路中途處,在將該電漿處理裝置維持於待命狀態之狀態下,針對該空間部之環境氣體以預先設定好之排氣風量進行排氣時,當該溫度量測機構之量測溫度較預先設定好之閾值溫度更低之情況,於該電漿處理時便形成關閉狀態。藉此,便可設定在例如堆積於處理容器內壁之不需要的膜不容易會剝落而掉下之條件。其結果,便可達到減少進行清潔之頻率以提高產能。
又,依本發明之電漿處理方法,便可設定在例如堆積於處理容器內壁之不需要的膜不容易會剝落而掉下之條件。其結果,便可達到減少進行清潔之頻率以提高產能。
另外,前述累積膜厚之基準值與微粒數之50個上限值僅為一範例,當然不限定於此。又,該實施例中,作為溫度量測機構140而設置有4個熱電偶140A~140D,但並不限定於此,至少只要有1個,較佳地設置有2個以上即可。
又,該各實施例中,遮蔽框體72內之環境氣體進行排氣之排氣源80雖係使用了會經常進行吸氣的工場導管83,但亦可取代該組件,抑或為了更強力地排氣而可於排氣通路106處追加介設有作為排氣源80的排氣泵,並於電漿處理中加以驅動。
又,於此處,排氣集流部78處雖使用了排氣匣體102等,但亦可取代該組件,而將與吸氣集流部76相同構造的氣體流通導管86與氣體流通孔88與氣體導入口90(用作氣體排氣口104)等安裝在遮蔽框體72上端部來作為排氣匣體102。
又,於此處,常溫(室溫)下之電漿處理係舉出犧牲氧化膜之成膜的範例來進行說明,但是當然並非限定於此,本發明可適用於所有不需加熱器而於室溫左右(23~27℃左右)所進行的電漿處理。又,於此處,雖係於處理容器24下端部設置吸氣集流部76,於上端部設置有排氣集流部78的遮蔽框體72內由下方朝向上方流通有冷卻氣體,但並非限定於此,亦可於處理容器24上端部設置吸氣集流部76,於下端部設置排氣集流部78,而於遮蔽框體72內由上方朝向下方流通冷卻氣體。
又,於此處,作為供氣側之冷卻氣體雖係使用了無塵室側的清淨氣體,但為了提高控制性亦可於供氣通路136中途設置有冷凝器等溫度控制器,以將導入至空間部82的冷卻氣體之溫度維持於固定溫度。又,於此處,雖係以處理容器24沿鉛直方向起立設置的縱式電漿處理裝置為範例進行說明,但並非限定於此,本發明亦可適用於將處理容器沿橫方向設置的橫型電漿處理裝置。
又,於此處,作為被處理體雖係以半導體晶圓之範例進行說明,但該半導體晶圓亦包含了矽基板與GaAs、SiC、GaN等化合物半導體基板,再者,亦不限定於該等基板,本發明亦可適用於液晶顯示裝置所使用之玻璃基板或陶瓷基板等。
依本發明之電漿處理裝置及電漿處理方法可發揮後述之優良作用效果。
將保持機構所保持之複數個被處理體收納至筒體狀處理容器內,導入必要氣體,對該等被處理體藉由活性化機構所產生之電漿來形成氣體活性種,並藉由該活性種來對被處理體實施電漿處理的電漿處理裝置中,係具備有為了遮斷高頻而包圍處理容器周圍般而設置且形成接地的筒體狀遮蔽框體、以及於電漿處理中沿著遮蔽框體與處理容器之間的空間部流通冷卻氣體的冷卻機構,由於能於電漿處理中藉由冷卻機構讓冷卻氣體沿處理容器外側流動而加以冷卻,故於遮蔽框體與處理容器之間的空間部處不會屯積因電漿所產生的熱量,其結果為,讓製程溫度於室溫程度之較低溫度帶區域內實施電漿處理時,可維持低製程溫度而提高電漿成膜處理等之電漿處理的再現性。
再者,更具備有:溫度量測機構,係量測該空間部內環境氣體之溫度;排氣通路,係設置於該排氣集流部與該排氣源之間處;以及閥機構,係設置於該排氣通路中途處,在將該電漿處理裝置維持於待命狀態之狀態下,針對該空間部之環境氣體以預先設定好之排氣風量進行排氣時,當該溫度量測機構之量測溫度較預先設定好之閾值溫度更低之情況,於該電漿處理時便形成關閉狀態。藉此,便可設定在例如堆積於處理容器內壁之不需要的膜不容易會剝落而掉下之條件。其結果,便可達到減少進行清潔之頻率以提高產能。
可設定在例如堆積於處理容器內壁之不需要的膜不容易會剝落而掉下之條件。其結果,便可達到減少進行清潔之頻率以提高產能。
以上,根據各實施形態所進行之本發明的說明已盡充分說明以促進發明之理解,而為有助於技術能更加進步之記載。因此,本發明並非限定於實施形態所示要件。又,實施形態中的例示並非意味其優缺點。實施形態雖已詳細記載了本發明,但在不脫離發明主旨之範圍內亦可進行各式各樣之變更、置換、改變。
本申請案係以2009年5月1日於日本提出申請之日本國特願2009-112319號及2010年2月24日於日本提出申請之日本國特願2010-039446號來作為優先權主張之基礎案,於此根據前述主張優先權,並參考其全部內容寫入本申請案。
22...電漿處理裝置
24...處理容器
26...頂板
28...晶舟(保持機構)
28A...支柱
30...保溫筒
34...蓋部
36...迴轉軸
38...密封材
40...密封材組件
42...手臂
44...基座板
46...第1氣體供給機構
46A...氣體通路
46B...流量控制器
46C...開關閥
48...第2氣體供給機構
48A...氣體通路
48B...流量控制器
48C...開關閥
50...第1氣體噴嘴
50A...氣體噴射孔
52...第2氣體噴嘴
52A...氣體噴射孔
54...排氣口
56...真空排氣系
56A...壓力調整閥
56B...真空泵
58...活性化機構
60...分隔壁
62...電漿形成匣體
64...電漿電極
66...高頻電源
68...開口
70...供電線路
71...匹配電路
72...遮蔽框體
74...冷卻機構
76...吸氣集流部
78...排氣集流部
80...排氣源
82...空間部
83...工場導管
84、120...箭頭
86...氣體流通導管
88...氣體流通孔
90...氣體導入口
92...冷卻氣體導引導管
94...氣體入口
96...開口
98...端板
100...氣體流通孔
100A...沖孔
102...排氣匣體
104...氣體排氣口
106...排氣通路
113...流量控制閥
114...裝置控制部
116...記憶媒體
130...閥機構
132...流量控制閥(壓力調整閥)
134...第1開關閥
136...供氣通路
138...第2開關閥
140...溫度量測機構
140A~140D...熱電偶
W...半導體晶圓(被處理體)
圖1係本發明電漿處理裝置之一範例的縱剖面結構圖。
圖2係電漿處理裝置的橫剖面圖。
圖3係遮蔽框體與冷卻機構的部份概略立體圖。
圖4A與圖4B係顯示吸氣集流部之狀態的分解立體圖。
圖5係排氣集流部的橫剖面圖。
圖6A與圖6B係連續進行批次處理時,於處理中之空間部的溫度變化圖表。
圖7A、7B、7C、7D係由圖6所示圖表結果所求出之空間部的溫度差之圖式。
圖8係本發明變形實施例1之電漿處理裝置的一範例之概略結構圖。
圖9係本發明變形實施例1之遮蔽框體內環境氣體和大氣間的壓力差、與遮蔽框體內部溫度之間的關係圖表。
圖10係壓力差為0Pa時,操作次數、與微粒數及累積膜厚之間的關係圖表。
圖11係壓力差為60Pa時,操作次數、與微粒數及累積膜厚之間的關係圖表。
圖12係壓力差為130Pa時,操作次數、與微粒數及累積膜厚之間的關係圖表。
圖13係電漿處理(操作)結束後遮蔽框體內的溫度變化圖表。
圖14係習知縱型電漿處理裝置之一範例的概略模式圖。
22...電漿處理裝置
24...處理容器
26...頂板
28...晶舟(保持機構)
28A...支柱
30...保溫筒
34...蓋部
36...迴轉軸
38...密封材
40...密封材組件
42...手臂
44...基座板
46...第1氣體供給機構
46A...氣體通路
46B...流量控制器
46C...開關閥
48...第2氣體供給機構
48A...氣體通路
48B...流量控制器
48C...開關閥
50...第1氣體噴嘴
50A...氣體噴射孔
52...第2氣體噴嘴
52A...氣體噴射孔
54...排氣口
56...真空排氣系
56A...壓力調整閥
56B...真空泵
58...活性化機構
60...分隔壁
62...電漿形成匣體
64...電漿電極
66...高頻電源
68...開口
70...供電線路
71...匹配電路
72...遮蔽框體
74...冷卻機構
76...吸氣集流部
78...排氣集流部
80...排氣源
82...空間部
83...工場導管
84、120...箭頭
86...氣體流通導管
88...氣體流通孔
90...氣體導入口
92...冷卻氣體導引導管
94...氣體入口
96...開口
98...端板
100...氣體流通孔
100A...沖孔
102...排氣匣體
104...氣體排氣口
106...排氣通路
113...流量控制閥
114...裝置控制部
116...記憶媒體
W...半導體晶圓(被處理體)

Claims (20)

  1. 一種電漿處理裝置,係具有可抽真空之筒體狀處理容器、保持複數個被處理體且插入/脫離該處理容器內的保持機構、將氣體供給至該處理容器內的氣體供給機構、以及沿著該處理容器之長度方向設置以藉由高頻電功率所產生之電漿來讓該氣體活性化的活性化機構,而能針對該被處理體實施電漿處理,其中該活性化機構具備有:電漿形成匣體,係沿處理容器之長邊方向所設置的電漿分隔壁所區分形成;電漿電極,係於該電漿分隔壁處沿其長邊方向所設置;高頻電源,係連接至該電漿電極;筒體狀遮蔽框體,係為了遮斷高頻而包圍該處理容器周圍般地設置,且形成接地;以及冷卻機構,係包含有具有阻塞該遮蔽框體上端面,並形成有冷卻氣體流通孔而由對於高頻具有遮蔽機能的金屬板所形成之端板的排氣集流部,在該電漿處理中沿著該遮蔽框體與該處理容器之間的空間部流通冷卻氣體。
  2. 如申請專利範圍第1項之電漿處理裝置,其中該冷卻機構具有:吸氣集流部,係設置於該遮蔽框體一端處而用以吸入該冷卻氣體;以及 排氣集流部,係設置於該遮蔽框體之另一端處並連接至排氣源以將該遮蔽框體內之環境氣體排出。
  3. 如申請專利範圍第2項之電漿處理裝置,其中該排氣源係用以將裝置內環境氣體排出的導管,且為設置該電漿處理裝置之工場所具有的設施。
  4. 如申請專利範圍第2項之電漿處理裝置,其中該排氣源係排氣泵。
  5. 如申請專利範圍第2項之電漿處理裝置,其中該吸氣集流部具有:氣體流通導管,係位於該遮蔽框體側壁處並沿著其圓周方向設置;冷卻氣體流通孔,係位於該遮蔽框體側壁處並沿著其圓周方向以特定間隔所形成,以連通該氣體流通導管與該遮蔽框體內部;以及氣體導入口,係設置於該氣體流通導管處而用以吸入該冷卻氣體。
  6. 如申請專利範圍第5項之電漿處理裝置,其中該冷卻氣體流通孔安裝有形成了複數個孔的沖孔金屬板。
  7. 如申請專利範圍第2項之電漿處理裝置,其中該排氣集流部具有:箱狀排氣匣體,係包圍而覆蓋該冷卻氣體流通孔般而設置;氣體排氣口,係設置於該排氣匣體處;以及 排氣通路,係連接至該氣體排氣口且連通至該排氣源。
  8. 如申請專利範圍第7項之電漿處理裝置,其中該冷卻氣體流通孔安裝有形成了複數個孔的沖孔金屬板。
  9. 如申請專利範圍第2項之電漿處理裝置,其中該冷卻氣體係設置有該電漿處理裝置之工場的無塵室內之環境氣體。
  10. 如申請專利範圍第2項之電漿處理裝置,其中該處理容器係沿鉛直方向縱向延伸而設置。
  11. 如申請專利範圍第10項之電漿處理裝置,其中該吸氣集流部係設置於該遮蔽框體之下端部,該排氣集流部係設置於該遮蔽框體之上端部。
  12. 如申請專利範圍第10項之電漿處理裝置,其中該吸氣集流部係設置於該遮蔽框體之上端部,該排氣集流部係設置於該遮蔽框體之下端部。
  13. 如申請專利範圍第2項之電漿處理裝置,其中具備有:溫度量測機構,係量測該空間部內環境氣體之溫度;排氣通路,係設置於該排氣集流部與該排氣源之間處;以及閥機構,係設置於該排氣通路中途處,在將該電漿處理裝置維持於待命狀態之狀態下,針對該空間部 之環境氣體以預先設定好之排氣風量進行排氣時,當該溫度量測機構之量測溫度較預先設定好之閾值溫度更低之情況,於該電漿處理時便形成關閉狀態。
  14. 如申請專利範圍第13項之電漿處理裝置,其中該閥機構包含有壓力調整閥與開關閥。
  15. 如申請專利範圍第13項之電漿處理裝置,其中該吸氣集流部係連接至供氣通路,且該供氣通路中途介設有開關閥,在將該電漿處理裝置維持於待命狀態之狀態下,針對該空間部之環境氣體以預先設定好之排氣風量進行排氣時,當該溫度量測機構之量測溫度較預先設定好之閾值溫度更低之情況,於該電漿處理時便形成關閉狀態。
  16. 如申請專利範圍第13項之電漿處理裝置,其中該氣體係包含有作為成膜用氣體之胺基矽烷系氣體。
  17. 如申請專利範圍第13項之電漿處理裝置,其中該閾值溫度為33℃。
  18. 一種電漿處理方法,係為了將電漿處理裝置內部之環境氣體排出而供給及排出氣體,藉以冷卻該內部並對處理對象物進行電漿處理,具有下列步驟:在未產生電漿之狀態下,量測該電漿處理裝置之該內部的該環境氣體之溫度;以及針對環境氣體以預先設定好之排氣風量進行排氣時,當該量測出的溫度較預先設定好之第1閾值溫 度更低之情況,於該電漿處理中便不將該氣體供給至該電漿處理裝置之該內部。
  19. 如申請專利範圍第18項之電漿處理方法,其中當該空間部之環境氣體溫度達該閾值溫度以上之情況,便在供給及排出該氣體之狀態下、在供給或排出該氣體之狀態下、抑或在不供給亦不排出該氣體之狀態下,進行該電漿處理。
  20. 如申請專利範圍第18項之電漿處理方法,其中更具有下列步驟:在未產生有電漿之狀態下,量測該電漿處理裝置之該內部中存在有電漿之其他部份以外的一部份處的環境氣體溫度;以及針對該內部之該一部份處之該環境氣體以該預先設定好之排氣風量進行排氣時,當該一部份處之該環境氣體之該量測出的溫度較預先設定好之第2閾值溫度更低之情況,於該電漿處理中便不將該氣體供給至該電漿處理裝置之該內部的該一部份處。
TW099113780A 2009-05-01 2010-04-30 電漿處理裝置及電漿處理方法 TWI446442B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009112319 2009-05-01
JP2010039446A JP5136574B2 (ja) 2009-05-01 2010-02-24 プラズマ処理装置及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
TW201126603A TW201126603A (en) 2011-08-01
TWI446442B true TWI446442B (zh) 2014-07-21

Family

ID=43019828

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099113780A TWI446442B (zh) 2009-05-01 2010-04-30 電漿處理裝置及電漿處理方法

Country Status (5)

Country Link
US (2) US8683943B2 (zh)
JP (1) JP5136574B2 (zh)
KR (1) KR101579319B1 (zh)
CN (1) CN101877304B (zh)
TW (1) TWI446442B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI785510B (zh) * 2020-03-09 2022-12-01 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及記錄媒體
TWI789573B (zh) * 2019-03-25 2023-01-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
CN102776488B (zh) * 2011-05-10 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 化学气相沉积反应腔装置及具有其的化学气相沉积设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013062317A (ja) * 2011-09-12 2013-04-04 Tokyo Electron Ltd 基板冷却機構および基板冷却方法ならびに熱処理装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103103500B (zh) * 2011-11-11 2015-05-13 中国科学院沈阳科学仪器研制中心有限公司 一种用于pecvd多点进气多区可调装置
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
CN104094677A (zh) * 2012-02-17 2014-10-08 国立大学法人东北大学 等离子处理装置和等离子处理方法
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
TW201405655A (zh) * 2012-07-27 2014-02-01 Ingentec Corp 具有多腔體之氣相蝕刻設備
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6162980B2 (ja) * 2013-03-01 2017-07-12 株式会社日立国際電気 プラズマ処理装置及びプラズマ処理方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
CN104302084B (zh) * 2013-07-17 2017-04-12 朗姆研究公司 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104715992B (zh) * 2013-12-13 2018-02-09 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其冷却装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104862666B (zh) * 2014-02-25 2018-03-27 上海理想万里晖薄膜设备有限公司 一种用于制备amoled的pecvd装置
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104941957B (zh) * 2014-03-24 2018-01-12 睿励科学仪器(上海)有限公司 晶圆清洁装置及方法
WO2015145663A1 (ja) 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP6307984B2 (ja) * 2014-03-31 2018-04-11 東京エレクトロン株式会社 基板処理装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP2019514222A (ja) * 2016-04-13 2019-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 排気冷却用装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN105870775B (zh) * 2016-05-24 2020-07-10 中国人民解放军战略支援部队航天工程大学 一种用于激光锁频的一体化惰性气体频率基准装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102146600B1 (ko) * 2016-08-01 2020-08-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN107680915B (zh) * 2016-08-02 2020-11-10 北京北方华创微电子装备有限公司 等离子体源的冷却机构及半导体加工设备
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7342138B2 (ja) * 2019-09-02 2023-09-11 株式会社Kokusai Electric 基板処理装置、プラズマ生成装置、半導体装置の製造方法、プラズマ生成方法およびプログラム
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7455013B2 (ja) * 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
US20220064785A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Apparatus and methods for gas phase particle reduction
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
JP7478832B2 (ja) 2020-09-29 2024-05-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114836736A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 等离子体镀膜设备和镀膜方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4858557A (en) * 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
JPS6167769A (ja) * 1984-09-07 1986-04-07 Canon Inc 成膜装置
JP2532239B2 (ja) * 1987-04-06 1996-09-11 セイコー電子工業株式会社 マイクロ波プラズマcvd装置
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5128515A (en) * 1990-05-21 1992-07-07 Tokyo Electron Sagami Limited Heating apparatus
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP2755876B2 (ja) * 1992-07-30 1998-05-25 株式会社東芝 熱処理成膜装置
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH0786174A (ja) * 1993-09-16 1995-03-31 Tokyo Electron Ltd 成膜装置
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH08274067A (ja) * 1995-03-30 1996-10-18 Hitachi Ltd プラズマ発生装置
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
JP3164195B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US6273955B1 (en) * 1995-08-28 2001-08-14 Canon Kabushiki Kaisha Film forming apparatus
JP3341965B2 (ja) * 1995-10-19 2002-11-05 東京応化工業株式会社 縦型同軸プラズマ処理装置
TW506620U (en) * 1996-03-15 2002-10-11 Asahi Glass Co Ltd Low pressure CVD apparatus
JP3591977B2 (ja) * 1996-03-18 2004-11-24 キヤノン株式会社 マイクロ波プラズマcvd法を用いた膜堆積方法および膜堆積装置
JPH09298162A (ja) * 1996-04-30 1997-11-18 Shinko Electric Co Ltd 真空式半導体製造装置におけるヒータの冷却方法
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
JP4054159B2 (ja) * 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6738683B1 (en) * 2000-09-05 2004-05-18 Cxe Equipment Services, Llc Apparatus and method for cleaning a bell jar in a barrel epitaxial reactor
JP2002168551A (ja) * 2000-11-30 2002-06-14 Tokyo Electron Ltd 処理装置の電極用冷却装置
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP2004006536A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜製造方法及び装置
JP2004063663A (ja) * 2002-07-26 2004-02-26 Hitachi Kokusai Electric Inc 半導体製造装置
US6727194B2 (en) * 2002-08-02 2004-04-27 Wafermasters, Inc. Wafer batch processing system and method
JP2004165377A (ja) * 2002-11-12 2004-06-10 Canon Inc 表面改質方法
WO2004066377A1 (ja) * 2003-01-24 2004-08-05 Tokyo Electron Limited 被処理基板上にシリコン窒化膜を形成するcvd方法
US20060260544A1 (en) * 2003-03-04 2006-11-23 Hitachi Kokusai Electric Inc. Substrate processing and method of manufacturing device
US20050145341A1 (en) * 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP2005197523A (ja) * 2004-01-08 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
JP4526540B2 (ja) * 2004-12-28 2010-08-18 株式会社日立国際電気 基板処理装置および基板処理方法
KR100909750B1 (ko) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 디바이스의 제조 방법
ES2373915T3 (es) * 2005-03-07 2012-02-10 Sharp Kabushiki Kaisha Aparato para tratamiento con plasma y método de manufactura de película de gas semiconductora utilizando el mismo.
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2007067119A (ja) * 2005-08-30 2007-03-15 Elpida Memory Inc 半導体製造装置
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4857849B2 (ja) 2006-03-24 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
JP2009026779A (ja) * 2007-07-17 2009-02-05 Hitachi High-Technologies Corp 真空処理装置
JP5401286B2 (ja) * 2009-12-04 2014-01-29 株式会社日立ハイテクノロジーズ 試料台の温度制御機能を備えた真空処理装置及びプラズマ処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI789573B (zh) * 2019-03-25 2023-01-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體
TWI785510B (zh) * 2020-03-09 2022-12-01 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及記錄媒體

Also Published As

Publication number Publication date
KR20100119726A (ko) 2010-11-10
US20100278999A1 (en) 2010-11-04
US8683943B2 (en) 2014-04-01
JP5136574B2 (ja) 2013-02-06
CN101877304A (zh) 2010-11-03
KR101579319B1 (ko) 2015-12-21
US20140150882A1 (en) 2014-06-05
CN101877304B (zh) 2014-06-25
TW201126603A (en) 2011-08-01
US9447926B2 (en) 2016-09-20
JP2010283331A (ja) 2010-12-16

Similar Documents

Publication Publication Date Title
TWI446442B (zh) 電漿處理裝置及電漿處理方法
JP4470970B2 (ja) プラズマ処理装置
US10692784B2 (en) Vacuum processing apparatus
TW323387B (zh)
JP4857849B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI443714B (zh) 成膜裝置及使用其之方法
US9816183B2 (en) Substrate processing apparatus
KR101656790B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체
JP5444044B2 (ja) プラズマ処理装置及びシャワーヘッド
JP5367522B2 (ja) プラズマ処理装置及びシャワーヘッド
KR20160128211A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20060092966A (ko) 누설 검출기 및 처리 가스 모니터
KR101669752B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2015198111A (ja) 基板処理装置
US20160265107A1 (en) Substrate holder and substrate processing apparatus
KR100948984B1 (ko) 기판 탑재대, 기판 탑재대의 제조 방법, 기판 처리 장치,유체 공급기구
US20080142160A1 (en) Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
JP2016058536A (ja) プラズマ処理装置及びクリーニング方法
JP2009212178A (ja) プラズマ処理装置及びプラズマ処理方法
JP2021132206A (ja) 部品洗浄方法及び装置
TWI824368B (zh) 上部電極單元及包含其的基板處理設備
JP2004119448A (ja) プラズマエッチング装置およびプラズマエッチング方法
KR102299887B1 (ko) 기판 처리 장치 그리고 기판 처리 방법
JP2021077662A (ja) ウエハ、クリーニング方法、基板処理装置およびプラズマ処理システム
JP5143083B2 (ja) 基板処理装置、半導体デバイスの製造方法及び基板載置台