KR20100119726A - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR20100119726A
KR20100119726A KR1020100040640A KR20100040640A KR20100119726A KR 20100119726 A KR20100119726 A KR 20100119726A KR 1020100040640 A KR1020100040640 A KR 1020100040640A KR 20100040640 A KR20100040640 A KR 20100040640A KR 20100119726 A KR20100119726 A KR 20100119726A
Authority
KR
South Korea
Prior art keywords
gas
plasma processing
plasma
processing apparatus
exhaust
Prior art date
Application number
KR1020100040640A
Other languages
English (en)
Other versions
KR101579319B1 (ko
Inventor
나오미 오노데라
기요히꼬 고꼰
쥰 사또오
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100119726A publication Critical patent/KR20100119726A/ko
Application granted granted Critical
Publication of KR101579319B1 publication Critical patent/KR101579319B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • F17D3/01Arrangements for supervising or controlling working operations for controlling, signalling, or supervising the conveyance of a product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

진공화 가능하게 된 통체 형상의 처리 용기와, 복수의 피처리체를 보유 지지하여 상기 처리 용기 내로 삽입 분리되는 보유 지지 수단과, 상기 처리 용기 내로 가스를 공급하는 가스 공급 수단과, 상기 처리 용기의 길이 방향을 따라서 설치되어 상기 가스를 고주파 전력에 의해 발생한 플라즈마에 의해 활성화하는 활성화 수단을 갖고, 상기 피처리체에 대해 플라즈마 처리를 실시하도록 이루어진 플라즈마 처리 장치에 있어서, 고주파를 차단하기 위해 상기 처리 용기의 주위를 둘러싸도록 하여 설치되는 동시에 접지된 통체 형상의 실드 하우징과, 상기 플라즈마 처리 중에 상기 실드 하우징과 상기 처리 용기 사이의 공간부를 따라서 냉각 기체를 흘리는 냉각 기구를 구비한 플라즈마 처리 장치이다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESS APPARATUS AND PLASMA PROCESS METHOD}
본원은 2009년 5월 1일 출원의 일본 특허 출원 제2009-112319호 및 2010년 2월 24일 출원의 일본 특허 출원 제2010-039446호를 우선권 주장의 기초 출원으로 하고 있고, 여기서 이것에 기초하는 우선권을 주장하는 동시에, 그 전체 내용을 참조에 의해 삽입한다.
본 발명은 반도체 웨이퍼 등의 피처리체에 플라즈마를 사용하여 실온 정도의 온도 대역에서 성막 처리를 실시하기 위한 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다.
일반적으로, 반도체 집적 회로를 제조하기 위해서는, 실리콘 기판 등으로 이루어지는 반도체 웨이퍼에 대해, 성막 처리, 에칭 처리, 산화 처리, 확산 처리, 개질 처리, 자연 산화막의 제거 처리 등의 각종 처리가 행해진다. 그리고, 최근에 있어서는, 성막 재료의 내열성 등을 고려하여 프로세스 처리 시에 있어서의 저온화가 요구되고 있고, 이에 대응하여 프로세스 시의 웨이퍼 온도가 낮아도 반응을 촉진시킬 수 있는 플라즈마를 사용한 플라즈마 처리 장치가 제안되어 있다(특허 문헌 1 내지 4).
상기한 플라즈마 처리 장치의 일례를 설명하면, 도 14는 상기한 종래의 종형의 플라즈마 처리 장치의 일례를 도시하는 개략 모식도이다. 도 14에 있어서, 내부 분위기를 진공화 가능한 석영제의 원통체 형상의 처리 용기(2) 내에는 반도체 웨이퍼(W)가 회전 가능하게 된 다단의 웨이퍼 보트(4)에 지지되어 있다. 이 웨이퍼 보트(4)는 처리 용기(2)의 하방으로부터 승강되어 이 처리 용기(2) 내에 삽입 분리할 수 있도록 되어 있다. 또한, 이 처리 용기(2)의 하단부는 덮개부(6)에 의해 기밀하게 폐쇄되도록 되어 있다. 이 처리 용기(2)의 측벽에는, 이 높이 방향을 따라서 단면이 직사각 형상으로 된 플라즈마 형성 박스(8)가 설치되어 있다. 그리고, 이 플라즈마 형성 박스(8) 내에 플라즈마에 의해 활성화되는 가스를 흘리는 가스 노즐(10)이 설치되어 있다.
그리고, 이 플라즈마 형성 박스(8)의 구획벽의 외측 양측에, 각각 독립된 플라즈마 전극(12)을 플라즈마 형성 박스(8)의 높이 방향을 따라서 서로 대향시켜 한 쌍 설치하고, 이 양 플라즈마 전극(12) 사이의 영역에 플라즈마 발생용 고주파 전원(14)으로부터의, 예를 들어 13.56㎒의 고주파 전력을 인가하도록 되어 있다. 또한, 이 처리 용기(2)의 외측에는 천장부의 외측도 포함시켜 단열재(16)가 설치된다. 또한, 이 단열재(16)의 내측의 측면에는 상기 반도체 웨이퍼(W)를 가열하기 위해 가열 히터(18)가 설치된다. 그리고, 이 단열재(16)의 외측면에는 천장부를 포함시켜 실드 하우징(20)이 설치되는 동시에, 이 실드 하우징(20)은 접지되어, 고주파가 외부로 누출되는 것을 방지하도록 되어 있다.
이와 같은 구성에 있어서, 상기 플라즈마 전극(12) 사이의 영역에 고주파 전력이 인가되면 플라즈마가 발생한다. 이 플라즈마에 의해 플라즈마 형성 박스(8) 내에 공급된 가스가 활성화되어 활성종이 발생한다. 이에 의해, 웨이퍼(W)의 가열 온도가 낮아도 상기 발생한 활성종에 의해 반응 등이 촉진되게 된다.
[특허 문헌 1] 일본 특허 출원 공개 제2006-049809호 공보 [특허 문헌 2] 일본 특허 출원 공개 제2006-270016호 공보 [특허 문헌 3] 일본 특허 출원 공개 제2007-42823호 공보 [특허 문헌 4] 국제 공개 2006/093136호 공보
그런데, 최근에 있어서는, 새로운 기술로서 MEMS(Micro Electro Mechanical Systems)인 미세 가공 기술에 의해 조립하는 기술이 제안되어 있다. 이 MEMS 기술에 있어서는, 압력 센서나 마이크로폰 등의 1개의 3차원의 장치를 작은 칩 내에 미세 가공 기술에 의해 조립하는 기술이다. 그 중에는 회전자를 갖는 미세 모터를 조립하는 MEMS 기술의 예도 있다. 예를 들어, 상기 미세 모터를 형성하는 경우에는 회전 가능한 미세한 회전자를 형성할 필요가 있다. 따라서, 이 회전자에 상당하는 미세한 부품의 전체 주위를 미리 산화막으로 감싸도록 형성하고, 그리고, 그 주변부를, 상기 회전자를 수용하는 케이스를 박막으로 형성한다. 그 후, 상기 산화막의 전체를 에칭에 의해 제거함으로써 케이스 내를 공동화시킨다. 이와 같이 하여, 상기 미세한 회전자를 케이스 내에서 스스로 회전을 할 수 있는 구조로 마무리하고 있다.
이와 같이, 장치 자체에는 최종적으로는 남지 않고, 제조 공정의 도중에 필요로 인해 형성되고, 그 후에 제거되는 박막을 희생층이라고 부르고, 그것이 산화막인 경우에는 희생 산화막이라고 부른다. 이와 같은 희생층, 혹은 희생 산화막은 나중에 제거되므로 막질 특성 등은 특별히 문제되지 않는다. 따라서, 통상의 게이트 산화막이나 층간 절연막 등의 높은 막질 특성이 요구되는 산화막 등을 성막할 때에는, 높은 막질 특성을 얻기 위해 비교적 온도가 높은 고온 하에서 성막 처리를 행할 필요가 있다. 한편, 이와 같은 희생 산화막을 형성하기 위해서는, 실온 등의 저온 영역에서 성막할 수 있는 기술이 개발되어 있다.
상기 희생 산화막을 성막하기 위해서는, 예를 들어 성막 장치로서 도 14에서 설명한 바와 같은 플라즈마 처리 장치를 사용하고, 원료 가스로서 DIPAS(디이소프로필아미노실란) 등의 아미노실란계 가스와 플라즈마에 의해 발생한 오존 등의 활성종을 사용하여, 실온 정도의 비교적 저온에서 상기 희생 산화막을 형성하도록 되어 있다.
그러나, 상기한 희생 산화막을 형성하기 위해, 도 14에서 설명한 바와 같은 플라즈마 처리 장치를 사용하면, 뱃치 처리를 할 때마다 처리 용기(2)와 단열재(16) 사이의 공간 부분에 플라즈마에 의해 발생한 열이 축적되어 열이 가득차게 되고, 이 결과, 연속해서 뱃치 처리를 행하면, 뱃치 처리마다 프로세스 온도가 점차 상승해 버려, 성막의 재현성이 저하되어 버리는 등의 문제가 발생하고 있었다.
따라서, 본 발명의 실시예는 상기 사정을 감안하여 이것을 해결하기 위해 이루어진 것으로, 신규이고 또한 유용한 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공하는 것이다. 보다 상세하게는, 본 발명의 실시예는,
프로세스 온도가 실온 정도의 낮은 온도 대역에서 플라즈마 처리할 때에, 그 프로세스 온도를 낮게 유지하여 플라즈마 성막 처리 등의 플라즈마 처리의 재현성을 향상시키는 것이 가능한 플라즈마 처리 장치를 제공한다.
또한, 본 발명의 실시예는 클리닝 빈도를 적게 하여 처리량을 향상시키는 것이 가능한 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공한다.
본 발명의 하나의 관점에 따르면, 진공화 가능한 통체 형상의 처리 용기와, 복수의 피처리체를 보유 지지하여 상기 처리 용기 내로 삽입 분리되는 보유 지지 수단과, 상기 처리 용기 내로 가스를 공급하는 가스 공급 수단과, 상기 처리 용기의 길이 방향을 따라서 설치되어 상기 가스를 고주파 전력에 의해 발생한 플라즈마에 의해 활성화하는 활성화 수단을 갖고, 상기 피처리체에 대해 플라즈마 처리를 실시하도록 된 플라즈마 처리 장치에 있어서, 고주파를 차단하기 위해 상기 처리 용기의 주위를 둘러싸도록 하여 설치되는 동시에 접지된 통체 형상의 실드 하우징과, 상기 플라즈마 처리 중에 상기 실드 하우징과 상기 처리 용기 사이의 공간부를 따라서 냉각 기체를 흘리는 냉각 기구를 구비하도록 구성한 것을 특징으로 하는 플라즈마 처리 장치이다.
이와 같이, 통체 형상의 처리 용기 내에 보유 지지 수단에 보유 지지된 복수의 피처리체를 수용하여 필요한 가스를 도입하고, 이들 피처리체를 활성화 수단에 의해 발생한 플라즈마에 의해 가스의 활성종을 형성하고, 이 활성종에 의해 피처리체에 플라즈마 처리를 실시하도록 한 플라즈마 처리 장치에 있어서, 고주파를 차단하기 위해 처리 용기의 주위를 둘러싸도록 하여 설치되는 동시에 접지된 통체 형상의 실드 하우징과, 플라즈마 처리 중에 실드 하우징과 처리 용기 사이의 공간부를 따라서 냉각 기체를 흘리는 냉각 기구를 구비하고, 플라즈마 처리 중에 냉각 기구에 의해 처리 용기의 외측을 따라서 냉각 기체를 흘려 냉각하도록 하였으므로, 실드 하우징과 처리 용기 사이의 공간부에 플라즈마로부터 발생한 열이 축적되는 경우가 없어지고, 그 결과, 프로세스 온도가 실온 정도의 낮은 온도 대역에서 플라즈마 처리할 때에, 그 프로세스 온도를 낮게 유지하여 플라즈마 성막 처리 등의 플라즈마 처리의 재현성을 향상시키는 것이 가능해진다.
본 발명의 다른 하나의 관점에 따르면, 상기 공간부 내의 분위기의 온도를 측정하는 온도 측정 수단과, 상기 배기 헤더부와 상기 배기원 사이에 설치된 배기로와, 상기 배기로의 도중에 개재 설치되어, 상기 플라즈마 처리 장치를 스탠바이 상태로 유지한 상태에서 상기 공간부의 분위기를 미리 설정된 배기 풍량으로 배기했을 때의 상기 온도 측정 수단의 측정 온도가 미리 정해진 임계치 온도보다도 낮은 경우에는, 상기 플라즈마 처리 시에는 폐쇄된 상태로 되는 밸브 기구를 구비하는 것을 특징으로 한다.
이와 같이, 공간부 내의 분위기의 온도를 측정하는 온도 측정 수단과, 배기 헤더부와 배기원 사이에 설치된 배기로와, 배기로의 도중에 개재 설치되어, 플라즈마 처리 장치를 스탠바이 상태로 유지한 상태에서 공간부의 분위기를 미리 설정된 배기 풍량으로 배기했을 때의 온도 측정 수단의 측정 온도가 미리 정해진 임계치 온도보다도 낮은 경우에는, 플라즈마 처리 시에는 폐쇄된 상태로 되는 밸브 기구를 더 구비함으로써, 예를 들어 처리 용기의 내벽에 퇴적되는 불필요한 막이 박리되기 어려워지는 조건을 설정할 수 있다. 이 결과, 클리닝 빈도를 적게 하여 처리량의 향상을 도모하는 것이 가능해진다.
본 발명의 다른 하나의 관점에 따르면, 플라즈마 처리 장치의 내부의 분위기를 배기하기 위해 가스를 공급 및 배출함으로써 당해 내부를 냉각 가능하게 처리 대상물을 플라즈마 처리하는 플라즈마 처리 방법이고, 플라즈마가 생성되어 있지 않은 상태에서, 상기 플라즈마 처리 장치의 상기 내부의 상기 분위기의 온도를 측정하는 스텝과, 상기 분위기가 미리 설정된 배기 풍량으로 배기되었을 때의 상기 측정된 온도가 미리 설정된 제1 임계치 온도보다 낮을 때에는, 상기 플라즈마 처리 중에 상기 플라즈마 처리 장치의 상기 내부로의 상기 가스의 공급을 하지 않는 스텝을 갖는 플라즈마 처리 방법이다.
이에 의해, 예를 들어 처리 용기의 내벽에 퇴적되는 불필요한 막이 박리되기 어려워지는 조건을 설정할 수 있다. 이 결과, 클리닝 빈도를 적게 하여 처리량의 향상을 도모하는 것이 가능해진다.
또한 본 발명의 목적과 이점은, 일부는 명세서에 기재되고, 일부는 명세서로부터 자명하다. 본 발명의 목적과 이점은 첨부한 클레임에서 특히 지적되는 요소와 그 조합에 의해 실현되어 달성된다. 상기한 일반적인 기재와 하기의 상세한 설명은 예시로서 설명하는 것으로, 클레임된 본 발명을 한정적으로 하는 것은 아니다.
본 발명에 따르면, 프로세스 온도가 실온 정도의 낮은 온도 대역에서 플라즈마 처리할 때에, 그 프로세스 온도를 낮게 유지하여 플라즈마 성막 처리 등의 플라즈마 처리의 재현성을 향상시키는 것이 가능한 플라즈마 처리 장치를 제공할 수 있다.
또한, 클리닝 빈도를 적게 하여 처리량을 향상시키는 것이 가능한 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공할 수 있다.
도 1은 본 발명에 관한 플라즈마 처리 장치의 일례를 도시하는 종단면 구성도.
도 2는 플라즈마 처리 장치를 도시하는 횡단면도.
도 3은 실드 하우징과 냉각 기구를 도시하는 부분 개략 사시도.
도 4a와 도 4b는 흡기 헤더부의 상태를 도시하는 분해 사시도.
도 5는 배기 헤더부를 도시하는 횡단면도.
도 6a와 도 6b는 뱃치 처리를 연속해서 행하였을 때의 처리 중에 있어서의 공간부의 온도 변화를 나타내는 그래프.
도 7a, 도 7b, 도 7c는 도 6에 나타내는 그래프의 결과로부터 구한 공간부의 온도차를 나타내는 도면.
도 8은 본 발명의 제1 변형 실시예에 관한 플라즈마 처리 장치의 일례를 도시하는 개략 구성도.
도 9는 본 발명의 제1 변형 실시예에 있어서의 실드 하우징 내 분위기와 대기의 차압과 실드 하우징의 내부의 온도의 관계를 나타내는 그래프.
도 10은 차압이 0㎩일 때의 런수와 파티클수 및 누적 막 두께의 관계를 나타내는 그래프.
도 11은 차압이 60㎩일 때의 런수와 파티클수 및 누적 막 두께의 관계를 나타내는 그래프.
도 12는 차압이 130㎩일 때의 런수와 파티클수 및 누적 막 두께의 관계를 나타내는 그래프.
도 13은 플라즈마 처리(런) 종료 후의 실드 하우징 내의 온도 변화를 나타내는 그래프.
도 14는 종래의 종형의 플라즈마 처리 장치의 일례를 도시하는 개략 모식도.
이하에, 도 1 내지 도 14를 사용하여 본원의 실시예를 설명한다.
도 1은 본 발명에 관한 플라즈마 처리 장치의 일례를 도시하는 종단면 구성도, 도 2는 플라즈마 처리 장치를 도시하는 횡단면도, 도 3은 실드 하우징과 냉각 기구를 도시하는 부분 개략 사시도, 도 4는 흡기 헤더부의 상태를 도시하는 분해 사시도, 도 5는 배기 헤더부를 도시하는 횡단면도이다.
도 1 및 도 2에 도시한 바와 같이, 본 발명의 플라즈마 처리 장치(22)는 연직 방향으로 설치되어 하단부가 개방된 천장이 있는 세로로 긴 원통체 형상의 처리 용기(24)를 갖고 있다. 이 처리 용기(24)의 전체는, 예를 들어 석영에 의해 형성되어 있고, 이 처리 용기(24) 내의 천장에는 석영제의 천장판(26)이 설치되어 밀봉되어 있다. 또한, 이 처리 용기(24)의 하단부는 배기 특성의 향상을 위해 그 내경이 약간 크게 설정되고, 그 하단부는 개방되어 있다. 이 하단부에, 예를 들어 스테인리스 스틸제의 원통체 형상의 매니폴드를 연결하도록 한 구성을 사용해도 좋다.
상기 처리 용기(24)의 하단부 개구부에 있어서는, 그 하방으로부터 다수매의 피처리체로서의 반도체 웨이퍼(W)를 다단으로 적재한 보유 지지 수단으로서의 석영제의 웨이퍼 보트(28)가 승강 가능하고 삽입 분리 가능하게 되어 있다. 본 실시예의 경우에 있어서, 이 웨이퍼 보트(28)의 지주(28A)에는, 예를 들어 50 내지 150매 정도의 직경이 300㎜인 웨이퍼(W)를 대략 등피치로 다단으로 지지할 수 있도록 되어 있다.
이 웨이퍼 보트(28)는 석영제의 보온통(30)을 통해 테이블(32) 상에 적재되어 있고, 이 테이블(32)은 처리 용기(24)의 하단부 개구부를 개폐하는, 예를 들어 스테인리스 스틸제의 덮개부(34)를 관통하는 회전축(36) 상에 지지된다. 그리고, 이 회전축(36)의 덮개부(34)에 대한 관통부에는, 예를 들어 자성 유체 시일(38)이 개재 설치되어, 이 회전축(36)을 기밀하게 시일하면서 회전 가능하게 지지하고 있다. 또한, 덮개부(34)의 주변부와 처리 용기(24)의 하단부에는, 예를 들어 O링 등으로 이루어지는 시일 부재(40)가 개재 설치되어 있어, 처리 용기(24) 내의 시일성을 유지하고 있다.
상기한 회전축(36)은, 예를 들어 보트 엘리베이터 등의 승강 기구(도시하지 않음)에 지지된 아암(42)의 선단에 설치되어 있고, 웨이퍼 보트(28) 및 덮개부(34) 등을 일체적으로 승강하여 처리 용기(24) 내로 삽입 분리할 수 있도록 되어 있다. 또한, 상기 테이블(32)을 상기 덮개부(34)측으로 고정하여 설치하고, 웨이퍼 보트(28)를 회전시키지 않고 웨이퍼(W)의 처리를 행하도록 해도 좋다. 그리고, 이 처리 용기(24)의 하단부는, 예를 들어 스테인리스 스틸로 이루어지는 베이스판(44)에 설치되어 지지되어 있다.
이 처리 용기(24)의 하부에는 처리 용기(24) 내측으로 플라즈마화되는 제1 가스를 공급하는 제1 가스 공급 수단(46)과, 제2 가스를 공급하는 제2 가스 공급 수단(48)이 설치된다. 구체적으로는, 상기 제1 가스 공급 수단(46)은 상기 처리 용기(24)의 하부의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 제1 가스 노즐(50)을 갖고 있다. 이 제1 가스 노즐(50)에는 그 길이 방향을 따라서 복수(다수)의 가스 분사 구멍(50A)이 소정의 간격을 이격하여 형성되어 분산형의 가스 노즐로 되어 있고, 각 가스 분사 구멍(50A)으로부터 수평 방향을 향해 대략 균일하게 제1 가스를 분사할 수 있도록 되어 있다.
또한, 마찬가지로 상기 제2 가스 공급 수단(48)도, 상기 처리 용기(24)의 하부의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 제2 가스 노즐(52)을 갖고 있다. 상기 제2 가스 노즐(52)에는 그 길이 방향을 따라서 복수(다수)의 가스 분사 구멍(52A)이 소정의 간격을 이격하여 형성되어 분산형의 가스 노즐로 되어 있고, 각 가스 분사 구멍(52A)으로부터 수평 방향을 향해 대략 균일하게 제2 가스를 분사할 수 있도록 되어 있다. 또한, 상기 제1 및 제2 가스 노즐(50, 52)에 접속되는 가스 통로(46A, 48A)의 도중에는 각각 가스 유량을 제어하는 매스플로우 컨트롤러와 같은 유량 제어기(46B, 48B) 및 개폐 밸브(46C, 48C)가 개재 설치되어 있다.
또한, 여기서는 제1 가스와 제2 가스를 공급하는 제1 가스 공급 수단(46)과 제2 가스 공급 수단(48)밖에 도시되어 있지 않지만, 더 많은 가스종을 사용하는 경우에는, 그것에 대응하여 또 다른 가스 공급 수단을 설치하는 것은 물론이고, 예를 들어 N2 등의 퍼지 가스를 공급하기 위한 가스 공급 수단도 설치되어 있다. 또한, 도시되어 있지 않지만, 불필요한 막을 제거하는 클리닝 가스, 예를 들어 HF계 가스를 공급하는 클리닝 가스 공급계도 설치되어 있다.
그리고, 이 처리 용기(24)의 하부의 측벽에는 배기구(54)가 형성되어 있다. 그리고, 이 배기구(54)에는 압력 조정 밸브(56A)나 진공 펌프(56B) 등이 개재 설치된 진공 배기계(56)가 접속되어 있고, 처리 용기(24) 내의 분위기를 진공화하여 소정의 압력으로 유지할 수 있도록 되어 있다.
그리고, 상기 처리 용기(24)에는 그 길이 방향을 따라서 설치되어, 상기 제1 가스를 고주파 전력에 의해 발생한 플라즈마에 의해 활성화하는 활성화 수단(58)이 형성되어 있다. 이 활성화 수단(58)은, 도 2에도 도시한 바와 같이 처리 용기(24)의 길이 방향을 따라서 설치된 플라즈마 구획벽(60)에 의해 구획 형성된 플라즈마 형성 박스(62)와, 이 플라즈마 구획벽(60)에 그 길이 방향을 따라서 설치된 플라즈마 전극(64)과, 이 플라즈마 전극(64)에 접속된 고주파 전원(66)에 의해 주로 구성되어 있다.
구체적으로는, 상기 플라즈마 형성 박스(62)는 상기 처리 용기(24)의 측벽을 상하 방향을 따라서 소정의 폭으로 깎아냄으로써 상하로 가늘고 긴 개구(68)를 형성하고, 이 개구(68)를 그 외측으로부터 덮도록 하여 단면 ㄷ자 형상으로 된 상하로 가늘고 긴, 예를 들어 석영제의 상기 플라즈마 구획벽(60)을 배치하여, 상기 플라즈마 구획벽(60)을 상기 처리 용기(24)의 외벽에 기밀하게 용접 접합함으로써 형성되어 있다.
이에 의해, 이 처리 용기(24)의 측벽의 외측으로 돌출시키도록 하여, 단면 ㄷ자 형상으로 움푹 패이게 하여 일측이 처리 용기(24) 내로 개방되어 연통된 플라즈마 형성 박스(62)가 일체적으로 형성되게 된다. 즉, 플라즈마 구획벽(60)의 내부 공간은 플라즈마 형성 영역으로 되어 있고, 상기 처리 용기(24) 내에 일체적으로 연통된 상태로 되어 있다. 상기 개구(68)는 웨이퍼 보트(28)에 보유 지지되어 있는 모든 웨이퍼(W)를 상기 처리 용기(24)의 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성되어 있다. 그리고, 상기 플라즈마 구획벽(60)의 양 측벽의 외측면에는 서로 대향시키도록 하여 한 쌍의 상기 플라즈마 전극(64)이 설치되어 있다. 이 플라즈마 전극(64)은 플라즈마 형성 박스(62)의 길이 방향을 따라서 전체에 형성되어 있다.
그리고, 상기 각 플라즈마 전극(64)은 각각 급전 라인(70)에 접속되고, 이 급전 라인(70)은 임피던스 정합을 도모하기 위한 정합 회로(71)가 도중에 개재 설치되어 상기 플라즈마 발생용 고주파 전원(66)에 접속되어 있고, 이 고주파 전력에 의해 플라즈마 형성 박스(62) 내에 플라즈마를 형성하도록 되어 있다. 여기서 상기 고주파 전원(66)의 주파수로서는, 예를 들어 13.56㎒가 사용되지만, 이에 한정되지 않고, 4㎒ 내지 27.12㎒의 범위 내의 주파수를 사용할 수 있다.
그리고, 상기 처리 용기(24) 내를 상방향으로 연장해 가는 제1 가스 노즐(50)은 도중에 처리 용기(24)의 반경 방향 외측으로 굴곡된다. 상기 플라즈마 형성 박스(62) 내의 가장 안측이고, 처리 용기(24)의 중심으로부터 가장 이격된 부분에 위치한다. 즉, 이 가장 안측의 부분을 따라서 상방을 향해 기립시켜 설치되어 있다. 따라서, 고주파 전원(66)이 온으로 되어 있을 때에 상기 제1 가스 노즐(50)의 각 가스 분사 구멍(50A)으로부터 분사된 제1 가스는 이 플라즈마 형성 박스(62)에서 플라즈마에 의해 활성화되어 처리 용기(24)의 중심을 향해 확산되면서 흐르도록 되어 있다. 또한, 상기 제1 가스 노즐(50)은 처리 용기(24)의 측벽을 관통시키지 않고, 플라즈마 구획벽의 하단부로부터 직접 관통시키도록 하여 설치해도 좋다.
또한, 처리 용기(24)의 개구(68)의 내측의 일측에는, 상기 제2 가스 노즐(52)이 기립되어 설치되어 있고(도 2 참조), 제2 가스 노즐(52)에 형성한 각 가스 분사 구멍(52A)으로부터 처리 용기(24)의 중심 방향을 향해 제2 가스를 분사할 수 있도록 되어 있다. 그리고, 이와 같이 형성된 처리 용기(24)의 외측에, 본 발명의 특징으로 하는 실드 하우징(72)과, 플라즈마 처리 중에 이 실드 하우징(72) 내에 냉각 기체를 흘리는 냉각 기구(74)가 설치되어 있다. 구체적으로는, 상기 처리 용기(24)의 외측에는 천장부도 포함시켜 주위 전체를 둘러싸도록 하여, 예를 들어 원통 형상으로 성형된 상기 실드 하우징(72)이 설치되어 있다. 이 실드 하우징(72)은 알루미늄이나 스테인리스 스틸 등의 금속으로 이루어져 접지되어 있고, 활성화 수단(58)으로부터 밖으로 누출되는 고주파를 차단하여 외측으로 누출되지 않도록 하고 있다.
이 실드 하우징(72)의 하단부는 상기 베이스판(44)에 접속되어 있어, 이 하방으로부터도 고주파가 누출되지 않도록 하고 있다. 이 실드 하우징(72)의 실드값(비도전율 × 비투자율 × 판 두께)은 높을수록 좋고, 예를 들어 SUS 304(스테인리스 스틸의 종류)를 사용한 경우의 판 두께는 1.5㎜ 이상으로 설정하는 것이 좋다. 또한, 그 치수는, 예를 들어 직경이 300㎜인 웨이퍼(W)를 수용하는 상기 처리 용기(24)의 직경이 450㎜ 정도인 경우에는, 상기 실드 하우징(72)의 직경은 600㎜ 정도이다.
그리고, 이 실드 하우징(72)에 설치되는 상기 냉각 기구(74)는 이 실드 하우징(72)의 일단부인 하단부에 설치되어 냉각 기체를 도입하기 위한 흡기 헤더부(76)와, 실드 하우징(72)의 타단부인 상단부에 설치되어 실드 하우징(72) 내의 분위기를 배기하기 위한 배기 헤더부(78)로 이루어지고, 상기 실드 하우징(72)과 처리 용기(24) 사이의 공간부(82)를 따라서 화살표 84로 나타낸 바와 같이 냉각 기체를 흘리도록 되어 있다. 그리고, 이 배기 헤더부(78)는 배기원(80)에 접속된다. 이 배기원(80)이라 함은, 여기서는 클린룸 내에 설치된 이 플라즈마 처리 장치(22)를 포함하는 각 장치 내의 배기를 행하는 공장 덕트(83)로 이루어지고, 이 공장 덕트(83)의 하류측에는 대형의 배기 팬(도시하지 않음)이 설치되어 있고, 공장 내 전체의 배기를 행하도록 되어 있다.
상기 배기 헤더부(78)는, 도 3 및 도 4에도 도시한 바와 같이 상기 실드 하우징(72)의 측벽에, 그 둘레 방향을 따라서 설치된 기체 유통 덕트(86)와, 상기 실드 하우징(72)의 측벽에 그 둘레 방향을 따라서 소정의 간격으로 균등하게 형성된 기체 유통 구멍(88)과, 상기 기체 유통 덕트(86)에 설치되어 냉각 기체를 상기 실드 하우징(72)에 도입하기 위한 기체 도입구(90)를 갖고 있다. 상기 기체 유통 덕트(86)는, 여기서는 단면이 대략 직사각 형상으로 성형되어 있고, 실드 하우징(72)의 하단부의 주위를 링 형상으로 둘러싸도록 하여 설치되어 있다.
그리고, 이 기체 유통 덕트(86)의 천장부(86A)에, 상기 실드 하우징(72)의 직경 방향에 대향하도록 배치하여 한 쌍(2개)의 상기 기체 도입구(90)가 형성되어 있다. 상기 기체 유통 구멍(88)은, 여기서는 실드 하우징(72)의 둘레 방향을 따라서 직사각 형상으로 성형되어 있고, 전체 4개의 기체 유통 구멍(88)이 등간격으로 배치되어 있다. 따라서, 상기 2개의 기체 도입구(90)로부터 기체 유통 덕트(86) 내로 도입한 냉각 기체를, 이 기체 유통 덕트(86) 내를 따라서 흘리면서 상기 직사각 형상의 기체 유통 구멍(88)으로부터 실드 하우징(72) 내로 유입하도록 되어 있다.
이 경우, 냉각 기체를 균등하게 흘리기 위해, 상기 기체 도입구(90)를, 이웃하는 기체 유통 구멍(88) 사이의 중앙부에 형성하는 것이 바람직하다. 이 기체 유통 구멍(88)의 수는 4개로 한정되지 않고, 2개, 혹은 더 많이 형성해도 좋고, 펀칭 메탈 형상으로 링 형상으로 형성해도 좋다. 또한, 고주파의 실드 효과를 높이기 위해 상기 기체 유통 구멍(88)에 펀칭 메탈을 설치하도록 해도 좋다.
그리고, 여기서는 상기 2개의 기체 도입구(90)에 접속하도록 하여, 반원호 형상의 냉각 기체 안내 덕트(92)가 설치된다. 이 냉각 기체 안내 덕트(92)의 중앙부에는 기체 입구(94)가 형성되는 동시에, 그 양단부측에, 상기 각 기체 도입구(90)에 연통되는 개구(96)가 각각 형성되어 있다. 여기서는 클린룸 내의 상시 23 내지 27℃ 정도로 유지되어 있는 청정 공기가 냉각 기체로서 사용되고 있고, 따라서, 상기 기체 도입구(90)로부터 도입된 청정 공기로 이루어지는 냉각 기체가 상기 냉각 기체 안내 덕트(92) 내를 흘러 개구(96) 및 기체 도입구(90)를 통해 링 형상의 기체 유통 덕트(86) 내를 2방향으로 나뉘어 흐르고, 상기 기체 유통 구멍(88)으로부터 실드 하우징(72) 내로 유입하도록 되어 있다. 실제로는, 상기 기체 입구(94)에는 도시하지 않은 급기로가 접속되고, 이 급기로로부터 클린룸 내와 동일한 온도의 청정 공기가 도입된다.
또한, 상기 냉각 기체 안내 덕트(92)는 설치하지 않고, 2개의 기체 도입구(90)로부터 직접적으로 냉각 기체인 클린룸 내의 청정 공기를 도입하도록 해도 좋고, 이 기체 도입구(90)의 수를 더 많이 형성하도록 해도 좋다.
한편, 도 3 및 도 5에도 도시한 바와 같이, 상기 실드 하우징(72)의 상단부에 설치되는 배기 헤더부(78)는 실드 하우징(72)의 단부면을 막는 단부판(98)에 형성된 기체 유통 구멍(100)과, 이 기체 유통 구멍(100)을 둘러싸서 덮도록 하여 설치된 상자 형상의 배기 박스(102)와, 이 배기 박스(102)에 형성된 기체 배기구(104)와, 이 기체 배기구(104)에 접속되어 상기 배기원(80)인 공장 덕트(83)(도 1 참조)에 접속되는 배기로(106)를 갖고 있다.
상기 단부판(98)은 실드 하우징(72)의 천장판으로서 기능하는 것으로, 이 단부판(98)도 고주파에 대한 실드 기능을 갖는 금속판, 예를 들어 스테인리스 스틸에 의해 형성되어 있다. 이 단부판(98)에 형성되는 기체 유통 구멍(100)은, 여기서는 복수의 직경이 작은 펀치 구멍(100A)을 배열하여 형성되어 있고, 하방으로부터 상승해 오는 냉각 기체를 펀치 구멍(100A)을 통해 상방으로 흘리는 동시에 고주파에 대한 실드성을 높이도록 되어 있다. 즉, 여기서는, 단부판(98)으로서는, 중앙부측에 복수의 구멍이 형성된 펀칭 메탈을 사용할 수 있다. 이 경우, 이 기체 유통 구멍(100)을 대구경의 1개의 구멍으로서 형성해도 좋다. 이 대구경의 기체 유통 구멍(100)에 펀칭 메탈을 설치하도록 해도 좋다.
상기 배기 박스(102)는, 여기서는 대략 정사각 형상으로 성형되어 있고, 그 내측에는 상기 기체 유통 구멍(100)을 둘러싸는 동시에, 1변을 상기 배기 박스(102)의 측벽과 공통으로 한 "ㄷ"자 형상의 구획벽(108)이 더 설치되어 있다. 그리고, 이 구획벽(108)의 대향면에는 한 쌍의 유통 구멍(110)이 형성되는 동시에, 이 유통 구멍(110)은 상기 배기 박스(102)의 벽면과 상기 구획벽(108) 사이에 형성된 유로(112)를 통해 상기 기체 배기구(104)에 연통되어 있다.
따라서, 상기 복수의 펀치 구멍(100A)을 통해 상기 흘러나온 냉각 기체는 구획벽(108)에 형성한 한 쌍의 유통 구멍(110)을 통해 유로(112) 내를 흐르고, 또한 기체 배기구(104)로부터 공장 덕트(83)측을 향해 흐르도록 구성되어 있다. 또한, 상기 배기 박스(102)의 형상은 직사각 형상으로 한정되지 않고, 예를 들어 원형으로 성형해도 좋고, 또한 구획벽(108)의 형상을 원형으로 성형하도록 해도 좋다. 또한, 기체 배기구(104)를 배기 박스(102)의 측벽이 아니라, 이 기체 배기구(104)를 배기 박스(102)의 천장부에 형성하도록 하여 냉각 기체를 상방으로 빼도록 해도 좋다. 또한, 상기 배기로(106)에는 유량 제어 밸브(113)가 개재 설치되어 있어, 배기 풍량을 제어할 수 있도록 되어 있다.
그리고, 도 1로 돌아가 이 플라즈마 처리 장치(22)의 동작 전체의 제어, 예를 들어 가스의 공급의 개시 및 공급의 정지, 고주파 전원(66)의 전력의 설정이나, 이 온ㆍ오프, 프로세스 압력의 설정 등은, 예를 들어 컴퓨터 등으로 이루어지는 장치 제어부(114)에 의해 행해진다. 또한, 이 장치 제어부(114)는 상기 각종 가스의 공급이나 공급 정지의 제어, 고주파의 온ㆍ오프 제어 및 장치 전체의 동작을 제어하기 위한 컴퓨터로 판독 가능한 프로그램을 기억하는, 예를 들어 플렉시블 디스크, CD(Compact Disc), 하드 디스크, 플래시 메모리 혹은 DVD 등의 기억 매체(116)를 갖고 있다.
다음에, 이상과 같이 구성된 플라즈마 처리 장치를 사용하여 행해지는 플라즈마 처리로서 플라즈마에 의한 성막 방법을 실온 부근에서 행하는 경우를 예로 들어 설명한다. 구체적으로는, 여기서는 성막 처리로서, 제1 가스로서 산소를 사용하고, 제2 가스로서 아미노실란계 가스를 사용하여 실온 부근에서 희생 산화막을 형성하는 경우를 예로 들어 설명한다. 또한, 아미노실란계 가스로서는 앞서 설명한 DIPAS를 사용할 수 있다.
우선, 도 1 및 도 2에 도시한 바와 같이, 상온의 다수매, 예를 들어 50 내지 150매의 300㎜ 사이즈의 웨이퍼(W)가 적재된 상태의 웨이퍼 보트(28)를 실온, 예를 들어 23 내지 27℃ 정도로 된 처리 용기(24) 내에 그 하방으로부터 상승시켜 로드하고, 덮개부(34)로 처리 용기(24)의 하단부 개구부를 폐쇄함으로써 용기 내를 밀폐한다.
그리고, 처리 용기(24) 내를 진공화하여 소정의 프로세스 압력으로 유지하고, 상기 제1 가스와 제2 가스를 제1 가스 공급 수단(46) 및 제2 가스 공급 수단(48)으로부터 각각 유량 제어하면서 공급한다. 이것과 함께 고주파 전원(RF 전원)(66)을 온으로 하여 활성화 수단(58)의 플라즈마 형성 박스(62) 내에 플라즈마를 발생시키도록 한다.
구체적으로는, 제1 가스인 산소 가스는 제1 가스 노즐(50)의 각 가스 분사 구멍(50A)으로부터 수평 방향으로 분사되고, 또한 제2 가스인 아미노실란계 가스는 제2 가스 노즐(52)의 각 가스 분사 구멍(52A)으로부터 수평 방향으로 분사된다. 그리고, 산소 가스는 플라즈마 형성 박스(62) 내에 형성되어 있는 플라즈마에 의해 활성화되어 오존 등의 활성종이 만들어지고, 이 활성종이 상기 아미노실란계 가스와 반응하여 웨이퍼(W)의 표면에 희생 산화막을 형성하게 된다.
한편, 이와 같은 플라즈마 성막 처리가 행해지고 있는 동안, 상기 플라즈마에 의해 발생한 열이, 상기 처리 용기(24)의 외측을 덮는 고주파 차단용 실드 하우징(72) 내에 점차 축적되게 된다. 그러나, 본 발명에 있어서는, 이 실드 하우징(72) 내는 냉각 기구(74)에 의해 흘려지고 있는 냉각 기체에 의해 냉각되어 있으므로, 처리 용기(24)나 웨이퍼(W)의 온도 상승을 억제하여, 이를 실온 정도로 유지할 수 있다.
즉, 이 실드 하우징(72) 내의 분위기는 배기원(80)인 공장 덕트(83)에 연통되어 배기되고 있으므로, 항상 감압 분위기로 되어 있다. 따라서, 이 플라즈마 처리 장치(22)가 설치되어 있는 클린룸 내의 23 내지 27℃ 정도로 유지된 청정 공기는 실드 하우징(72)의 하부에 형성한 기체 입구(94)로부터 화살표 120(도 1 및 도 3 참조)으로 나타낸 바와 같이 냉각 기체로서 냉각 기체 안내 덕트(92) 내로 도입되어 이 속을 흐르고, 이 냉각 기체는 원호 형상의 냉각 기체 안내 덕트(92)의 양단부에 형성한 각 개구(96) 및 기체 도입구(90)를 통해 배기 헤더부(78)의 기체 유통 덕트(86) 내로 화살표 122(도 3 참조)로 나타내는 바와 같이 도입된다. 이 냉각 기체는 링 형상의 기체 유통 덕트(86) 내를 2방향으로 나뉘어 흐르고, 4개 형성된 상기 기체 유통 구멍(88)으로부터 실드 하우징(72) 내로 유입되게 된다.
이 실드 하우징(72) 내로 유입된 냉각 기체는 이 실드 하우징(72)과 처리 용기(24) 사이의 공간부(82) 내를 화살표 84(도 1 참조)로 나타낸 바와 같이 상승하여 흘러 가고, 이때, 플라즈마에 의해 발생한 열에 의해 승온 경향에 있는 처리 용기(24)의 측벽을 냉각하는 동시에, 이 공간부(82)에 축적되어 있는 플라즈마에 의해 발생한 열을 운반하여 배출해 가게 된다. 이 냉각 기체가 상승하는 흐름은 처리 용기(24)의 대략 전체 둘레에 있어서 발생하게 된다.
이 실드 하우징(72) 내를 상승하여 흐른 냉각 기체는 배기 헤더부(78)의 기체 유통 구멍(100)의 각 펀치 구멍(100A)을 통해 배기 박스(102) 내로 수렴하도록 하여 유입되고, 또한 이 냉각 기체는 화살표 124(도 5 참조)로 나타낸 바와 같이 구획벽(108)에 형성한 한 쌍의 각 유통 구멍(110)을 통해 배기 박스(102)와 구획벽(108) 사이의 유로(112) 내를 흐르고, 또한 기체 배기구(104)로부터 배기로(106)를 통해 공장 덕트(83)측으로 흘러 가게 된다.
이와 같이 하여, 실드 하우징(72) 내는 냉각되고 있으므로, 처리 용기(24)나 웨이퍼(W)의 온도 상승을 억제하여 이를 실온 정도로 유지할 수 있다. 또한, 본 실시예에서는 1개의 뱃치 처리가 종료되고 다음의 뱃치 처리를 행할 때에도 실드 하우징(72) 내에는 열이 축적된 상태로는 되어 있지 않으므로, 처리 용기(24)나 웨이퍼(W)의 온도 상승을 억제하여 실온 정도로 유지할 수 있고, 플라즈마 처리의 재현성을 높게 유지할 수 있다. 바꾸어 말하면, 한번에 복수매의 웨이퍼(W)를 플라즈마 처리하는 뱃치 처리를 연속적으로 행해도, 상술한 바와 같이 냉각 기체를 실드 하우징(72) 내로 흘려 공간부(82)에 축적된 열을 배출하는 동시에 처리 용기(24) 및 웨이퍼(W)를 냉각하여 실온 정도로 유지할 수 있으므로, 플라즈마 처리(성막 처리)의 재현성을 높게 유지할 수 있다.
이와 같이, 본 발명의 본 실시예에 따르면, 통체 형상의 처리 용기(24) 내에 보유 지지 수단(28)에 보유 지지된 복수의 피처리체, 예를 들어 반도체 웨이퍼(W)를 수용하여 필요한 가스를 도입하고, 이들 피처리체를 활성화 수단(58)에 의해 발생한 플라즈마에 의해 가스의 활성종을 형성하고, 이 활성종에 의해 피처리체에 플라즈마 처리를 실시하도록 한 플라즈마 처리 장치(22)에 있어서, 고주파를 차단하기 위해 처리 용기(24)의 주위를 둘러싸도록 하여 설치되는 동시에 접지된 통체 형상의 실드 하우징(72)과, 플라즈마 처리 중에 실드 하우징(72)과 처리 용기(24) 사이의 공간부(82)를 따라서 냉각 기체를 흘리는 냉각 기구(74)를 구비하고, 플라즈마 처리 중에 냉각 기구(74)에 의해 처리 용기(24)의 외측을 따라서 냉각 기체를 흘려 냉각하도록 하였으므로, 실드 하우징(72)과 처리 용기(24) 사이의 공간부(82)에 플라즈마로부터 발생한 열이 축적되는 경우가 없어지고, 그 결과, 프로세스 온도가 실온 정도의 낮은 온도 대역에서 플라즈마 처리할 때에, 그 프로세스 온도를 낮게 유지하여 플라즈마 성막 처리 등의 플라즈마 처리의 재현성을 향상시킬 수 있다.
<본 발명의 실시예의 냉각 기구의 평가>
다음에, 상술한 바와 같은 냉각 기구(74)를 설치한 본 발명의 본 실시예의 플라즈마 처리 장치를 사용하여 복수회의 플라즈마에 의한 뱃치 처리를 연속적으로 행하였을 때의 처리 용기(24)와 실드 하우징(72) 사이에 형성되는 공간부(82)의 온도 변화를 측정하였으므로, 그 평가 결과에 대해 설명한다. 여기서는 비교를 위해, 도 14에 도시하는 원통체 형상의 단열재가 부착된 가열 히터(히터 자체는 구동하지 않음)를 갖는 종래의 플라즈마 처리 장치로 플라즈마에 의한 뱃치 처리도 행하였으므로, 그 결과에 대해서도 설명한다.
여기서의 처리는 1회의 뱃치 처리에서 117매의 웨이퍼에 대해 60분간의 플라즈마 성막 처리를 행하고, 이 뱃치 처리를 연속해서 7회(RUN 1로부터 RUN 7) 행하였다. 도 6a와 도 6b는 뱃치 처리를 연속해서 행하였을 때의 처리 중에 있어서의 공간부의 온도 변화를 나타내는 그래프이고, 도 6a가 종래의 플라즈마 처리 장치의 경우를 도시하고, 도 6b가 본 발명의 본 실시예의 플라즈마 처리 장치의 경우를 도시하고 있다. 여기서 도 6b에 도시하는 본 발명의 본 실시예의 플라즈마 처리 장치에서는, 실드 하우징 내의 배기 풍량을 0.55㎥/min으로 설정하고 있다.
도 7a, 도 7b, 도 7c, 도 7d는 도 6a와 도 6b에 나타내는 그래프의 결과로부터 구한 공간부의 온도차를 나타내는 도면이다. 또한, 여기서는 배기 풍량을 3종류 바꾸어 행하였을 때의 실험의 결과를 더불어 기재하고 있다. 또한, 여기서는 웨이퍼 보트에 다단으로 지지되어 있는 웨이퍼를 높이 방향으로 4개의 에어리어로 분할하고, 최상단에 위치하는 에어리어에 대응하는 공간부의 온도를 "TOP"(톱)으로 나타내고, 그 아래의 에어리어에 대응하는 공간부의 온도를 "T-C"(톱 센터)로 나타내고, 또한 그 아래의 에어리어에 대응하는 공간부의 온도를 "C-B"(센터 보텀)로 나타내고, 또한 최하단에 위치하는 에어리어에 대응하는 공간부의 온도를 "BTM"(보텀)으로 나타내고 있다. 상기 각 온도는 공간부(82)에 배치한 열전대에 의해 측정하고 있다. 또한, 모든 프로세스에 있어서, 처리 개시 시의 공간부(82)의 온도는 27℃였다.
도 6a에 도시한 바와 같이, 종래의 플라즈마 처리 장치의 경우에는, "TOP", "T-C", "C-B" 및 "BTM"의 모든 에어리어의 공간부의 온도는, 1RUN째로부터 7RUN째까지 뱃치 처리를 반복할 때마다 공간부의 온도는 27 내지 28℃로부터 서서히 상승하여, 최종적으로 40 내지 46℃ 정도까지 상승하고 있어, 재현성이 떨어져 있는 것을 알 수 있다. 구체적으로는, 도 7a에 도시한 바와 같이, 공간부의 최대치(Max)와 최소치(Min)의 온도차(Δ)는, "TOP"가 18.5℃, "T-C"가 16.5℃, "C-B"가 13.6℃, "BTM"이 10.7℃로 되어 있고, 뱃치 처리 사이에서 공간부의 온도가 크게 변동하여 바람직하지 않다.
이에 대해, 도 6b에 도시한 바와 같이, 본 발명의 경우에는, "TOP", "T-C", "C-B" 및 "BTM"의 모든 에어리어의 공간부의 온도는, 1RUN째로부터 7RUN째까지 대략 27 내지 30℃의 범위 내로 억제되어 있어, 뱃치 처리를 연속적으로 행해도 공간부의 온도가 상승하는 경우가 없어 이를 안정적으로 유지할 수 있으므로, 플라즈마 처리의 재현성을 높일 수 있는 것을 알 수 있다.
도 7c는 이 도 6b에 도시하는 실험의 공간부의 온도의 최대치(Max)와 최소치(Min)의 온도차(Δ)를 취한 것으로, "TOP", "T-C", "C-B" 및 "BTM"에 대해, 각각 3.6℃, 1.9℃, 1.4℃, 1.2℃이고, 모든 에어리어에서 온도차가 매우 작아져 종래의 장치예와 비교하여, 본 발명의 본 실시예에서는 매우 양호한 결과를 얻을 수 있었다. 또한, 본 발명의 본 실시예의 플라즈마 처리 장치를 사용하여, 배기 풍량만을 0.35㎥/min 및 0.72㎥/min로 바꾸어, 도 6b에서 설명한 실험과 동일한 실험을 행하였을 때의 결과를 도 7b 및 도 7d에 각각 나타낸다.
이에 따르면, "TOP", "T-C", "C-B" 및 "BTM"의 공간부의 각 온도는, 도 7b 및 도 7d에 도시한 경우에도, 설정 온도인 27℃보다 그다지 변화되어 있지 않아, 충분히 양호한 결과를 나타내고 있고, 또한 온도차(Δ)도 도 7b의 경우에는 5.2℃, 3.9℃, 2.2℃, 1.4℃이고, 또한 도 7d의 경우에는 3.0℃, 1.7℃, 1.5℃, 1.2℃로, 모두 양호한 결과를 나타내고 있어, 플라즈마 처리의 재현성을 높일 수 있는 것을 알 수 있다.
이 경우, 도 7b에 도시한 바와 같이, 배기 풍량을 0.35㎥/min으로 적게 한 경우에, "TOP"나 "T-C"의 공간부의 각 온도가 32.5℃나 31.1℃까지 상승하지만, 설정 온도인 27℃의 ±6℃의 범위 내이므로, 충분히 실용에 견딜 수 있는 결과였다.
<제1 변형 실시예>
다음에, 본 발명에 제1 변형 실시예에 대해 설명한다. 앞의 실시예에서는, 성막 처리 중에는 냉각 기구(74)를 사용하여 실드 하우징(72) 내의 공간부(82)로 냉각 기체를 반드시 흘리도록 하고 있었지만, 이 플라즈마 처리 장치(22)를 설치하는 환경, 예를 들어 클린룸 내의 온도 환경 등에 따라서는, 처리량 향상의 관점에서 냉각 기체를 흘리지 않는 쪽이 좋은 경우도 있다. 구체적으로는, 성막 처리를 반복해서 행하면, 처리 용기(24)의 내벽에도 박리되면 파티클의 원인이 되는 불필요한 막이 누적되어 퇴적되게 되지만, 일반적으로는 이 불필요한 막이 박리되기 전에, 정기적으로, 혹은 부정기적으로 클리닝 가스를 사용하여 상기 불필요한 막을 제거하는 클리닝 조작이 행해지고 있다.
이 불필요한 막을 제거하는 클리닝에 있어서는, 예를 들어 처리 용기(24)의 측벽에 퇴적되는 상기 불필요한 막의 누적 막 두께를 관리하여, 이 막 두께가 미리 정한 기준으로 되는 두께, 예를 들어 1 내지 20㎛ 정도의 범위 내의 미리 정해진 기준치에 도달할 때마다 클리닝 조작을 행하도록 되어 있다. 이 경우, 성막 시의 처리 용기(24)의 온도에 의존하여, 처리 용기(24)의 내벽에 퇴적되는 불필요한 막의 막질이 미묘하게 변화되어, 예를 들어 누적 막 두께가 기준치에 도달하기 전에 박리되기 쉬워지는 경우도 있는 것이 본 발명의 발명자들에 의해 확인되었다. 이 기준치는 성막하는 막종이나 프로세스 조건 등에 따라서 미리 정해져 있다.
구체적으로는, 냉각 기구(74)를 동작시켜 처리 용기(24)의 측벽의 온도를 지나치게 내리면, 저온으로 되는 것에 따라서 불필요한 막이 박리되기 쉬워져, 누적 막 두께가 미리 정한 기준치 이하에서도 불필요한 막이 박리되기 시작해 파티클이 발생하는 현상이 생기는 것을 알 수 있었다. 따라서, 이 본 발명의 제1 변형 실시예에서는 플라즈마 처리 장치(22)의 설치 환경에 의존하여, 냉각 기구(74)를 동작시킬지 여부를 결정하도록 하고 있다.
도 8은 본 발명의 제1 변형 실시예에 관한 플라즈마 처리 장치의 일례를 도시하는 개략 구성도이다. 도 8에 있어서는, 기본적인 구성은 이하에 설명하는 점을 제외하고 먼저 도 1 내지 도 5를 참조하여 설명한 플라즈마 처리 장치와 동일하다. 도 1 내지 도 5에 있어서 설명한 구성과 동일 구성 부분에 대해서는 동일 참조 번호를 부여하여, 그 설명을 생략한다.
여기서는 상기 냉각 기구(74)의 배기 헤더부(78)의 기체 배기구(104)와 배기원(80)을 연락하는 배기로(106)의 도중에 밸브 기구(130)를 설치하고 있다. 이 밸브 기구(130)는 나비 밸브와 같은 유량 제어 밸브(132)와 제1 개폐 밸브(134)를 직렬로 설치하여 구성되어 있다. 또한, 냉각 기구(74)의 흡기 헤더부(76)에 형성한 냉각 기체 안내 덕트(92)의 기체 입구(94)에는 급기로(136)가 접속되고, 이 급기로(136)의 도중에는 제2 개폐 밸브(138)가 개재 설치되어 있다. 이 급기로(136)에는 클린룸 내와 대략 동일한 온도의 청정 공기가 냉각 기체로서 화살표 120과 같이 흡입되어 가게 된다. 또한, 이 급기로(136)를 설치하지 않고 기체 입구(94)를 클린룸 내에 개방시키도록 해도 좋다.
또한, 처리 용기(24)와 실드 하우징(72) 사이에 형성되는 공간부(82)에는 이 공간부(82) 내의 분위기의 온도를 측정하는 온도 측정 수단(140)이 설치되어 있다. 구체적으로는, 이 온도 측정 수단(140)은 실드 하우징(72)의 측벽으로부터 내측을 향해 약간 연장된 복수, 예를 들어 4개의 열전대(140A, 140B, 140C, 140D)에 의해 구성되어 있다. 이 4개의 열전대(140A 내지 140D)는 처리 용기(24) 내의 웨이퍼(W)의 수용 영역에 대응시켜, 그 높이 방향으로 대략 등간격으로 배치되어 있다.
즉, 열전대(140A)는 최상단에 배치되고, 다음의 열전대(140B)는 열전대(140A)의 하방에 배치되고, 다음의 열전대(140C)는 열전대(140B)의 하방에 배치되고, 다음의 최하단의 열전대(140D)는 열전대(140C)의 하방에 배치된다. 따라서, 이들 열전대(140A 내지 140D)는 그 상방으로부터 하방을 향해 "TOP"(톱), "T-C"(톱 센터), "C-B"(센터 보텀) 및 "BTM"(보텀)에 대응한다. 그리고, 이들 각 열전대(140A 내지 140D)의 각 출력은, 예를 들어 장치 제어부(114)로 입력되고 있다. 또한, 상기 공간부(82)에는 이 공간부(82) 내의 압력과 클린룸 내의 차압을 구하는 차압계(150)가 설치되어 있고, 이 출력을, 예를 들어 상기 장치 제어부(114)로 입력하도록 되어 있다.
그런데, 이와 같은 구성에 있어서 본 제1 변형 실시예에서는, 이 플라즈마 처리 장치를 스탠바이 상태로 유지한 상태에서 상기 공간부(82)의 분위기를 미리 설정된 배기 풍량으로 배기했을 때의 상기 온도 측정 수단(140)의 측정 온도가, 미리 정해진 임계치 온도보다도 낮은 경우에는, 플라즈마 처리 시에는 상기 밸브 기구(130)를 폐쇄한 상태에서 행하도록 한다. 이 밸브 기구(130)의 동작은 장치 제어부(114)로부터의 제어로 행해도 좋고, 오퍼레이터가 수동으로 행하도록 해도 좋다.
구체적으로는, 전술한 바와 같이 성막의 플라즈마 처리 시의 처리 용기(24)의 온도에 의존하여, 용기 내벽에 부착되는 불필요한 막의 부착 강도는 미묘하게 변화되므로, 냉각 기구(74)를 동작시켜 냉각 기체를 흘리면, 플라즈마 처리 장치(22)의 설치 환경에 의존하여 박리되기 쉬운 불필요한 막이 부착되는 경우가 발생한다. 예를 들어, 냉각 기체로서 사용하는 클린룸 내의 분위기 온도가 높은 경우에는, 흡입되는 냉각 기체의 온도도 높기 때문에, 플라즈마 처리 시에 냉각 기구(74)를 동작시켜 냉각 기체를 흘려도, 처리 용기(24)는 과도하게 냉각되는 경우는 없고, 따라서 불필요한 막은 박리되기 어려운 상태로 부착되게 된다.
이에 대해, 냉각 기체로서 사용하는 클린룸 내의 분위기 온도가 낮은 경우에는, 흡입되는 냉각 기체의 온도도 낮으므로, 플라즈마 처리 시에 냉각 기구(74)를 동작시켜 냉각 기체를 흘리면, 처리 용기(24)는 과도하게 냉각되게 되고, 따라서, 불필요한 막은 박리되기 쉬운 상태로 부착되게 된다.
이 경우, 처리 용기(24)의 내벽에서 특히 파티클이 발생하기 쉬운 부분은, 플라즈마에 의해 격렬하게 두드려지게 되는 플라즈마 형성 박스(62) 내이지만, 이 부분의 온도를 검출하기 위해 열전대를 배치하면, 플라즈마 형성 박스(62)의 근방에는 고주파 전극이 배치되어 있으므로 이상 방전이 발생하는 원인이 되기 때문에 현실적이지 않다.
따라서, 본 제1 변형 실시예에서는 냉각 기체에 의해 처리 용기(24)의 측벽이 냉각되므로, 냉기 기체가 이 공간부(82) 내를 통과할 때에, 처리 용기(24)의 측벽을 과도하게 냉각하게 되는 분위기 온도를 구하도록 하여, 처리 용기(24)의 측벽이 과도하게 냉각되는 상태일 때에는, 냉각 기구(74)를 동작시키지 않고 냉각 기체의 흐름을 정지시키도록 하고 있다.
그리고, 상기의 것을 실현하기 위해, 여기서는 플라즈마 처리 장치(22)의 스탠바이 시에 냉각 기체를 공간부(82) 내로 흘리고, 그때의 공간부(82) 내의 분위기 온도를 기준으로 하여 성막을 위한 플라즈마 처리 시에 냉각 기체를 흘릴지 여부를 결정하도록 하고 있다. 구체적으로는, 플라즈마 처리 장치(22)를 스탠바이 상태로 유지한 상태에서, 공간부(82) 내를 미리 설정된 배기 풍량, 예를 들어 대기와의 압력차가 -100㎩ 정도로 되는 배기 풍량으로 배기했을 때의 온도 측정 수단(140)인 열전대(140A 내지 140D)의 측정 온도가, 미리 정해진 임계치 온도, 예를 들어 33℃보다도 낮은 경우에는, 상기 성막용 플라즈마 처리 시에는 밸브 기구(130)의 제1 개폐 밸브(134)를 폐쇄 상태로 하여, 냉각 기체가 공간부(82) 내를 흐르지 않도록 하고 있다. 즉, 냉각 기구(74)가 동작하지 않도록 하고 있다.
반대로, 상기 측정 온도가 33℃ 이상인 경우에는, 상기 밸브 기구(130)의 제1 개폐 밸브(134)를 개방 상태로 하여 냉각 기체를 흘리도록 하고 있다. 즉, 냉각 기구(74)를 동작시키도록 하고 있다. 또한, 상기 제1 개폐 밸브(134)의 개폐에 연동시켜, 제2 개폐 밸브(138)의 개폐도 행하도록 하는 것이 바람직하다. 여기서 상기 임계치 온도 33℃는 성막 가스로서 아미노실란계 가스, 예를 들어 DIPAS를 사용한 경우이다.
이와 같은 제1 및 제2 개폐 밸브(134, 138)의 개폐는, 기본적으로는 클린룸 내에 플라즈마 처리 장치(22)를 설치했을 때에 스탠바이 상태를 실현하여 상술한 바와 같이 결정한다. 이후, 상기 제1 및 제2 개폐 밸브(134, 138)는 기본적으로는 개방 상태, 혹은 폐쇄 상태가 고정된 채, 성막용 플라즈마 처리나 클리닝 처리가 반복해서 실시되게 된다. 또한, 클리닝 시의 조건에 따라서는 클리닝 처리 시에 냉각 기체를 흘리는 경우도 있다. 또한, 메인터넌스 등에 의해 설정 환경이 바뀐 경우에는, 다시, 상술한 바와 같이 냉각 기구(74)의 동작의 결정을 행하게 된다.
또한, 상기 스탠바이 상태라 함은, 장치 자체의 전원이 투입되어, 각종 배선류의 히터 등이 온 상태로 되어 있지만 활성화 수단(58)은 오프 상태로 플라즈마가 형성되고 있지 않아, 처리 용기(24)의 온도가 변동되지 않고 안정된 상태를 가리키고, 예를 들어 장치 전원 투입 후이면 1일 이상 경과한 상태이고, 성막의 프로세스(런)가 종료된 후이면, 예를 들어 약 7시간 이상 경과되어 있는 상태를 가리킨다.
<검증 실험>
다음에, 상기 제1 변형 실시예에 대해 검증 실험을 행하였으므로, 그 내용에 대해 설명한다. 도 9는 본 발명의 제1 변형 실시예에 있어서의 실드 하우징 내 분위기와 대기의 차압과 실드 하우징의 내부의 온도의 관계를 나타내는 그래프, 도 10은 차압이 0㎩일 때의 런수와 파티클수 및 누적 막 두께의 관계를 나타내는 그래프, 도 11은 차압이 60㎩일 때의 런수와 파티클수 및 누적 막 두께의 관계를 나타내는 그래프, 도 12는 차압이 130㎩일 때의 런수와 파티클수 및 누적 막 두께의 관계를 나타내는 그래프, 도 13은 플라즈마 처리(런) 종료 후의 실드 하우징 내의 온도 변화를 나타내는 그래프이다. 여기서는, 상기 차압을 0 내지 -250㎩까지 변화시키고 있고, 그 중 몇 군데에 있어서 플라즈마 성막 처리를 행하여 파티클의 평가를 행하고 있다.
이 경우, 차압 0㎩의 경우에는, 제1 및 제2 개폐 밸브(134, 138)를 모두 폐쇄 상태로 하여 공간부(82) 내에 냉각 기체를 흘리지 않도록 하고 있고, 차압 0㎩ 이외에는, 제1 및 제2 개폐 밸브(134, 138)를 모두 개방 상태로 하고, 또한 밸브 기구(130)의 유량 제어 밸브(132)의 밸브 개방도를 적절하게 조정함으로써 차압을 변화시키고 있다. 따라서, 도 9의 횡축의 차압은 배기 풍량에 대응하고 있다. 즉, 차압이 적은 경우에는 냉각 기체의 배기 유량은 적고, 차압이 커지는 것에 따라서, 냉각 기체의 배기 유량은 순차적으로 커진다.
도 9에 도시하는 그래프 중에 있어서, 곡선 A는 도 8 중의 TOP의 열전대(140A)의 온도를 나타내고, 곡선 B는 도 8 중의 CTP의 열전대(140B)의 온도를 나타내고, 곡선 C는 도 8 중의 CBT의 열전대(140C)의 온도를 나타내고, 곡선 D는 도 8 중의 BTM의 열전대(140D)의 온도를 나타낸다. 또한, 곡선 X1은 도 8 중의 처리 용기(24)의 포인트 X1의 위치의 온도를 나타내고, 곡선 X2는 처리 용기(24)의 포인트 X2의 위치의 온도를 나타내고 있다. 또한, 이때의 플라즈마 처리 장치(22)를 설치하고 있는 클린룸 내의 온도는 23 내지 24℃ 정도이다.
도 9에 도시하는 그래프로부터 명백해진 바와 같이, 냉각 기체를 흘리지 않는 차압 0㎩일 때에는, 모든 온도 측정 개소에 있어서 온도가 가장 높게 되어 있다. 예를 들어, 곡선 X1, X2로 나타내는 각 포인트 X1, X2의 온도는 44 내지 45℃ 정도이고, 곡선 A 내지 D로 나타내는 각 열전대(140A 내지 140D)의 온도는 35 내지 39℃ 정도이다. 그리고, 차압이 커지는 것에 따라서, 즉 배기 풍량이 증가하는 것에 따라서 각 온도는 점차 저하되어 온다.
여기서, 차압 0㎩, -2㎩, -50㎩, -60㎩, -100㎩, -130㎩, -250㎩의 각 포인트에서 각각 복수 런의 성막 처리를 행하여 파티클의 평가를 행하였다. 이 결과, 차압 0㎩일 때에는 누적 막 두께가 기준치 이상으로 되어도 파티클수는 상한치인 50개 이하여서 합격하였지만, 그 이외의 차압 -2㎩ 내지 -250㎩의 전체에 있어서 누적 막 두께가 기준치보다도 작은 값이고 파티클수는 상한치의 50개에 도달해 버려 불합격이었다. 즉, 차압이 불과 -2㎩ 정도밖에 되지 않는 적은 양인 냉각 기체가 공간부에 흐른 것만으로도, 그때에 발생하는 약간의 온도 저하가 악영향을 미쳐, 누적 막 두께가 기준치에 도달하기 전에 파티클수가 50개로 되어 버렸다.
이 결과, 여기서의 설치 환경에서는 냉각 기구(74)를 동작시키지 않도록 하고, 즉 냉각 기체를 흘리지 않고 성막의 플라즈마 처리를 행할 필요가 있는 것을 알 수 있다. 도 10 내지 도 12의 그래프는 그때의 일부의 결과를 나타내는 것으로, 도 10은 차압이 0㎩일 때를 나타내고, 도 11은 차압이 60㎩일 때를 나타내고, 도 12는 차압이 130㎩일 때를 나타내고 있다. 각 도면에 있어서 좌측 종축은 파티클수(막대 그래프)를 나타내고, 우측 종축은 누적 막 두께(꺾은선 그래프)를 나타내고 있다.
또한, 1개의 런은 1개의 뱃치 처리를 나타내고 있고, 각 런에 있어서 TOP(톱), CTR(센터), BTM(보텀)의 각 웨이퍼 위치에서 파티클을 측정하고 있고, 상기 순서로 파티클의 카운트수를 막대 그래프로 기재하고 있다. 또한, 여기서는 파티클수의 상한치를 50개로 설정하고 있고, 누적 막 두께의 기준치는, 전술한 바와 같이 1 내지 20㎛ 정도의 범위 내에 있어서의 특정한 값을 미리 설정하고 있다. 그 결과, 도 10에 나타내는 차압 0㎩의 경우에는, 1 내지 22런 행하고 있고, 상술한 바와 같이 누적 막 두께가 기준치에 도달해도, 파티클수는 상한치의 50개에 도달하고 있지 않아, 바람직한 결과가 얻어지는 것을 알 수 있다.
이에 대해, 도 11에 나타내는 차압 60㎩의 경우에는, 누적 막 두께가 기준치보다도 낮은 포인트 P1인 곳에서(22런), 파티클수 50개의 상한치에 도달하고 있어 바람직하지 않다. 또한, 도 12에 나타내는 차압 130㎩의 경우에는, 누적 막 두께가 기준치보다도 얇고 또한 상기 포인트 P1보다도 더욱 얇은 포인트 P2인 곳에서(22런), 파티클수 50개의 상한치에 도달하고 있어 바람직하지 않다. 이와 같이 상기 차압을 작게 함으로써, 파티클의 증가량이 점차적으로 감소해 가는 것을 이해할 수 있다. 또한, 상술한 바와 같이, 여기서의 설치 환경에서는 냉각 기구(74)를 동작시키지 않도록 하고, 즉 냉각 기체를 흘리지 않고 성막의 플라즈마 처리를 행할 필요가 있는 것을 알 수 있다.
여기서 상기 차압과 배기 풍량의 관계를 설명한다. 상기 공간부(82)의 용량[실드 하우징(72)의 용량-처리 용기(24)의 외피의 체적]은 250리터 정도이고, 이 공간부(82) 내에 냉각 기체를 흘릴 때에는, -40 내지 -100㎩의 범위 내의 차압으로 되도록 미리 유량 제어 밸브(132)의 밸브 개방도 조정에 의해 설정하고 있다. 이 경우, 차압이 -40㎩인 경우에는, 배기 풍량은 0.45㎥/min 정도이고, 차압이 -100㎩인 경우에는, 배기 풍량은 0.70㎥/min 정도이다. 이 배기 풍량은 플라즈마 처리 장치가 설치되는 클린룸에 의해 임의로 정할 수 있다. 그리고, 실제로 플라즈마 처리 장치를 클린룸에 설치할 때에는, 유량 제어 밸브(132)를 사용하여 상기 차압이 -40 내지 -100㎩의 범위 내에서, 바꾸어 말하면, 배기 풍량을 0.45 내지 0.70㎥/min 정도의 범위 내의 임의의 풍량으로 미리 설정하게 된다.
여기서, 상기 검증 실험에서 파티클에 관하여 합격으로 된 차압 0㎩일 때의 스탠바이 시의 조건에 대해 검토를 행한다. 도 13은 1회의 성막용 플라즈마 처리(런)를 행한 후의 스탠바이 상태일 때의 각 부분의 온도를 나타내는 그래프이다. 도 13 중에 있어서, 곡선 A 내지 D, X1, X2는, 도 9에 있어서 설명한 경우와 동일하고, 곡선 A는 도 8 중의 TOP의 열전대(140A)의 온도를 나타내고, 곡선 B는 도 8 중의 CTP의 열전대(140B)의 온도를 나타내고, 곡선 C는 도 8 중의 CBT의 열전대(140C)의 온도를 나타내고, 곡선 D는 도 8 중의 BTM의 열전대(140D)의 온도를 나타낸다. 또한, 곡선 X1은 도 8 중의 처리 용기(24)의 포인트 X1의 위치의 온도를 나타내고, 곡선 X2는 처리 용기(24)의 포인트 X2의 위치의 온도를 나타내고 있다. 여기서는 실온은 23 내지 24℃로 설정되고, 또한 실드 하우징(72) 내의 공간부(82)의 배기는 행하고 있지 않아, 차압 0㎩로 되어 있다.
도 13에 도시한 바와 같이, 2시 24분에 1회의 런이 종료되면, 각 부분의 온도는 차례대로 저하되어 있다. 그리고, 런 종료된 후, 약 7시간이 경과한 9시 24분 이후에는 각 부분의 온도는 대략 안정되어 있고, 스탠바이 상태로 되어 있다. 이 경우, 각 열전대(140A 내지 140D)의 온도는 대략 33 내지 34℃의 범위 내에서 안정되어 있다. 즉, 스탠바이 시에 열전대(140A 내지 140D)의 측정 온도가 33℃ 이상이면, 이 플라즈마 처리 장치를 사용하여 플라즈마 처리를 행해도(배기 풍량 = 0인 상태), 파티클수 50개의 상한치를 클리어하기 위한 누적 막 두께의 기준치의 조건을 클리어할 수 있다.
바꾸어 말하면, 스탠바이 상태일 때에, 상기 유량 제어 밸브(132)에 의해 미리 설정한 배기 풍량으로 공간부(82) 내에 냉각 기체를 흘린 상태에 있어서, 상기 각 열전대(140A 내지 140D)의 측정 온도가 33℃ 이상이면, 성막용 플라즈마 처리 시에 바람직하게는 냉각 기구(74)를 동작시켜, 즉 제1 및 제2 개폐 밸브(134, 138)를 모두 개방 상태로 하여 냉각 기체를 흘려 처리 용기(24)를 냉각하도록 한다.
이 경우, 파티클의 발생을 억제한다고 하는 관점에서는 냉각 기체를 흘리지 않아도 좋지만, 냉각 기체를 흘리지 않으면, 그만큼 처리 용기의 온도가 올라가 있다. 그리고, 과도하게 온도가 올라가면, 때때로 행해지는 클리닝 시에 용기 내벽에 부착되어 있는 불필요한 막이 제거되기 어려워지는 경우가 있다. 따라서, 바람직하게는 상술한 바와 같이 냉각 기체를 흘리는 것이 바람직하다.
이와 같이, 본 발명의 제1 변형 실시예에 따르면, 또한 공간부 내의 분위기의 온도를 측정하는 온도 측정 수단과, 배기 헤더부와 배기원 사이에 설치된 배기로와, 배기로의 도중에 개재 설치되어, 플라즈마 처리 장치를 스탠바이 상태로 유지한 상태로 공간부의 분위기를 미리 설정된 배기 풍량으로 배기했을 때(공간부와 클린룸 내의 대기의 차압이 미리 설정된 설정치로 되도록 공간부의 분위기를 배기했을 때)의 온도 측정 수단의 측정 온도가 미리 정해진 임계치 온도보다도 낮은 경우에는, 플라즈마 처리 시에는 폐쇄된 상태로 되는 밸브 기구를 구비함으로써, 예를 들어 처리 용기의 내벽에 퇴적되는 불필요한 막이 박리되기 어려워지는 조건을 설정할 수 있다. 이 결과, 클리닝 빈도를 적게 하여 처리량의 향상을 도모할 수 있다.
또한, 본 발명의 플라즈마 처리 방법에 따르면, 예를 들어 처리 용기의 내벽에 퇴적되는 불필요한 막이 박리되기 어려워지는 조건을 설정할 수 있다. 이 결과, 클리닝 빈도를 적게 하여 처리량의 향상을 도모할 수 있다.
또한, 상기한 누적 막 두께의 기준치나 파티클수 50개의 상한치는 단순히 일례를 나타낸 것에 지나지 않고, 이들로 한정되지 않는 것은 물론이다. 또한, 상기 실시예에서는, 온도 측정 수단(140)으로서 4개의 열전대(140A 내지 140D)를 설치하였지만, 이에 한정되지 않고, 적어도 1개, 바람직하게는 2개 이상 설치하는 것이 좋다.
또한, 상기 각 실시예에서는 실드 하우징(72) 내의 분위기를 배기하는 배기원(80)으로서 항상 흡인하고 있는 공장 덕트(83)를 사용하였지만, 이것 대신에, 혹은 보다 강력하게 배기하기 위해 배기로(106)에 부가적인 배기원(80)으로서 배기 펌프를 개재 설치하도록 하여 플라즈마 처리 중에 구동시키도록 해도 좋다.
또한, 여기서는 배기 헤더부(78)에 배기 박스(102) 등을 사용하였지만, 이것 대신에, 배기 박스(102)로서, 흡기 헤더부(76)와 동일한 구조의 기체 유통 덕트(86)나 기체 유통 구멍(88)이나 기체 도입구(90)[기체 배기구(104)로서 사용함] 등을 실드 하우징(72)의 상단부에 형성하도록 해도 좋다.
또한, 여기서는 상온(실온)에서의 플라즈마 처리로서 희생 산화막을 성막하는 경우를 예로 들어 설명하였지만, 이에 한정되지 않는 것은 물론이고, 가열 히터가 필요없이 실온 정도(23 내지 27℃ 정도)에서 행하는 플라즈마 처리의 전체에 본 발명을 적용할 수 있다. 또한, 여기서는 처리 용기(24)의 하단부에 흡기 헤더부(76)를 설치하고, 상단부에 배기 헤더부(78)를 설치한 실드 하우징(72) 내에는 하방으로부터 상방을 향해 냉각 기체를 흘리도록 하였지만, 이에 한정되지 않고, 처리 용기(24)의 상단부에 흡기 헤더부(76)를 설치하고, 하단부에 배기 헤더부(78)를 설치하고, 실드 하우징(72) 내에는 상방으로부터 하방을 향해 냉각 기체를 흘리도록 해도 좋다.
또한, 여기서는 급기측의 냉각 기체로서 클린룸측의 청정 기체를 사용하였지만, 제어성을 향상시키기 위해 급기로(136)의 도중에 칠러(chiller) 등의 온도 제어기를 설치하여, 공간부(82)로 도입되는 냉각 기체의 온도를 일정한 온도로 유지하도록 해도 좋다. 또한, 여기서는 처리 용기(24)를 연직 방향으로 기립시켜 설치한 종형식 플라즈마 처리 장치를 예로 들어 설명하였지만, 이에 한정되지 않고, 처리 용기를 횡방향으로 설치한 횡형의 플라즈마 처리 장치에도 본 발명을 적용할 수 있다.
또한, 여기서는 피처리체로서 반도체 웨이퍼를 예로 들어 설명하였지만, 이 반도체 웨이퍼에는 실리콘 기판이나 GaAs, SiC, GaN 등의 화합물 반도체 기판도 포함되고, 또한 이들 기판으로 한정되지 않고, 액정 표시 장치에 사용하는 글래스 기판이나 세라믹 기판 등에도 본 발명을 적용할 수 있다.
본 발명에 관한 플라즈마 처리 장치 및 플라즈마 처리 방법에 따르면, 다음과 같이 우수한 작용 효과를 발휘할 수 있다.
통체 형상의 처리 용기 내에 보유 지지 수단에 보유 지지된 복수의 피처리체를 수용하여 필요한 가스를 도입하고, 이들 피처리체를 활성화 수단에 의해 발생한 플라즈마에 의해 가스의 활성종을 형성하고, 이 활성종에 의해 피처리체에 플라즈마 처리를 실시하도록 한 플라즈마 처리 장치에 있어서, 고주파를 차단하기 위해 처리 용기의 주위를 둘러싸도록 하여 설치되는 동시에 접지된 통체 형상의 실드 하우징과, 플라즈마 처리 중에 실드 하우징과 처리 용기 사이의 공간부를 따라서 냉각 기체를 흘리는 냉각 기구를 구비하고, 플라즈마 처리 중에 냉각 기구에 의해 처리 용기의 외측을 따라서 냉각 기체를 흘려 냉각하도록 하였으므로, 실드 하우징과 처리 용기 사이의 공간부에 플라즈마로부터 발생한 열이 축적되는 경우가 없어지고, 그 결과, 프로세스 온도가 실온 정도의 낮은 온도 대역에서 플라즈마 처리할 때에, 그 프로세스 온도를 낮게 유지하여 플라즈마 성막 처리 등의 플라즈마 처리의 재현성을 향상시킬 수 있다.
또한, 공간부 내의 분위기의 온도를 측정하는 온도 측정 수단과, 배기 헤더부와 배기원 사이에 설치된 배기로와, 배기로의 도중에 개재 설치되어, 플라즈마 처리 장치를 스탠바이 상태로 유지한 상태에서 공간부의 분위기를 미리 설정된 배기 풍량으로 배기했을 때의 온도 측정 수단의 측정 온도가 미리 정해진 임계치 온도보다도 낮은 경우에는, 플라즈마 처리 시에는 폐쇄된 상태로 되는 밸브 기구를 구비함으로써, 예를 들어 처리 용기의 내벽에 퇴적되는 불필요한 막이 박리되기 어려워지는 조건을 설정할 수 있다. 이 결과, 클리닝 빈도를 적게 하여 처리량의 향상을 도모할 수 있다.
예를 들어, 처리 용기의 내벽에 퇴적되는 불필요한 막이 박리되기 어려워지는 조건을 설정할 수 있다. 이 결과, 클리닝 빈도를 적게 하여 처리량의 향상을 도모할 수 있다.
이상, 각 실시 형태에 기초하여 본 발명의 설명을 행하여 온 것은 설명에 최선을 다하여 발명의 이해를 촉진시키고, 기술을 더욱 진행시키는 것의 도움이 되도록 기재한 것이다. 따라서, 실시 형태에 나타낸 요건에 본 발명이 한정되는 것은 아니다. 또한, 실시 형태에서의 예시는 그 장단점을 의미하는 것은 아니다. 실시 형태에 상세하게 발명을 기재하였지만, 발명의 취지로부터 이격되지 않는 범위에서 다양한 변경, 치환, 개변이 가능하다.
22 : 플라즈마 처리 장치
24 : 처리 용기
28 : 웨이퍼 보트(보유 지지 수단)
46 : 제1 가스 공급 수단
48 : 제2 가스 공급 수단
58 : 활성화 수단
62 : 플라즈마 형성 박스
64 : 플라즈마 전극
66 : 고주파 전원
72 : 실드 하우징
74 : 냉각 기구
76 : 흡기 헤더부
78 : 배기 헤더부
80 : 배기원
82 : 공간부
83 : 공장 덕트
86 : 기체 유통 덕트
88 : 기체 유통 구멍
90 : 기체 도입구
92 : 냉각 기체 안내 덕트
98 : 단부판
100 : 기체 유통 구멍
102 : 배기 박스
104 : 기체 배기구
106 : 배기로
130 : 밸브 기구
132 : 유량 제어 밸브(압력 조정 밸브)
134 : 제1 개폐 밸브
136 : 급기로
138 : 제2 개폐 밸브
140 : 온도 측정 수단
140A 내지 140D : 열전대
W : 반도체 웨이퍼(피처리체)

Claims (20)

  1. 진공화 가능한 통체 형상의 처리 용기와,
    복수의 피처리체를 보유 지지하여 상기 처리 용기 내로 삽입 분리되는 보유 지지 수단과,
    상기 처리 용기 내로 가스를 공급하는 가스 공급 수단과,
    상기 처리 용기의 길이 방향을 따라서 설치되어 상기 가스를 고주파 전력에 의해 발생한 플라즈마에 의해 활성화하는 활성화 수단을 갖고,
    상기 피처리체에 대해 플라즈마 처리를 실시하도록 이루어진 플라즈마 처리 장치에 있어서,
    고주파를 차단하기 위해 상기 처리 용기의 주위를 둘러싸도록 하여 설치되는 동시에 접지된 통체 형상의 실드 하우징과,
    상기 플라즈마 처리 중에 상기 실드 하우징과 상기 처리 용기 사이의 공간부를 따라서 냉각 기체를 흘리는 냉각 기구를 구비하도록 구성한 것을 특징으로 하는, 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 냉각 기구는,
    상기 실드 하우징의 일단부에 설치되어 상기 냉각 기체를 도입하기 위한 흡기 헤더부와,
    상기 실드 하우징의 타단부에 설치되어 상기 실드 하우징 내의 분위기를 배기하기 위해 배기원에 접속된 배기 헤더부를 갖는 것을 특징으로 하는, 플라즈마 처리 장치.
  3. 제2항에 있어서, 상기 배기원은 장치 내의 분위기를 배출하기 위한 덕트로, 상기 플라즈마 처리 장치가 설치되는 공장의 것인 것을 특징으로 하는, 플라즈마 처리 장치.
  4. 제2항에 있어서, 상기 배기원은 배기 펌프인 것을 특징으로 하는, 플라즈마 처리 장치.
  5. 제2항에 있어서, 상기 흡기 헤더부는,
    상기 실드 하우징의 측벽에 그 둘레 방향을 따라서 설치된 기체 유통 덕트와,
    상기 기체 유통 덕트와 상기 실드 하우징 내를 연통시키기 위해 상기 실드 하우징의 측벽에, 그 둘레 방향을 따라서 소정의 간격으로 형성된 기체 유통 구멍과,
    상기 기체 유통 덕트에 형성되어 상기 냉각 기체를 도입하기 위한 기체 도입구를 갖는 것을 특징으로 하는, 플라즈마 처리 장치.
  6. 제5항에 있어서, 상기 기체 유통 구멍에는 복수의 구멍이 형성된 펀칭 메탈이 설치되어 있는 것을 특징으로 하는, 플라즈마 처리 장치.
  7. 제2항에 있어서, 상기 배기 헤더부는,
    상기 실드 하우징의 단부면을 막는 단부판에 형성된 기체 유통 구멍과,
    상기 기체 유통 구멍을 둘러싸서 덮도록 하여 설치된 상자 형상의 배기 박스와,
    상기 배기 박스에 형성된 기체 배기구와,
    상기 기체 배기구에 접속되어 상기 배기원에 연락되는 배기로를 갖는 것을 특징으로 하는, 플라즈마 처리 장치.
  8. 제7항에 있어서, 상기 기체 유통 구멍에는 복수의 구멍이 형성된 펀칭 메탈이 설치되어 있는 것을 특징으로 하는, 플라즈마 처리 장치.
  9. 제2항에 있어서, 상기 냉각 기체는 상기 플라즈마 처리 장치가 설치되는 공장인 클린룸 내의 분위기인 것을 특징으로 하는, 플라즈마 처리 장치.
  10. 제2항에 있어서, 상기 처리 용기는 연직 방향으로 세로로 길게 설치되어 있는 것을 특징으로 하는, 플라즈마 처리 장치.
  11. 제10항에 있어서, 상기 흡기 헤더부는 상기 실드 하우징의 하단부에 설치되고, 상기 배기 헤더부는 상기 실드 하우징의 상단부에 설치되는 것을 특징으로 하는, 플라즈마 처리 장치.
  12. 제10항에 있어서, 상기 흡기 헤더부는 상기 실드 하우징의 상단부에 설치되고, 상기 배기 헤더부는 상기 실드 하우징의 하단부에 설치되는 것을 특징으로 하는, 플라즈마 처리 장치.
  13. 제2항에 있어서, 상기 공간부 내의 분위기의 온도를 측정하는 온도 측정 수단과,
    상기 배기 헤더부와 상기 배기원 사이에 설치된 배기로와,
    상기 배기로의 도중에 개재 설치되어, 상기 플라즈마 처리 장치를 스탠바이 상태로 유지한 상태에서 상기 공간부의 분위기를 미리 설정된 배기 풍량으로 배기했을 때의 상기 온도 측정 수단의 측정 온도가 미리 정해진 임계치 온도보다도 낮은 경우에는, 상기 플라즈마 처리 시에는 폐쇄된 상태로 되는 밸브 기구를 구비한 것을 특징으로 하는, 플라즈마 처리 장치.
  14. 제13항에 있어서, 상기 밸브 기구는 압력 조정 밸브와 개폐 밸브를 포함하는 것을 특징으로 하는, 플라즈마 처리 장치.
  15. 제13항에 있어서, 상기 흡기 헤더부에는, 급기로가 접속되고, 상기 급기로의 도중에는, 상기 플라즈마 처리 장치를 스탠바이 상태로 유지한 상태에서 상기 공간부의 분위기를 미리 설정된 배기 풍량으로 배기했을 때의 상기 온도 측정 수단의 측정 온도가 미리 정해진 임계치 온도보다도 낮은 경우에는, 상기 플라즈마 처리 시에는 폐쇄된 상태로 되는 개폐 밸브가 개재 설치되어 있는 것을 특징으로 하는, 플라즈마 처리 장치.
  16. 제13항에 있어서, 상기 가스는 성막용 가스인 아미노실란계 가스를 포함하는 것을 특징으로 하는, 플라즈마 처리 장치.
  17. 제13항에 있어서, 상기 임계치 온도는 33℃인 것을 특징으로 하는, 플라즈마 처리 장치.
  18. 플라즈마 처리 장치의 내부의 분위기를 배기하기 위해 가스를 공급 및 배출함으로써 당해 내부를 냉각 가능하게 처리 대상물을 플라즈마 처리하는 플라즈마 처리 방법이고,
    플라즈마가 생성되어 있지 않은 상태에서, 상기 플라즈마 처리 장치의 상기 내부의 상기 분위기의 온도를 측정하는 스텝과,
    상기 분위기가 미리 설정된 배기 풍량으로 배기되었을 때의 상기 측정된 온도가 미리 설정된 제1 임계치 온도보다 낮을 때에는, 상기 플라즈마 처리 중에 상기 플라즈마 처리 장치의 상기 내부로의 상기 가스의 공급을 하지 않는 스텝을 갖는, 플라즈마 처리 방법.
  19. 제18항에 있어서, 상기 공간부의 분위기의 온도가, 상기 임계치 온도 이상인 경우에는, 상기 기체를 공급 및 배출한 상태에서, 상기 기체를 공급 또는 배출한 상태에서, 혹은 상기 기체를 공급도 배출도 하지 않는 상태에서, 상기 플라즈마 처리를 행하도록 한 것을 특징으로 하는, 플라즈마 처리 방법.
  20. 제18항에 있어서, 플라즈마가 생성되어 있지 않은 상태에서, 상기 플라즈마 처리 장치의 상기 내부 중 플라즈마가 존재하는 다른 부분 이외의 하나의 부분의 분위기의 온도를 측정하는 스텝과,
    상기 내부의 상기 하나의 부분의 상기 분위기가 상기 미리 설정된 배기 풍량으로 배기되었을 때의 상기 하나의 부분의 상기 분위기의 상기 측정된 온도가 미리 설정된 제2 임계치 온도보다 낮을 때에는, 상기 플라즈마 처리 중에 상기 플라즈마 처리 장치의 상기 내부의 상기 하나의 부분으로의 상기 가스의 공급을 하지 않는 스텝을 더 갖는 것을 특징으로 하는, 플라즈마 처리 방법.
KR1020100040640A 2009-05-01 2010-04-30 플라즈마 처리 장치 및 플라즈마 처리 방법 KR101579319B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009112319 2009-05-01
JPJP-P-2009-112319 2009-05-01
JP2010039446A JP5136574B2 (ja) 2009-05-01 2010-02-24 プラズマ処理装置及びプラズマ処理方法
JPJP-P-2010-039446 2010-02-24

Publications (2)

Publication Number Publication Date
KR20100119726A true KR20100119726A (ko) 2010-11-10
KR101579319B1 KR101579319B1 (ko) 2015-12-21

Family

ID=43019828

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100040640A KR101579319B1 (ko) 2009-05-01 2010-04-30 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (5)

Country Link
US (2) US8683943B2 (ko)
JP (1) JP5136574B2 (ko)
KR (1) KR101579319B1 (ko)
CN (1) CN101877304B (ko)
TW (1) TWI446442B (ko)

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
CN102776488B (zh) * 2011-05-10 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 化学气相沉积反应腔装置及具有其的化学气相沉积设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013062317A (ja) * 2011-09-12 2013-04-04 Tokyo Electron Ltd 基板冷却機構および基板冷却方法ならびに熱処理装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103103500B (zh) * 2011-11-11 2015-05-13 中国科学院沈阳科学仪器研制中心有限公司 一种用于pecvd多点进气多区可调装置
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
CN104094677A (zh) * 2012-02-17 2014-10-08 国立大学法人东北大学 等离子处理装置和等离子处理方法
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9840778B2 (en) * 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
TW201405655A (zh) * 2012-07-27 2014-02-01 Ingentec Corp 具有多腔體之氣相蝕刻設備
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6162980B2 (ja) * 2013-03-01 2017-07-12 株式会社日立国際電気 プラズマ処理装置及びプラズマ処理方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
CN104302084B (zh) * 2013-07-17 2017-04-12 朗姆研究公司 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104715992B (zh) * 2013-12-13 2018-02-09 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其冷却装置
CN104862666B (zh) * 2014-02-25 2018-03-27 上海理想万里晖薄膜设备有限公司 一种用于制备amoled的pecvd装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104941957B (zh) * 2014-03-24 2018-01-12 睿励科学仪器(上海)有限公司 晶圆清洁装置及方法
WO2015145663A1 (ja) 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP6307984B2 (ja) * 2014-03-31 2018-04-11 東京エレクトロン株式会社 基板処理装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095561A1 (en) * 2015-12-04 2017-06-08 Applied Materials, Inc. Advanced coating method and materials to prevent hdp-cvd chamber arcing
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN108701583B (zh) * 2016-04-13 2023-12-01 应用材料公司 用于排气冷却的设备
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN105870775B (zh) * 2016-05-24 2020-07-10 中国人民解放军战略支援部队航天工程大学 一种用于激光锁频的一体化惰性气体频率基准装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102146600B1 (ko) * 2016-08-01 2020-08-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN107680915B (zh) 2016-08-02 2020-11-10 北京北方华创微电子装备有限公司 等离子体源的冷却机构及半导体加工设备
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6999596B2 (ja) * 2019-03-25 2022-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20220041167A (ko) * 2019-09-02 2022-03-31 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 플라스마 생성 장치, 반도체 장치의 제조 방법, 플라즈마 생성 방법 및 프로그램
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
WO2021181450A1 (ja) * 2020-03-09 2021-09-16 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7455013B2 (ja) * 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20220064785A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Apparatus and methods for gas phase particle reduction
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022071105A1 (ja) * 2020-09-29 2022-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114836736A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 等离子体镀膜设备和镀膜方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5804923A (en) * 1995-06-15 1998-09-08 Sumitomo Metal Industries Limited Plasma processing apparatus having a protected microwave transmission window
US5951772A (en) * 1993-08-25 1999-09-14 Tokyo Electron Limited Vacuum processing apparatus
JP2005197523A (ja) * 2004-01-08 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
JP2006049809A (ja) 2004-06-28 2006-02-16 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
WO2006093136A1 (ja) 2005-03-01 2006-09-08 Hitachi Kokusai Electric Inc. 基板処理装置および半導体デバイスの製造方法
JP2006270016A (ja) 2004-07-28 2006-10-05 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20060260544A1 (en) * 2003-03-04 2006-11-23 Hitachi Kokusai Electric Inc. Substrate processing and method of manufacturing device
JP2007042823A (ja) 2005-08-02 2007-02-15 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4858557A (en) * 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
JPS6167769A (ja) * 1984-09-07 1986-04-07 Canon Inc 成膜装置
JP2532239B2 (ja) * 1987-04-06 1996-09-11 セイコー電子工業株式会社 マイクロ波プラズマcvd装置
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5128515A (en) * 1990-05-21 1992-07-07 Tokyo Electron Sagami Limited Heating apparatus
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JP2755876B2 (ja) * 1992-07-30 1998-05-25 株式会社東芝 熱処理成膜装置
JPH0786174A (ja) * 1993-09-16 1995-03-31 Tokyo Electron Ltd 成膜装置
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH08274067A (ja) * 1995-03-30 1996-10-18 Hitachi Ltd プラズマ発生装置
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US6273955B1 (en) * 1995-08-28 2001-08-14 Canon Kabushiki Kaisha Film forming apparatus
JP3341965B2 (ja) * 1995-10-19 2002-11-05 東京応化工業株式会社 縦型同軸プラズマ処理装置
TW506620U (en) * 1996-03-15 2002-10-11 Asahi Glass Co Ltd Low pressure CVD apparatus
JP3591977B2 (ja) * 1996-03-18 2004-11-24 キヤノン株式会社 マイクロ波プラズマcvd法を用いた膜堆積方法および膜堆積装置
JPH09298162A (ja) * 1996-04-30 1997-11-18 Shinko Electric Co Ltd 真空式半導体製造装置におけるヒータの冷却方法
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
JP4054159B2 (ja) * 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6738683B1 (en) * 2000-09-05 2004-05-18 Cxe Equipment Services, Llc Apparatus and method for cleaning a bell jar in a barrel epitaxial reactor
JP2002168551A (ja) * 2000-11-30 2002-06-14 Tokyo Electron Ltd 処理装置の電極用冷却装置
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP2004006536A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜製造方法及び装置
JP2004063663A (ja) * 2002-07-26 2004-02-26 Hitachi Kokusai Electric Inc 半導体製造装置
US6727194B2 (en) * 2002-08-02 2004-04-27 Wafermasters, Inc. Wafer batch processing system and method
JP2004165377A (ja) * 2002-11-12 2004-06-10 Canon Inc 表面改質方法
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
US20050145341A1 (en) * 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
US8453600B2 (en) * 2004-12-28 2013-06-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20080164144A1 (en) * 2005-03-07 2008-07-10 Katsushi Kishimoto Plasma Processing Apparatus And Method Of Producing Semiconductor Thin Film Using The Same
JP2007067119A (ja) * 2005-08-30 2007-03-15 Elpida Memory Inc 半導体製造装置
JP4857849B2 (ja) 2006-03-24 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
JP2009026779A (ja) * 2007-07-17 2009-02-05 Hitachi High-Technologies Corp 真空処理装置
JP5401286B2 (ja) * 2009-12-04 2014-01-29 株式会社日立ハイテクノロジーズ 試料台の温度制御機能を備えた真空処理装置及びプラズマ処理装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5951772A (en) * 1993-08-25 1999-09-14 Tokyo Electron Limited Vacuum processing apparatus
US5804923A (en) * 1995-06-15 1998-09-08 Sumitomo Metal Industries Limited Plasma processing apparatus having a protected microwave transmission window
US20060260544A1 (en) * 2003-03-04 2006-11-23 Hitachi Kokusai Electric Inc. Substrate processing and method of manufacturing device
JP2005197523A (ja) * 2004-01-08 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
JP2006049809A (ja) 2004-06-28 2006-02-16 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2006270016A (ja) 2004-07-28 2006-10-05 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
WO2006093136A1 (ja) 2005-03-01 2006-09-08 Hitachi Kokusai Electric Inc. 基板処理装置および半導体デバイスの製造方法
JP2007042823A (ja) 2005-08-02 2007-02-15 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体

Also Published As

Publication number Publication date
TWI446442B (zh) 2014-07-21
TW201126603A (en) 2011-08-01
KR101579319B1 (ko) 2015-12-21
US9447926B2 (en) 2016-09-20
JP2010283331A (ja) 2010-12-16
JP5136574B2 (ja) 2013-02-06
US20100278999A1 (en) 2010-11-04
CN101877304A (zh) 2010-11-03
CN101877304B (zh) 2014-06-25
US8683943B2 (en) 2014-04-01
US20140150882A1 (en) 2014-06-05

Similar Documents

Publication Publication Date Title
KR20100119726A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP4857849B2 (ja) プラズマ処理装置及びプラズマ処理方法
US8236106B2 (en) Shower head and substrate processing apparatus
US9103029B2 (en) Processing apparatus and film forming method
KR101160788B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치
JP4470970B2 (ja) プラズマ処理装置
US20120251737A1 (en) Plasma-nitriding method
US20110303152A1 (en) Support structure, processing container structure and processing apparatus
US20100163403A1 (en) Plasma processing apparatus and operation method thereof
WO2007102464A1 (ja) 処理装置
KR20060092966A (ko) 누설 검출기 및 처리 가스 모니터
KR101669752B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5367522B2 (ja) プラズマ処理装置及びシャワーヘッド
KR101555572B1 (ko) 성막 방법 및 성막 장치
JP4983063B2 (ja) プラズマ処理装置
US20160265107A1 (en) Substrate holder and substrate processing apparatus
JP2008235611A (ja) プラズマ処理装置及びプラズマ処理方法
US20130017690A1 (en) Plasma nitriding method and plasma nitriding apparatus
TW202204889A (zh) 晶圓吸附即時檢測的設備與方法
US20080142160A1 (en) Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
JP2009212178A (ja) プラズマ処理装置及びプラズマ処理方法
KR102638144B1 (ko) 상보적 패턴 스테이션 설계들
KR100838281B1 (ko) 플라즈마처리장치
JP2004119448A (ja) プラズマエッチング装置およびプラズマエッチング方法
JP2008060181A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20181129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191202

Year of fee payment: 5