TWI344212B - Method of manufacturing semiconductor device, and semiconductor device - Google Patents

Method of manufacturing semiconductor device, and semiconductor device Download PDF

Info

Publication number
TWI344212B
TWI344212B TW096112761A TW96112761A TWI344212B TW I344212 B TWI344212 B TW I344212B TW 096112761 A TW096112761 A TW 096112761A TW 96112761 A TW96112761 A TW 96112761A TW I344212 B TWI344212 B TW I344212B
Authority
TW
Taiwan
Prior art keywords
layer
concentration
mixed crystal
impurity
semiconductor device
Prior art date
Application number
TW096112761A
Other languages
English (en)
Other versions
TW200746430A (en
Inventor
Yuki Miyanami
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of TW200746430A publication Critical patent/TW200746430A/zh
Application granted granted Critical
Publication of TWI344212B publication Critical patent/TWI344212B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

1344212 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種半導體裝置之製造方法及半導體事 置,特定言之係關於一種M〇s(金氧半導體)型場效電晶 體。 【先前技術】 近年來’為了提高電晶體效能’已研究在一通道區域上 強加一應力以便增加汲極電流。該應力強加方法之範例包 括一方法’其中在形成一閘極電極之後形成一高度應力 膜’以便在一通道區域上強加一應力及一程序,其中姓刻 一 P通道MOS型場效應電晶體(PMOSFET)之源極/沒極區 域’然後在該等钱刻區内蟲晶生長一石夕錯(S丨G e)層以在一 通道區域上施加一應力。 έ亥SiGe層越靠近該通道區域且該siGe層之體積越大,向 該通道區域施加一應力便越有效。此外,儘管一般藉由離 子植入來形成源極/汲極區域,但還研究在蟲晶生長SiGe 層的同時添加一雜質(例如硼)作為一種形成一 pM〇SFET之 源極/汲極區域之方法(例如參見jP-A_2〇〇2_53〇864,尤其 參見圖4及段落號〇〇3〇)。 此處,將參考圖3A至3C來說明上述PMOSFET之製造方 法。首先,如圖3 A所示,在一碎基板丨丨之表面側上形成裝 置隔離區域(在圖中省略)。接著,一閘極電極13係形成在 矽基板11之上’ 一閘極絕緣膜12在其間,然後在閘極電極 13上形成一偏移絕緣膜14,其包括一氮化矽膜。隨後,於 11858I.doc 1344212 覆蓋閘極絕緣膜12 '閘極電極13及偏移絕緣膜14之狀態 中,在矽基板11之上形成一氧化矽膜,然後藉由一乾式蝕 刻方法來回蝕該氮化矽膜,藉此在閘極絕緣膜丨2、閘極電 極13及偏移絕緣膜丨4之兩橫向側上形成側壁丨5。 接著,如圖3B所示,進行所謂的凹陷蝕刻,即使用偏移 絕緣膜14與側壁15作為一光罩,藉由蝕刻挖掘矽基板u, 形成凹陷區域I 6。隨後,藉由使用稀氫氟酸進行清洗處 理’來移除石夕基板11之表面之上的一天然氧化物膜。 隨後,如圖3C所示,在凹陷區域丨6内,即在矽基板丨丨之 挖掘部分表面上,磊晶生長一含一 p型雜質(例如硼)之矽鍺 (SiGe)層17。SiGe層17形成該等源極/汲極區域,而在閘極 電極1 3之下並位於該等源極/汲極區域之間的矽基板丨丨之 區域組成通道區域18。藉由SiGe層17向通道區域〗8施加— 應力引起通道區域18之一應變(畸變),從而導致形成—具 有一足夠載子移動率之PMOSFET。 【發明内容】 然而’上述半導體裝置之製造方法具有下列問題。如圖 4所示,藉由SiGe層17強加應力之效率隨著SiGe層17越靠 近用作通道區域1 8之閘極電極1 3之下的區域而越高。然 而’由於將雜質(例如硼)添加至SiGe層17,故在SiGe層17 内的雜質將由於在後續步驟中實施的熱處理或加熱步驟而 擴散(擴散區域A)。此擴散將會引起短通道效應。為了防 止此類情形,可構思增大閘極電極13之下之區域與被添加 硼之SiGe層1 7之間的距離。然而,在該情況下,會減弱施 118581.doc 1344212 加在通道區域1 8上的應力,使得無法獲得一足夠的載子移 動率。 因而’需要一種半導體裝置之製造方法及半導體裝置, 使用其可防止短通道效應並可獲得一足夠載子移動率。 根據本發明之一具體實施例,提供一種半導體裝置之製 造方法,其包括:形成一閘極電極之第一步驟、挖掘一表 面層之第二步驟、及磊晶生長之第三步驟。該第一步驟係 配置成用以使用一閘極絕緣膜,在一矽基板之上形成一閘 極電極。該第二步驟係配置成用以藉由使用該閘極電極作 為一光罩而進行的姓刻來挖掘該矽基板之一表面層。該第 二步驟係配置成用以在該矽基板之挖掘部分之表面上蟲晶 生長一包括矽及晶格常數不同於矽之原子的混合晶體層, 以便該混合晶體層以此一濃度梯度包含一雜質,使得該雜 質濃度沿從該矽基板側向該混合晶體層之表面之方向增 加。 依據剛才所述之半導體裝置之製造方法,在該矽基板之 挖掘部分表面上,磊晶生長該混合晶體層,以便以此—濃 度梯度包含該雜質,使得該雜質濃度從該矽基板側向該表 面之方向增加。因此,比較該表面側上的濃度,在該閘極 電極之下,在該矽基板之通道區域附近的混合晶體層以一 更低濃度包含該雜質。此點確保限制雜質由於一熱處理而 從該混合晶體層擴散,並防止產生短通道效應。此外’由 於不必增大該閘極電極之下之區域與該混合晶體層之間的 距離’故可獲得一足夠載子移動率。 118581.doc 1344212 依據本發明之另一具體實施例,提供一種半導體裝置, 其包括:-閘極電極,其係使用—閘極絕緣膜而提供在一 矽基板之上;及一混合晶體層,其在該閘極電極兩個橫向 側上挖掘财基板之區域中包括碎及晶格常數不同㈣之 原子。該混合晶體層以一濃度梯度包含該雜質,使得該雜 質濃度沿從該矽基板側向該表面之方向増加。
依據剛才所述之半導體裝置,該混合晶體層以一濃度梯 度包含該雜質’使得該雜f濃度沿從财基板側向該表面 之方向增加。因此,比較該表面侧上的濃度,在該閘極電 極之下’在該石夕基板之通道區<料的混合晶體層以一更 低濃度包含該雜質。此點確保限制雜f由於—熱處理而從 該混合晶體層擴散,並防止產生短通道效應。此外,由於 不必增大該閘極電極之下之區域與該混合晶體層之間的距 離,故可獲得一足夠載子移動率。
如上述,依據從屬於本發明之4導體裝置之製造方法及 半導體裝i,可防止產生短通道效應,並可獲得—足夠载 子移動率,使得可提高電晶體特徵。 【實施方式】 現在,下面將基於附圖夾古 口术λ明本發明之某些具體實施 例。在各具體實施例中,按制&牛聰&广七上、 牧表造步驟次序來說明一半導體 裝置之組態。 (第一具體實施例) 以PMOSFET之製造方法柞主疒办,斗A & /左1乍為靶例並參考圖1A至1G之製 造步驟截面圖,下面將說明你凰认士技 几乃攸屬於本發明之半導體裝置之 II858I.doc 1344212 t造方法之一具體實施例。隨便提及,在下列說明中,與 ' i面本發明之以技術中所述組態相同的組態將由上面使 ·· 用的相同符號來表示。 ‘ 首先’如圖1辑示’製備由單晶石夕所組成的-砂基板 • 11 ’然後在其表面侧形成裝置隔離區域。例如,在此情況 、 下,形成STI(淺溝渠隔離)結構之裝置隔離區域,其中在石夕 基板之表面側上形成溝渠,然後將由(例如)一氧化石夕膜 Φ 所組成之一絕緣膜埋入該等溝渠内。 接著在由。玄等裝置隔離區域所隔離之各區内的石夕基板 U上’圖案化-由(例如)多晶矽所組成之閘極電極13,一 由(例如)-氧氮切膜所組成之間極絕緣和在其間。在 此情況下’為了在閉極電極13上提供_由(例如)一氮化石夕 膜所組成之偏移絕緣膜14,以一堆疊狀態來層疊用於構成 間極、·邑緣膜12、閉極電極j 3及偏移絕緣膜j 4之材料膜,然 後使該臈堆疊經受圖案蝕刻。 • &處’構成間極絕緣膜。之材料不限於氧氮化石夕膜,而 可以係-氧化石夕膜或-含铪或紹之金屬氧化物模。此外, .閘極電極U不限於多晶♦,而可包含—金屬材料。 接著’如圖1B所示,例如,以覆蓋閘極絕緣膜12、閉極 ,電極13及偏移絕緣膜14之狀態,在矽基板u之上形成一氮 化石夕膜15,。隨後,如圖1C所示,例如藉由一乾式餘刻法 來回蝕氮化矽膜15,(參見圖]B),藉此在開極絕緣膜Η、開 極電極η及偏移絕緣膜14之側壁上形成絕緣側壁15。儘管 本文中將側壁15說明為由(例如)氮化矽膜所組成,但側壁 n8581.doc . 15可由氮化㈣之外的其他膜所組成,並可配置有氧化石夕 膜或該些膜之一堆疊結構。 ·· 接著’如圖1D所示’進行凹陷蝕刻,其包括挖掘矽基板 . 11之表面。在此情況下,挖掘矽基板11之表面層之凹陷蝕 刻係藉由使用閘極電極13及側壁15上的偏移絕緣膜14作為 光罩進行蝕刻來實現,藉此形成大約80 nm深的凹陷區 域16。在該凹陷姓刻中,進行一等向姓刻,藉此甚至可二 •、寬凹陷區域16至該等側壁15之下側。隨後,使用稀氫I酸 進行一清洗處理,藉此移除矽基板丨i之表面之上的—天然 氧化物膜。順便提及,儘管本文中說明一在已提供該等^ 壁15之條件下進行凹陷蝕刻之範例,但本發明還可適用於 不預先提供該等側壁15而實施凹陷蝕刻之情況。 隨後,在該等凹陷區域〗6之表面上,即在矽基板u之挖 掘部分之表面上,在—含雜質狀態下,磊晶生長一含矽及 晶格常數不同於碎之原子的混合晶體層。此處,根據要產 • 生的PM〇SFET,以生長一㈣層(混合晶體層),其由石夕 (si)與晶格常數大於石夕之原子(Ge)組成並包含(例如㈣作為 雜質。 在此情況下,作為本發明之一特徵’在矽基板丨丨之挖掘 ‘冑分表面上,蟲晶生長該SiGe層,以便以此一濃度梯度包 含侧,使得其内的棚濃度沿從石夕基板u之側向其表面之方 向增加。本文中,該SiGe層係由在一堆疊狀態下連續層疊 的一第一SiGe層(第一層)、—第二SiGe層(第二層)與一第 二SiGe層(第三層)組成。 118581.doc -10· 1344212 明確而言’如圖1E所示’在矽基板丨丨之挖掘部分表面 上’即在凹陷區域16表面上’形成第—以以層2U,以便 在二個SiGe層之中以最低濃度包含硼。此處,採用一 }至 30 nm之膜厚度來蠢晶生長第一siGe層21a,以便獲得一 1 xlO18 至 lxl019cm·3 之硼濃度。 至於第一8丨〇6層21&之成膜條件,在〇〇8/〇6114/11(:1/82116 =10至100/10至100/10至100/丨至5〇 (ml/min)之氣體流量速 率、一650至750C之處理溫度及一 1.3至13.3 kP a之處理壓 力下,使用二氣矽烷(DCS)、使用氫(H2)稀釋至1.5 vol%的 氫化鍺(GeH4)、氯化氫(HC1)及使用氫氣(H2)稀釋至100 ppm之二硼烷(B^6)作為成膜氣體。應注意,此處及下文 中,氣體流量速率係在正常狀態下的體積流量速率。 此處,比較該等第二及第三SiGe層,以低濃度包含雜質 之第一 SiGe層2 la處於更靠近該通道區域;因此,限制由 於熱處理所引起的硼從SiGe層之擴散,並防止產生短通道 效應。此外,為了安全地防止短通道效應,在上述範圍内 的第一 SiGe層21a之膜厚度更佳的係在丨〇至30 nm之範圍 内,在此範圍内才免於降低所產生PMOSFET内的載子移 動率。 順便提及,如上面在本發明之先前技術中所述,即便在 不改變成膜條件地將SiGe層直接形成在該等凹陷區域表面 上之情況下’為了方便膜形成,可能存在在該等凹陷區域 上的表面上形成一以一低濃度包含一雜質之SiGe層的情 況。然而,在此具體實施例中第一 siGe層2 1 a之形成不同 118581.doc 11 1344212 於此類偶然情況。明確而言,以低濃度包含雜質之第一 SiGe層2 1 a係藉由積極改變該等成膜條件來形成以便具有 一預定膜厚度。 接著,如圖〗F所示,在第一 SiGe層21a上,第二SiGe層 2 lb係磊晶生長以便以此一濃度梯度包含該雜質,使得沿 從第一 SiGe層21a向其表面之方向,其内的雜質濃度從第 一 SiGe層21a内的雜質濃度連續地變化至第三SiGe層内的 雜質濃度,稍後將對此加以說明。此處,根據在第一 siGe 層213内的硼濃度係在1><〗〇18至1><1〇19(:111-3之範圍内且在第 二SiGe層内的硼濃度係在1χ1〇ΐ9至5x1〇2〇 cm-3之範圍内, 第二SiGe層2 1 b係形成以便以此一濃度梯度包含硼,使得 沿從第一 SiGe層2 1 a側向其表面之方向,其内的硼濃度從1 xlO18至lxl〇19 cm·3之範圍連續地變化至1χ1〇ΐ9至5χ1〇2〇 cm 3之範圍。第二SiGe層21b之膜厚度係1至20 nm。 至於第二SiGe層2 lb之成膜條件,使用與第一SiGe層2 la 之情況下相同的成膜氣體。在該等成膜氣體之中,在 0。5/〇61"14/11(:1=10至1〇〇/1〇至1〇〇/1〇至10〇(1111/111丨11)之氣體 流量速率下使用DCS、GeH4,及HC1。此外,由H2稀釋至 100 ppm之B#6之氣體流量速率從一 !至5〇 ml/min之值連 續地變化至一 50至300 ml/min之值。此外,處理溫度係設 定在650至750°C之範圍内,而處理壓力係在1.3至13.3 kPa 之範圍内。 此處’上述第二SiGe層2 lb係内插於在三個SiGe層中雜 質濃度最低的第一 SiGe層2la與在三個SiGe層中雜質濃度 118581.doc 12 1344212 最局的第三8丨^層之間的組態緩和由於第一8丨〇6層21&與 第二SiGe層之間雜質濃度差所引起之膜形成過程中的麻 煩。因此’在第一以以層21a與第三以&層之間雜質濃度 差較小之情況下,可能不必提供第二SiGe層2 1 b。此外, 儘官此處第二SiGe層2 lb係形成以便以此一濃度梯度包含 該雜質’使得沿從第一 SiGe層2 la之側向第三SiGe層之側 之方向,其内雜質濃度連續地變化,但該濃度變化可能係 逐步的。在該情況下,逐步地改變B2H6氣體流量速率。 接著’如圖1G所示,在第二SiGe層21b上,第三SiGe層 21c係形成’以便在該等三個以以層之中以最高濃度包含 雜質。本文中’磊晶生長第三SiGe層21c至一 50至100 nm 之膜厚度,以便具有一 1x1〇h至5χΐ〇2() cnT3之棚濃度。 關於第三SiGe層21c之成膜條件,在氣體流量速率 DCS/GeH4/HCl/B2H6=10 至 100/10 至 loo/ίο 至 100/50 至 3〇〇 (ml/min)、一 650 至 750。(:之處理溫度及一 1.3 至 13.3 kPa 之 處理壓力下,使用與第一 SiGe層21a與第二SiGe層21b情況 相同的成膜氣體。 因此’在該等凹陷區域16表面上形成由在一堆疊狀態下 連續層疊的第一SiGe層2la、第二SiGe層21b及第三SiGe層 21c所組成之SiGe層21。由於該等凹陷區域16係形成至大 約80 nm深’故該等凹陷區域16係使用第一 siGe層21a、第 二SiGe層21b及第三SiGe層21c連續地填充,而第=Si(}e層 2 1 c係在從石夕基板11之表面位準向上突起之狀態下。此 外,SiGe層2 1以此一濃度梯度包含硼作為一雜質,使得其 I18581.doc 13 1344212 内的雜質農度沿從石夕基板11之側向其表面之方向增加6 SiGe層2 1形成依據此具體實施例之製造方法所製造之 PMOSFET之源極/汲極區域’而在位於該等丨之間 的閑極電極13之下的碎基板11之區域變成該pmqsfeT之 通道區域18。 後續步驟係採用與通常PMOSFET製造方法中相同的方 式來貫施。例如’可石夕化SiGe層2】之表面側以形成一石夕化 物層。在此情況下,如上述,由於處於靠近通道區域丨8之 第一 SiGe層21a以低濃度包含該雜質,即便在形成以以層 2 1之後進行一熱處理時’仍抑制雜質之擴散a,因此防止 產生短通道效應。 在此方式下,製造一PMOSFET,其中藉由SiGe層21強 加在通道區域18上之應力(壓縮應力)來應變通道區域丨8。 依據上述半導體裝置之製造方法及半導體裝置,8丨以層 2 1係磊晶生長以便以此一濃度梯度來包含該雜質,以便其 内的雜質濃度沿從矽基板11之側向其表面之方向增加,使 得抑制由於一熱處理所引起的雜質從SiGe層21之擴散A, 並防止產生短通道效應。特定言之,依據此具體實施例, SiGe層21係有三個SiGe層所組成,且靠近通道區域〖8之第 一 SiGe層2la係形成以便比較其他SiGe層以一較低濃度包 含該雜質,使得可安全地防止產生短通道效應。此外,由 於不必增大SiGe層21與該閘極電極之下之區域之間的距 離,故可獲得一足夠載子移動率。因此,可提高電晶體特 微。 ϊ 18581 .doc • 14 · 1344212 此外’依據此具體實施例中半導體裝置之製造方法,在 不改變成膜氣體之種類之情況下,可藉由一系列僅改變成 膜條件之操作來形成具有雜質濃度梯度之SiGe層21,故在 可生產性基礎上較為出色。 順便提及,儘管在上述第一具體實施例中已說明一包含 硼作為在形成該PMOSFET之源極/汲極區域之SiGe層中之 雜質之範例,但可使用硼之外的其他雜質,例如鎵(Ga)或 銦(In)。例如,在使用Ga作為雜質之情況下,代替上述第 一具體實施例中所使用的B2H6,使用三乙基鎵(Ga(C2H5)3) 或三甲基鎵(Ga(CH3)3)作為一成膜氣體。同樣地,在使用 In作為雜質之情況下,代替B#6,使用三乙基銦 (In(C2H5)3)或三曱基銦(In(CH3)3)作為一成膜氣體。 (第一具體實施例) 儘管在上述第一具體實施例之說明中將PM〇SFE丁之製 造方法視為範例,但在此具體實施例中將一 nm〇SFET2 製造方法視為範例並參考圖2A至2C來說明其。順便提 及直到挖掘石夕基板Η之表面之步驟為止的該等步驟均 採用與上面參考圖1A至1D所述之步驟相同的方式來實 施。 在製造一NMOSFET之情況下,首先,如圖2八所示在 凹陷區域16之表面上,即在矽基板n之挖掘部分表面上, 磊晶生長一碳化矽(sic)層(混合晶體層),其由矽(Si)與晶 格常數比石夕更小的原子(c)組成並包含(例如)钟(As)作為雜 質。 118581.doc -15- 1344212 在此情況下’而且該SiGe層係磊晶生長,以便以此一濃 ‘ 度梯度包含As,使得其内的As濃度沿從矽基板1丨之側向其 / 表面之方向增加。此處,如同第一具體實施例,該Sic層 • 係由在一堆疊狀態下連續地層疊的一第一 SiGe層(第一 ‘ 層)、一第二SiC層(第二層)與一苐三SiC層(第三層)組成。 . 明確而言’在矽基板1 1之挖掘部分表面上,第—Sic層 22a係形成以便在雜質濃度上成為該等三個Sic層之最低 φ 者。此處,採用一1至30 nm之膜厚度來形成第一 SiC層 22a,以便具有一 lxl〇18至卜10丨9咖-3之八§濃度。 至於第一 SiC層22a之成膜條件,在DCS/SiH3CH3/H(:I/ ash3=io至 loo/i 至 50/10至 100/1 至 25 (ml/min)之氣體流量 速率、一 6S0至75〇它之處理溫度及一13至133 kpa之處理 壓力下,使用DCS、使用氫(I)稀釋至! v〇1%的甲基矽甲 院(SiH/H3)、HC1、及使用氫稀釋至1 v〇1%之砷化氫 (AsH3)作為成膜氣體。 • 此處,如稍後將會明白的,較低濃度包含雜質之第一 Sic層22a係置放成三個SiC層之中最靠近通道區域者,使 得抑制由於一熱處理所引起之As從該SiC層之擴散,並防 • 止產生短通道效應。此外,& 了安全地防止短通道效應, 在上述範圍内的第一SiC層22a之膜厚度進一步更佳的係在 1〇至3〇⑽之範圍内’在此範圍内才免於降低所產生 NMOSFET内的載子移動率。 接著,如圖2B所示,在第—加層仏上第二w層咖 係形成以便以-濃度梯度包含雜f,使得沿第—W層Da 118581.doc 16 1344212 之側向其表面之方向’其内的雜質濃度從第一SiC層22a内 的雜處派度連續地變化至第三siC層内的雜質濃度。此 處’如稍後所述’根據第_ Sic層22a内的As濃度係在 1x10至lxl09cm3之範圍内且在第三sic層内的As濃度係 在1x10至5xi〇20 cm.3之範圍内第二sic層221^係形成以 便以一濃度梯度包含As,使得其内的As濃度從一在lxl〇ls 至1x10 cm 3範圍内之值不斷地增加至一在lxl0”至 5x10 cm 3範圍内之值。第二SiC層22b之膜厚度係1至20 nm之範圍内。 至於第二SiC層22b之成膜條件,使用與第一 Sic層22a之 情況下相同的成膜氣體。同樣在第一 Sic層22a之情況下, DCS、SiH/H3及HC1之氣體流量速率係設定為DCS/ SiH3CH3/HC1=1〇 至(ml/min)。另一方 面,使用Hz稀釋至1 ν〇ι%之氣體流量速率從一在工至25 ml/min範圍内之值連續地變化至一在25至5〇 mi/niin範圍内 之值。此外’處理溫度係設定在650至750。(:之範圍内,而 處理壓力係在1.3至13.3 kPa之範圍内。 此處,上述第二SiC層22b係内插於在三個SiC層中雜質 濃度最低的第一 SiC層22a與在三個SiC層中雜質濃度最高 的第三SiC層之間的組態緩和由於第一 Sic層22a與該第三 SiC層之間雜質濃度差所引起之膜形成過程中的麻煩。因 此’在第一 SiC層22a與第三SiC層之間雜質濃度差較小之 情況下’可能不必提供第二SiC層22b。此外,儘管此處第 二SiC層22b係形成以便以此一濃度梯度包含雜質,使得沿 1 18581.doc 1344212 從第一 SiC層22a之側向第三SiC層之側之方向,其内雜質 濃度連續地變化,但該濃度變化可能係逐步的。在該情況 下,逐步地改變AsH3氣體流量速率。 接著,如圖2C所示,在第二Sic層22b上,第三Sic層22c 係形成,以便在該等三個SiC層之中以最高濃度包含該雜 質。此處,採用一 50至1〇〇 nm之膜厚度來形成第三SiC層 22c ’以便具有一 1x10丨9至5x1〇2q咖-3之“濃度。 關於第二SiC層22c之成膜條件,在氣體流量速率dcs/ SiH3CH3/HCl/AsH3 = l〇 至 loo/i 至 5〇/1〇 至 1〇〇/25 至 5〇 (ml/ min)、一處理溫度650至750°C及一處理壓力1.3至13.3 kPa 下’使用與第一 SiC層22a與第二SiC層22b情況相同的成膜 氣體。 因此’在該等凹陷區域1 6表面上形成由在一堆疊狀態下 連續層疊的第一SiC層22a、第二SiC層22b及第三SiC層22c 所組成之SiC層22。由於該等凹陷區域16係形成至大約8〇 nm深,故該等凹陷區域16係使用第一 sic層22a、第二siC 層22b及第三SiC層22c連續地填充,而第三SiC層22c係在 從矽基板11之表面位準向上突起之狀態下。此外,SiC層 22以此一濃度梯度包含As作為一雜質,使得其内的雜質濃 度沿從石夕基板11之側向其表面之方向增加。
SiC層22形成依據此具體實施例之製造方法所製造之 NMOSFET之源極/汲極區域’而在位於該等sic層22之間 的閘極電極1 3之下的矽基板11之區域變成該nm〇SFET之 通道區域1 8。 118581.doc •18- 1344212 後續步驟係採用與·通常NMOSFET製造方法中相同的方 式來實施。例如’可<6夕化S i C層2 2之表面側以形成一妙化 物層。在此情況下,如上述’由於處於靠近通道區域18之 第一 SiC層22a以低濃度包含該雜質,即便在形成gic層22 之後進行一熱處理時’仍抑制雜質之擴散A,因此防止產 生短通道效應。 依此方式,製造一NMOSFET,其中藉由SiC層22強加在 通道區域1 8上之應力(壓縮應力)來應變通道區域〗8。 依據上述半導體裝置之製造方法及半導體裝置,Sic層 22係磊晶生長以便以此一濃度梯度來包含該雜質,以便其 内的雜質濃度沿從矽基板丨丨之側向其表面之方向增加,使 得抑制由於一熱處理所引起的雜質從以(:層22之擴散A,並 防止產生短通道效應。特定言之,依據此具體實施例,
SiC層22係有三個SiC層所組成,且靠近通道區域18之第一
SiC層22a係形成以便比較其他Sic層以一較低濃度包含該 雜質,使得可安全地防止產生短通道效應。此外,由於不 必增大SiC層22與該閘極電極之下之區域之間的距離,故 可獲彳于一足夠載子移動率。因此,可提高電晶體特徵。 (修改範例1) 儘管在上述第二具體實施例中已說明As係包含作為Sic 層中的雜質用於形成該NMOSFET之源極/汲極區域,可代 替As,使用磷(P)作為雜質。 在此情況下,同样妊田 ,_ J樣刼用一 1至30 nm之膜厚度來形成第一
SiC層 22a,以使 η , , Λ,。 在1x10至1x10 cm.3範圍内之濃度 11858I.doc •19· 1344212 包含p作為雜質。 至於第一 SiC層22a之成膜條件,在DCS/SiH3CH3/HC1/ ΡΗ3=1〇ι 100/1至 50/10至 1〇〇/1 至 15〇 (ml/min)之氣體流量 速率、一 650至750 C之處理溫度及一 13至13_3 kPa之處理 壓力下’使用DCS、使用氫(H2)稀釋至【ν〇ι%的Siti3CH3、 HC1 '及使用%稀釋至50 ppm之磷化氫(PH3)作為成膜氣 體。 接著’在第一 SiC層22a上’以一1至2〇 nm之膜厚度形成 一第二SiC層22b,以便在此一濃度梯度下包含p作為一雜 質’使得沿從第一 SiC層22a之側向其表面之方向,其内的 雜質濃度從一在lxlO18至lxlO19 cm·3範圍内之值增加至一 在lxlO19至5xlO20 cm·3範圍内之值。 至於第二SiC層22b之成膜條件,使用與第一 Sic層22a之 情況下相同的成膜氣體。DCS、SiH3CH3及HC1之氣體流量 速率係設定為〇〇8/8出3(^3/11(:1=10至100/1至5 0/:10至100 (ml/min)。另一方面’使用H2稀釋至5〇 ppm之PH3之氣體 流量速率從一在1至1 50 ml/min範圍内之值連續地變化至— 在150至3 00 ml/min範圍内之值。此外,處理溫度係設定在 650至750°C之範圍内,而處理壓力係在1.3至13.3 kPa之範 圍内。 接著,在第二SiC層22b上,以一 50至100nm之膜厚度來 形成第三SiC層22c,以便以一在ΐχΐ〇19至5xl〇20 cm-3範圍 之濃度包含P作為一雜質。 關於第三SiC層22c之成膜條件,在氣體流量速率DCS/ 118581.doc •20· 1344212
SiH3CH3/HC1/PH3 = 10 至 100/1 至 50/10至 1〇〇/15〇 至 3〇〇 (mi/ min)、一處理溫度650至75yc及—處理壓力i 3至13 3化匕 下,使用與第一 SiC層22a與第二SiC層22b情況相同的成臈 氣體。 藉由剛才所述NMOSFET之製造方法及NM〇SFE丁,還可 顯示與上述第二具體實施例中相同的效應。 順便提及,在上述第一及第二具體實施例及修改範例 1,已說明由一 SiGe層或SiC層所組成之混合晶體層配置有 以一堆疊狀態連續層莹之第一層、第二層及第三層之範 例。該第一層及第三層係各形成以在一預定範圍内維持一 雜質濃度,而該第二層係形成以便具有此一濃度梯度,使 得其内的雜質濃度從該第一層侧向該第三層側連續地增 加。然而’此類組態不會限制本發明。例如,該混合晶體 層可由複數個層所組成,其以此一濃度梯度包含一雜質, 使得該雜質濃度沿從該矽基板側向其表面之方向逐步地增 加。另外,該混合晶體層可由一單層所組成,其以此一濃 度梯度包含一雜質,使得該雜質濃度沿從該矽基板側向其 表面之方向連續地增加。然而,此處應注意,在該通道區 域附近,該混合晶體層之部分較佳的係具有以一 1〇至3〇 nm之膜厚度在一較低雜質濃度下保持的一區域。 此外,儘管在上述具體實施例之各具體實施例中已說明 製造一 PMOSFET或NMOSFET所採用之半導體裝置製造方 法,但本發明還可應用於產生同時固定pM〇sFE丁與 NMOSFET之CMOS(互補金氧半導體)fet之情況。 118581.doc 省头此項技術者應明白,於隨附申請專利範圍或其等效 者釦可内,可依據設計要求及其他因素來進行各種修 这、且D、次組合、以及變更,只要其不脫離隨附申請專 利範圍或其等效内容之範疇。 【圖式簡單說明】 圖1A至ig係用於說明從屬於本發明之半導體裝置之製 邊方法之第一具體實施例之製造步驟截面圖; 圖2A至2C係用於說明從屬於本發明之半導體裝置之製 造方法之第二具體實施例之製造步驟截面圖; 圖3 A至3 C係用於說明依據相關技術之半導體裝置之穿 造方法之製造步驟截面圖;以及 圖4係用於說明依據相關技術之半導體裝置之製造方法 中一問題之一裁面圖。 【主要元件符號說明】 11 $夕基板 12 閘極絕緣膜 13 閉極電極 14 偏移絕緣膜 15 側壁 15' 氮化矽膜 16 凹陷區域 17 矽鍺(SiGe)層 18 通道區域 21 SiGe 層 doc -22- 1344212 21a 第一 SiGe層 21b 第二SiGe層 21c 第三SiGe層 22 SiC層 22a 第一 SiC層 22b 第二SiC層 22c 第三SiC層 118581 .doc - 23 -

Claims (1)

1344212 月2 R修(更)正本 v .第096112761號專利申請案 中文申請專利範圍替換本(99年12月) +、申請專利範園: 1. 一種半導體裝置之製造方法,其包含: 第一步驟,其使用閘極絕緣膜在石夕基板上形成閘極電 極; 第二步驟,其使用以該閑極電極作為光罩所進行的姓 刻來挖掘該矽基板之表面層;以及 第三步驟,其在該矽基板之該挖掘部分之表面上磊晶 φ 生長包括石夕及晶格常數不同於石夕之原子的混合晶體層, 而使該混合晶體層以雜質濃度沿從該矽基板側朝向該混 合晶體層表面方向增加之濃度梯度包含雜質。 2·如請求項1之半導體裝置之製造方法, 其中該半導體裝置係P型場效電晶體,以及 該第三步驟包括在該矽基板之表面上,磊晶生長該包 括矽及鍺之混合晶體層,使得該混合晶體層以該濃度梯 度包含該p型雜質。 • 3.如請求項1之半導體裝置之製造方法, 其中該半導體裝置係n型場效電晶體,以及 '亥第三步驟包括在該矽基板之表面上,磊晶生長該包 括矽及奴之混合晶體層,使得該混合晶體層以該濃度梯 度包含該η型雜質。 4.如請求項1之半導體裝置之製造方法, 其中該第三步驟包括磊晶生長該混合晶體層,而使該 混合晶體層以該雜質濃度沿從㈣基板側朝向該混合晶 體層表面之方向逐步增加之該濃度梯度包含該雜質。 11858l-99l203.doc 1344212 5. 如請求項丨之半導體裝置之製造方法,其中: '其中該第三步驟包括磊晶生長該混合晶體層,而使該 S日日體層以邊雜質濃度沿伙·该♦基板側朝向兮:日A a 體層表面之方向連續地增加之該濃度梯度包含該雜質。 6. 如請求項1之半導體裝置之製造方法, 其中該混合晶體層包括以堆疊狀態連續層疊的第一 層、第二層及第三層,以及 該第三步驟包括以下步驟: 在該矽基板之該挖掘部分之表面上形成該第一層, 使得該第一層在該等三層之中以最低濃度包含該雜質; 在該第一層上形成該第二層,而使該第二層以在該 第二層内的雜質濃度從該第一層内的雜質濃度增加至 玄第_層内的雜負丨辰度之濃度梯度包含該雜質;以及 在該第二層上形成該第三層,使得該第三層在該等 三層之中以最高濃度包含該雜質。 7. —種半導體裝置,其包含: 閘極電極,其使用閘極絕緣膜而設置於矽基板之上; 以及 冰匕&日日體層,其包括石夕及晶格常數不同於石夕之原子, 該混合晶體層係在該矽基板於該閘極電極之兩個橫向側 上被挖掘之區域_, 其中該混合晶體層以雜質濃度沿從該矽基板側朝向該 表面之方向增加之濃度梯度包含雜質。 118581-991203.doc 1344212 .第096112761號專利申請索 中文圖式替換本(99年12月) 十一、圖式: 14
11
1344212 21a
圖IE
21b
圖IF
13
21c 21b 21a 21 1344212 22a
圖2A
22b
圖2B
13
22c 22b 22a 15 1344212
圖3A 14
圖3B
圖3C 1344212
TW096112761A 2006-04-26 2007-04-11 Method of manufacturing semiconductor device, and semiconductor device TWI344212B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006121605A JP4345774B2 (ja) 2006-04-26 2006-04-26 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW200746430A TW200746430A (en) 2007-12-16
TWI344212B true TWI344212B (en) 2011-06-21

Family

ID=38648812

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096112761A TWI344212B (en) 2006-04-26 2007-04-11 Method of manufacturing semiconductor device, and semiconductor device

Country Status (5)

Country Link
US (2) US7510925B2 (zh)
JP (1) JP4345774B2 (zh)
KR (1) KR101358949B1 (zh)
CN (1) CN101064257A (zh)
TW (1) TWI344212B (zh)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017487B2 (en) 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
US7687337B2 (en) * 2007-07-18 2010-03-30 Freescale Semiconductor, Inc. Transistor with differently doped strained current electrode region
WO2009093328A1 (ja) * 2008-01-25 2009-07-30 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
WO2009122542A1 (ja) 2008-03-31 2009-10-08 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
DE102009006884B4 (de) * 2009-01-30 2011-06-30 Advanced Micro Devices, Inc., Calif. Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5381382B2 (ja) 2009-06-19 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5287621B2 (ja) * 2009-09-10 2013-09-11 富士通セミコンダクター株式会社 半導体装置
US8236660B2 (en) * 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8299535B2 (en) * 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
KR101703096B1 (ko) 2010-09-02 2017-02-07 삼성전자 주식회사 반도체 장치의 제조방법
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8455930B2 (en) * 2011-01-05 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
CN102709183B (zh) * 2011-03-28 2016-08-03 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8338279B2 (en) 2011-03-30 2012-12-25 International Business Machines Corporation Reduced pattern loading for doped epitaxial process and semiconductor structure
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5875143B2 (ja) * 2011-08-26 2016-03-02 学校法人関西学院 半導体ウエハの製造方法
CN103000499B (zh) * 2011-09-14 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种锗硅硼外延层生长方法
US8927374B2 (en) * 2011-10-04 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103107070B (zh) * 2011-11-14 2017-11-07 联华电子股份有限公司 半导体装置及制作外延层的方法
CN103187299B (zh) * 2011-12-31 2015-08-05 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US8889523B2 (en) * 2012-01-02 2014-11-18 United Microelectronics Corp. Semiconductor process
US9190471B2 (en) * 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
CN103681496B (zh) * 2012-09-04 2016-04-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794503A (zh) * 2012-10-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制作方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10134896B2 (en) * 2013-03-01 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic deposition etch chemical vapor deposition epitaxy to reduce EPI abnormality
US8940595B2 (en) * 2013-03-15 2015-01-27 International Business Machines Corporation Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
CN104701149A (zh) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105304481A (zh) * 2014-06-10 2016-02-03 联华电子股份有限公司 半导体元件及其制作方法
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9991343B2 (en) * 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016204782A1 (en) * 2015-06-19 2016-12-22 Intel Corporation Carbon-based interface for epitaxially grown source/drain transistor regions
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691901B2 (en) * 2015-10-02 2017-06-27 United Microelectronics Corp. Semiconductor device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107275210B (zh) * 2016-04-06 2023-05-02 联华电子股份有限公司 半导体元件及其制作方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
CN110767741B (zh) * 2019-10-17 2023-09-15 上海华力集成电路制造有限公司 Nmos管及其制造方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11444199B2 (en) * 2020-08-03 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03151669A (ja) 1989-11-08 1991-06-27 Sharp Corp 半導体装置の製造方法
JP2964895B2 (ja) 1995-01-04 1999-10-18 日本電気株式会社 電界効果型トランジスタおよびその製造方法
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP5060002B2 (ja) 2001-07-12 2012-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2004260132A (ja) 2003-02-05 2004-09-16 Nec Electronics Corp 半導体装置の製造方法
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7494858B2 (en) * 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
JP5091403B2 (ja) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering

Also Published As

Publication number Publication date
US20070254414A1 (en) 2007-11-01
KR20070105911A (ko) 2007-10-31
CN101064257A (zh) 2007-10-31
USRE49803E1 (en) 2024-01-16
KR101358949B1 (ko) 2014-02-06
JP2007294707A (ja) 2007-11-08
JP4345774B2 (ja) 2009-10-14
TW200746430A (en) 2007-12-16
US7510925B2 (en) 2009-03-31

Similar Documents

Publication Publication Date Title
TWI344212B (en) Method of manufacturing semiconductor device, and semiconductor device
US8164085B2 (en) Semiconductor device and production method thereof
JP5141029B2 (ja) 半導体装置とその製造方法
US9287399B2 (en) Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
US7985641B2 (en) Semiconductor device with strained transistors and its manufacture
US7678631B2 (en) Formation of strain-inducing films
US8013424B2 (en) Semiconductor device and method of fabricating the same
JP5915181B2 (ja) 半導体装置およびその製造方法
TW200816473A (en) A heterojunction bipolar transistor (HBT) with periodic multilayer base
WO2012055143A1 (zh) 晶体管及其制造方法
JP5130648B2 (ja) 半導体装置の製造方法および半導体装置
US20100327329A1 (en) Semiconductor device and method of fabricating the same
TW201013787A (en) Ultra-shallow junctions using atomic-layer doping
JP5181466B2 (ja) 半導体装置の製造方法および半導体装置
JP2008177319A (ja) 半導体装置の製造方法および半導体装置
JP5200476B2 (ja) 半導体装置及びその製造方法
JP2007227721A (ja) 半導体装置およびその製造方法
JP2008171999A (ja) 半導体装置およびその製造方法
JP2008078347A (ja) 半導体装置の製造方法および半導体装置
US20150087127A1 (en) Mosfet with source side only stress
JP5206427B2 (ja) 半導体装置の製造方法
TW200805507A (en) Metal oxide semiconductor field effect transistor and fabricating method thereof