KR101358949B1 - 반도체 장치의 제조 방법 및 반도체 장치 - Google Patents

반도체 장치의 제조 방법 및 반도체 장치 Download PDF

Info

Publication number
KR101358949B1
KR101358949B1 KR1020070040877A KR20070040877A KR101358949B1 KR 101358949 B1 KR101358949 B1 KR 101358949B1 KR 1020070040877 A KR1020070040877 A KR 1020070040877A KR 20070040877 A KR20070040877 A KR 20070040877A KR 101358949 B1 KR101358949 B1 KR 101358949B1
Authority
KR
South Korea
Prior art keywords
layer
silicon substrate
semiconductor device
concentration
mixed crystal
Prior art date
Application number
KR1020070040877A
Other languages
English (en)
Other versions
KR20070105911A (ko
Inventor
유키 미야나미
Original Assignee
소니 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 소니 주식회사 filed Critical 소니 주식회사
Publication of KR20070105911A publication Critical patent/KR20070105911A/ko
Application granted granted Critical
Publication of KR101358949B1 publication Critical patent/KR101358949B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Abstract

반도체 장치의 제조 방법은, 실리콘 기판 위에 게이트 전극을, 게이트 절연막을 통해 형성하는 제1 단계; 및 상기 게이트 전극을 마스크로 한 에칭에 의해, 상기 실리콘 기판의 표면층을 파내려가는 제2 단계를 포함한다. 상기 반도체 장치의 제조 방법은 또한 상기 실리콘 기판의 파내려간 부분의 표면에, 혼합 결정층(mixed crystal layer)을 에피택셜 성장시키되, 상기 실리콘 기판 측으로부터 상기 혼합 결정층의 표면으로 갈수록 고농도가 농도 경사(concentration gradient)를 가지고 불순물이 함유되도록, 실리콘과 상기 실리콘과는 격자 상수가 상이한 원자를 포함하는 상기 혼합 결정층을 에피택셜 성장시키는 제3 단계를 더 포함한다.
반도체 장치, 제조 방법, 농도 경사, 혼합 결정층, 스트레스, 쇼트 채널 효과, 불순물 확산, 캐리어 이동도

Description

반도체 장치의 제조 방법 및 반도체 장치 {METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE}
도 1a 내지 도 1g는 본 발명의 반도체 장치의 제조 방법에 관한 제1 실시예를 설명하기 위한 제조 단계 단면도이다.
도 2a 내지 도 2c는 본 발명의 반도체 장치의 제조 방법에 관한 제2 실시예를 설명하기 위한 제조 단계 단면도이다.
도 3a 내지 도 3c는 종래의 반도체 장치의 제조 방법을 설명하기 위한 제조 단계 단면도이다.
도 4는 종래의 반도체 장치의 제조 방법에서의 과제를 설명하기 위한 단면도이다.
본 발명은 반도체 장치의 제조 방법 및 반도체 장치에 관한 것으로서, 특히 MOS(Metal Oxide Semiconductor)형 전계 효과 트랜지스터에 관한 것이다.
최근, 트랜지스터의 성능 향상을 위해, 채널 영역에 스트레스를 인가하여 드레인 전류를 증대시키는 것이 검토되어 왔다. 스트레스를 인가하는 방법의 예로 는, 게이트 전극 형성 후에 높은 응력을 가진 막을 형성하고 채널 영역에 스트레스를 인가하는 방법과, P채널 MOS형 전계 효과 트랜지스터(PMOSFET)의 소스/드레인 영역을 에칭하고 에칭한 부분에 실리콘 게르마늄(SiGe)층을 에피택셜 성장시켜, 채널 영역에 스트레스를 인가하는 프로세스를 포함한다.
채널 영역에의 스트레스 인가는, SiGe층이 채널 영역에 가까울수록, 그리고 SiGe층의 체적이 클수록 효과적이다. 또한, 소스/드레인 영역은 이온 주입에 의한 형성이 일반적이지만, 상기 SiGe층을 에피택셜 성장시키면서 동시에 붕소 등의 불순물을 첨가하는 것을, PMOSFET의 소스/드레인 영역을 형성하는 방법으로 연구되어 왔다(예를 들면, 일본 특허공개공부 제2002-530864호 공보, 특히, 도 4 및 단락 번호 0030 참조)
여기서, 전술한 PMOSFET의 제조 방법에 대하여, 도 3a 내지 도 3c를 참조하여 설명한다. 먼저, 도 3a에 나타낸 바와 같이, 실리콘 기판(11)의 표면 측에 소자 분리 영역(도시하지 않음)을 형성한다. 다음에, 실리콘 기판(11) 상에 게이트 절연막(12)을 통하여 게이트 전극(13)을 형성하고, 이 게이트 전극(13) 상에 실리콘 질화막으로 이루어지는 오프셋 절연막(14)을 형성한다. 이어서, 게이트 절연막(12), 게이트 전극(13), 및 오프셋 절연막(14)을 덮는 상태로, 실리콘 기판(11)상에 실리콘 질화막을 형성하고, 드라이 에칭법에 의해, 이 실리콘 질화막을 에치백(eched back)함으로써, 게이트 절연막(12), 게이트 전극(13), 및 오프셋 절연막(14)의 양 측면에 측벽(15)을 형성한다.
다음에, 도 3b에 나타낸 바와 같이, 오프셋 절연막(14)과 측벽(15)을 마스크 로 하여 실리콘 기판(11)을 에칭에 의해 파내려가는, 이른바 리세스 에칭을 행함으로써, 리세스 영역(16)을 형성한다. 그 후, 희석 플루오르화수소산(diluted hydrofluoric acid)을 사용한 세정 처리에 의해, 실리콘 기판(11) 표면의 자연 산화막을 제거한다.
이어서, 도 3c에 나타낸 바와 같이, 리세스 영역(16), 즉 실리콘 기판(11)의 파내려간 부분의 표면에, 붕소 등의 p형 불순물을 포함하는 실리콘 게르마늄(SiGe)층(17)을 에피택셜 성장시킨다. 이 SiGe층(17)이 소스/드레인 영역을 형성하고, 실리콘 기판(11)의 소스/드레인 영역에 배치된 게이트 전극(13) 바로 아래의 영역이 채널 영역(18)이 된다. 이 SiGe층(17)에 의한 채널 영역(18)에의 스트레스 인가는 채널 영역(18)의 뒤틀림(왜곡)을 일으켜, 충분한 캐리어 이동도를 가지는 PMOSFET가 형성된다.
그러나, 전술한 바와 같은 반도체 장치의 제조 방법은 다음과 같은 과제를 가진다. 도 4에 나타낸 바와 같이, SiGe층(17)이 채널 영역(18)이 되는 게이트 전극(13)의 바로 아래 영역에 가까울수록 SiGe층(17)에 의한 스트레스 인가 효율은 상승한다. 하지만, SiGe층(17)에는 붕소와 같은 불순물이 첨가되어 있으므로, 후속 단계에서 실행되는 열처리 또는 가열 단계에 의해 SiGe층(17) 중의 불순물이 확산될 것이다. 이 확산은 쇼트 채널 효과(short channel effect)를 일으킬 것이다. 이러한 상황을 방지하기 위해, 게이트 전극(13)의 바로 아래 영역과 붕소를 첨가한 SiGe층(17)과의 거리를 늘리는 것을 생각할 수 있다. 하지만, 이 경우에는 채널 영역(18)에 인가한 스트레스가 약해져서, 충분한 캐리어 이동도를 얻을 수 없게 된다.
따라서, 쇼트 채널 효과를 방지하고, 충분한 캐리어 이동도를 얻을 수 있는 반도체 장치의 제조 방법 및 반도체 장치에 대한 필요가 존재한다.
본 발명의 실시예에 따르면, 게이트 전극을 형성하는 제1 단계; 표면층을 파내려가는 제2 단계; 및 에피택셜 성장시키는 제3 단계를 포함하는 반도체 장치의 제조 방법이 제공된다. 상기 제1 단계는 실리콘 기판 위에 게이트 전극을, 게이트 절연막을 통해 형성하도록 구성된다. 상기 제2 단계는 상기 게이트 전극을 마스크로 한 에칭에 의해, 상기 실리콘 기판의 표면층을 파내려가도록 구성된다. 상기 제3 단계는 실리콘 기판의 파내려간 부분의 표면에 혼합 결정층(mixed crystal layer)을 에피택셜 성장시키되, 상기 실리콘 기판 측으로부터 상기 혼합 결정층의 표면으로 갈수록 고농도가 되는 농도 경사(concentration gradient)를 가지고 불순물이 함유되도록, 실리콘과 상기 실리콘과는 격자 상수가 상이한 원자를 포함하는 상기 혼합 결정층을 에피택셜 성장시키도록 구성된다.
방금 언급한 반도체 장치의 제조 방법에 따르면, 상기 실리콘 기판의 파내려간 부분의 표면에 혼합 결정층이, 상기 실리콘 기판 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 불순물이 함유되도록 에피택셜 성장된다. 따라서, 실리콘 기판의 게이트 전극 바로 아래의 채널 영역에 가까운 혼합 결정층은, 표면 측에 비해 저농도의 불순물을 함유한다. 이로써, 열처리에 의한 혼합 결정층 으로부터의 불순물 확산이 억제되고, 쇼트 채널 효과의 발생이 방지된다. 또, 게이트 전극의 바로 아래 영역과 상기 혼합 결정층과의 거리를 늘리지 않아도 되므로, 충분한 캐리어 이동도를 얻을 수 있다.
본 발명의 다른 실시예에 따르면, 실리콘 기판 위에 게이트 절연막을 통해 설치된 게이트 전극; 및 상기 게이트 전극의 양 측면의 상기 실리콘 기판을 파내려간 영역에, 실리콘과 상기 실리콘과는 격자 상수가 상이한 원자를 포함하는 혼합 결정층을 포함하는 반도체 장치가 제공된다. 상기 혼합 결정층은, 상기 실리콘 기판 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 불순물이 함유되어 있다.
방금 언급한 반도체 장치에 따르면, 상기 혼합 결정층은, 상기 실리콘 기판 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 불순물이 함유되어 있다. 따라서, 실리콘 기판의 게이트 전극 바로 아래의 채널 영역에 가까운 혼합 결정층은, 표면 측에 비해 저농도의 불순물을 함유한다. 이로써, 열처리에 의한 혼합 결정층으로부터의 불순물 확산이 억제되고, 쇼트 채널 효과의 발생이 방지된다. 또, 게이트 전극의 바로 아래 영역과 상기 혼합 결정층과의 거리를 늘리지 않아도 되므로, 충분한 캐리어 이동도를 얻을 수 있다.
이상 설명한 바와 같이, 본 발명에 관한 반도체 장치의 제조 방법 및 반도체 장치에 따르면, 쇼트 채널 효과의 발생을 방지할 수 있고, 충분한 캐리어 이동도를 얻을 수 있어, 트랜지스터의 특성을 향상시킬 수가 있다.
[바람직한 실시예에 대한 상세한 설명]
이하, 본 발명의 몇몇 실시예를 도면을 참조하여 상세하게 설명한다. 각 실시예에서는, 반도체 장치의 구성을 제조 단계 순으로 설명한다.
(제1 실시예)
본 발명의 반도체 장치의 제조 방법에 관한 실시예를, PMOSFET의 제조 방법을 예로 들어, 도 1a 내지 도 1g의 제조 단계 단면도를 참조하여 설명한다. 그리고, 배경 기술에서 설명한 것과 동일한 구성에는 동일한 도면번호를 부여하여 설명한다.
먼저, 도 1a에 나타낸 바와 같이, 단결정 실리콘으로 이루어지는 실리콘 기판(11)을 준비하고, 그 표면 측에 소자 분리 영역을 형성한다. 이때, 예를 들면 실리콘 기판(11)의 표면 측에 홈(trench)을 형성하고, 이 홈 내에 예를 들면 산화 실리콘막으로 이루어지는 절연막을 매입(bury)하여 STI(shallow trench isolation) 구조의 소자 분리 영역을 형성한다.
다음에, 소자 분리 영역에 의해 분리된 각 부분의 실리콘 기판(11)상에, 예를 들면 실리콘 산질화막(silicon oxynitride film)으로 이루어지는 게이트 절연막(12)을 통하여, 예를 들면 폴리실리콘으로 이루어지는 게이트 전극(13)을 패턴 형성한다. 이때, 게이트 전극(13) 상에, 예를 들면 실리콘 질화막으로 이루어지는 오프셋 절연막(14)이 설치되도록, 게이트 절연막(12), 게이트 전극(13), 및 오프셋 절연막(14)을 구성하는 재료막들을 스택 상태로 적층하고, 이들 적층 막들을 패턴 에칭한다.
여기서, 상기 게이트 절연막(12)의 구성 재료는, 실리콘 산질화막으로 한정 되지 않고, 실리콘 산화막 또는 하프늄이나 알루미늄을 함유하는 금속 산화막일 수도 있다. 또, 게이트 전극(13)은 폴리실리콘으로 한정되지 않고, 금속 재료를 함유할 수도 있다.
이어서, 도 1b에 나타낸 바와 같이, 게이트 절연막(12), 게이트 전극(13), 및 오프셋 절연막(14)을 덮는 상태로 실리콘 기판(11)상에, 예를 들면 실리콘 질화막(15')을 형성한다. 이어서, 도 1c에 나타낸 바와 같이, 예를 들면 드라이 에칭법에 의해 실리콘 질화막(15')(도 1b 참조)을 에치백함으로써, 게이트 절연막(12), 게이트 전극(13), 및 오프셋 절연막(14)의 측벽에, 절연성의 측벽(15)을 형성한다. 여기서는, 이 측벽(15)이, 예를 들면 실리콘 질화막으로 구성되는 것으로 설명하지만, 실리콘 질화막 이외의 다른 막으로 구성될 수도 있고, 실리콘 산화막 또는 이들 막의 스택 구조로 구성될 수도 있다.
다음에, 도 1d에 나타낸 바와 같이, 실리콘 기판(11)의 표면을 파내려가는 리세스 에칭을 행한다. 이 경우에는, 게이트 전극(13) 상의 오프셋 절연막(14) 및 측벽(15)을 마스크로 사용하는 에칭에 의해, 실리콘 기판(11)의 표면층을 파내려가는 리세스 에칭을 행함으로써, 약 80nm 깊이의 리세스 영역(16)을 형성한다. 이 리세스 에칭에서는 등방성 에칭을 행함으로써, 측벽(15)의 하방까지 리세스 영역(16)을 넓힐 수 있다. 그 후, 희석 플루오르화수소산을 사용한 세정 처리를 하여, 실리콘 기판(11) 표면의 자연 산화막을 제거한다. 그리고, 여기서는, 측벽(15)이 설치된 상태에서 리세스 에칭을 행하는 예에 대하여 설명하지만, 측벽(15)을 미리 설치하지 않고 리세스 에칭을 행하는 경우라도, 본 발명은 적용가능 하다.
이어서, 리세스 영역(16)의 표면, 즉 실리콘 기판(11)의 파내려간 부분의 표면에, 실리콘과 실리콘과는 격자 상수가 상이한 원자를 함유하는 혼합 결정층을, 불순물을 함유하는 상태에서 에피택셜 성장시킨다. 여기서는, PMOSFET를 형성할 의도로, 예를 들면 붕소를 불순물로서 포함하는, 실리콘(Si)과 실리콘보다 격자 상수의 큰 원자(Ge)으로 이루어지는 SiGe층(혼합 결정층)을 에피택셜 성장시킨다.
이 경우에, 본 발명의 특징적인 구성으로서, 실리콘 기판(11)의 파내려간 부분의 표면에, 실리콘 기판(11) 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 붕소가 함유되도록, 상기 SiGe층을 에피택셜 성장시킨다. 여기서는, SiGe층이 제1 SiGe층(제1 층), 제2 SiGe층(제2 층) 및 제3 SiGe층(제3 층)으로 이루어지는 3개의 층을 스택 상태로 차례로 적층하여 구성된다.
구체적으로는, 도 1e에 나타낸 바와 같이, 실리콘 기판(11)의 파내려간 부분의 표면, 즉 리세스 영역(16)의 표면에, 상기 3개의 층 중에서 가장 저농도의 붕소가 함유되도록 제1 SiGe층(21a)을 형성한다. 여기서는, 붕소 농도가 1×1018 ~ 1×1019cm-3로 되도록, 1 ~ 30nm의 막 두께로 제1 SiGe층(21a)을 에피택셜 성장시킨다.
이 제1 SiGe층(21a)의 막 형성 조건으로서, 막 형성 가스로서 디클로로실란(dichlorosilane, DCS), 수소(H2)에 의해 1.5vol%로 희석된 수소화 게르마늄(GeH4), 염화수소(HCl) 및 수소(H2)에 의해 100ppm으로 희석된 디보란(diboran, B2H6)을 사용하되, 가스 유량을 DCS/GeH4/HCl/B2H6 = 10 ~ 100/10 ~ 100/10 ~ 100/1 ~ 50(ml/min), 처리 온도를 650 ~ 750℃, 그리고 처리 압력을 1.3 ~ 13.3kPa로 설정한다. 단, 상기 가스 유량은 표준 상태에서의 체적 유량이고, 이 이후에도 마찬가지라는 것에 유의하여야 한다.
여기서, 이 저농도의 불순물을 함유하는 제1 SiGe층(21a)은, 제2 SiGe층 및 제3 SiGe층에 비해 채널 영역에 더 가까이 배치되어 있고; 따라서 열처리에 의한 SiGe층으로부터의 붕소의 확산이 억제되고, 쇼트 채널 효과의 발생이 억제된다. 또, 쇼트 채널 효과를 확실하게 방지하기 위해서, 형성하는 PMOSFET의 캐리어 이동도를 저하시키지 않는 범위 내에서, 제1 SiGe층(21a)의 막 두께는 위에서 언급한 막 두께의 범위 내에서도 10 ~ 30nm 범위 내가 더욱 바람직하다.
그리고, 배경 기술에서 설명한 바와 같이, 리세스 영역의 표면에, 막 형성 조건을 바꾸지 않고 SiGe층을 직접 형성하는 경우라도, 막 형성의 편의상, 리세스 영역의 표면에 저농도의 불순물을 함유하는 SiGe층이 형성되는 경우도 있을 수 있다. 하지만, 이 실시예에서의 제1 SiGe층(21a)의 형성은 그러한 부차적인 경우와 상이하다. 구체적으로, 실제적으로 막 형성 조건을 변경함으로써, 소정의 막 두께 가 되도록, 저농도의 불순물을 함유하는 제1 SiGe층(21a)을 형성한다.
다음에, 도 1f에 나타낸 바와 같이, 제1 SiGe층(21a) 상에, 제2 SiGe층(21b)을 에피택셜 성장시키되, 제1 SiGe층(21a) 측으로부터 표면으로 갈수록 제1 SiGe층(21a)의 불순물 농도에서 후술하는 제3 SiGe층의 불순물 농도 사이에서 연속적으 로 변화되는 농도 경사를 가지고 불순물이 함유되도록, 제2 SiGe층(21b)을 에피택셜 성장시킨다.
여기서는, 제1 SiGe층(21a)의 붕소의 농도 범위가 1×1018 ~ 1×1019cm-3이고, 제3 SiGe층의 붕소의 농도 범위가 1×1019 ~ 5×1020cm-3이므로, 제1 SiGe층(21a) 측으로부터 표면으로 갈수록 1×1018 ~ 1×1019cm-3의 농도 범위에서 1×1019 ~ 5×1020cm-3의 농도 범위까지 연속적으로 변화되는 농도 경사를 가지고 붕소가 함유되도록, 제2 SiGe층(21b)을 형성한다. 이 제2 SiGe층(21b)의 막 두께는 1 ~ 20nm이다.
이 제2 SiGe층(21b)의 막 형성 조건으로서, 상기 제1 SiGe층(21a)과 동일한 막 형성 가스를 사용한다. 막 형성 가스 중, DCS, GeH4, 및 HCl는 가스 유량을 DCS/GeH4/HCl = 10 ~ 100/10 ~ 100/10 ~ 100(ml/min)으로 한다. 그리고, H2에 의해 100ppm으로 희석된 B2H6의 가스 유량을 1 ~ 50(ml/min)에서 50 ~ 300(ml/min)까지의 값으로 연속적으로 변화시킨다. 또, 처리 온도를 650 ~ 750℃ 범위, 그리고 처리 압력을 1.3 ~ 13.3kPa 범위로 설정한다.
여기서, 3개의 층 중에서 가장 불순물 농도가 낮은 제1 SiGe층(21a)과 가장 불순물 농도가 높은 제3 SiGe층 사이에 상기 제2 SiGe층(21b)을 개재시키는 구성은, 제1 SiGe층(21a)과 제3 SiGe층의 불순물의 농도 차에 의한 막 형성상의 문제를 완화시킨다. 그러므로, 제1 SiGe층(21a)과 제3 SiGe층의 불순물의 농도 차가 작은 경우에는, 제2 SiGe층(21b)을 형성하지 않아도 된다. 또, 여기서는, 제1 SiGe층(21a) 측으로부터 제3 SiGe층 측으로 갈수록, 불순물의 농도가 연속적으로 변화되는 농도 경사를 가지고 불순물이 함유되도록 제2 SiGe층(21b)을 형성하지만, 농도 경사는 단계적일 수도 있다. 이 경우에는, B2H6의 가스 유량을 단계적으로 변화시킨다.
다음에, 도 1g에 나타낸 바와 같이, 제2 SiGe층(21b) 상에, 상기 3개의 층 중에서 가장 고농도의 불순물이 함유되도록 제3 SiGe층(21c)을 형성한다. 여기서는, 붕소 농도가 1×1019 ~ 5×1020cm-3로 되도록, 50 ~ 100nm의 막 두께로 제3 SiGe층(21c)을 에피택셜 성장시킨다.
이 제3 SiGe층(21c)의 막 형성 조건으로서, 상기 제1 SiGe층(21a) 및 제2 SiGe층(21b)의 경우와 동일한 막 형성 가스를 사용하되, 가스 유량을 DCS/GeH4/HCl/B2H6 = 10 ~ 100/10 ~ 100/10 ~ 100/50 ~ 300(ml/min), 처리 온도를 650 ~ 750℃, 그리고 처리 압력을 1.3 ~ 13.3kPa로 한다.
이로써, 리세스 영역(16)의 표면에, 제1 SiGe층(21a), 제2 SiGe층(21b), 및 제3 SiGe층(21c)을 스택 상태로 차례로 적층하여 이루어지는 SiGe층(21)이 형성된다. 여기서, 상기 리세스 영역(16)은 약 80nm 깊이로 형성되기 때문에, 리세스 영역(16)은 제1 SiGe층(21a), 제2 SiGe층(21b), 및 제3 SiGe층(21c)으로 차례로 채워지고, 제3 SiGe층(21c)은 실리콘 기판(11)의 표면 레벨로부터 위로 돌출되어 있는 상태이다. 그리고, 이 SiGe층(21)은 실리콘 기판(11) 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 붕소를 불순물로서 함유하고 있다.
이 SiGe층(21)이, 본 실시예의 제조 방법에 의해 제조되는 PMOSFET의 소스/드레인 영역을 형성하고, SiGe층(21)들 사이에 배치된 게이트 전극(13) 바로 아래의 실리콘 기판(11)의 영역이 채널 영역(18)이 된다.
이 후의 단계는, 통상의 PMOSFET의 제조 방법과 마찬가지로 수행된다. 예를 들면 SiGe층(21)의 표면측을 실리사이드화하여 실리사이드층을 형성할 수 있다. 이 경우에, 전술한 바와 같이 채널 영역(18) 가까이에 배치되는 제1 SiGe층(21a)은 저농도의 불순물이 함유하기 때문에, SiGe층(21)을 형성한 후에 열처리를 행하는 경우라도 불순물의 확산(A)이 억제되고, 쇼트 채널 효과의 발생이 방지된다.
이와 같이 하여, SiGe층(21)에 의해 채널 영역(18)에 스트레스가 인가(압축 응력)됨으로써, 채널 영역(18)이 뒤틀린 PMOSFET가 제조된다.
이상에서 설명한 바와 같은 반도체 장치의 제조 방법 및 반도체 장치에 따르면, 실리콘 기판(11) 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 불순물이 함유되도록 SiGe층(21)을 에피택셜 성장시키므로, 열처리에 의한 SiGe층(21)으로부터의 불순물의 확산(A)이 억제되고, 쇼트 채널 효과의 발생이 방지된다. 특히, 본 실시예에 따르면, SiGe층(21)을 3개의 층으로 구성하고, 채널 영역(18)에 가까운 제1 SiGe층(21a)을 다른 층에 비해 저농도의 불순물이 함유되도록 형성하므로, 쇼트 채널 효과의 발생을 확실하게 방지할 수 있다. 또, 상기 SiGe층(21)과 게이트 전극(13) 바로 아래 영역 사이의 거리를 늘리지 않아도 되므로, 충분한 캐리어 이동도를 얻을 수 있다. 따라서, 트랜지스터의 특성을 향상시킬 수 있다.
또한, 본 실시예의 반도체 장치의 제조 방법에 따르면, 막 형성 가스를 바꾸지 않고, 막 형성 조건만을 변경하는 일련의 작업으로, 불순물의 농도 경사를 가지는 SiGe층(21)을 형성할 수 있으므로, 생산성도 우수하다.
그리고, 상기 제1 실시예에서는, PMOSFET의 소스/드레인 영역을 형성하는 SiGe층의 불순물로서 붕소를 함유하는 예에 대하여 설명하였으나, 불순물로서는 상기 붕소 이외에, 예를 들면 갈륨(Ga) 또는 인듐(In)을 사용해도 된다. 불순물로서, 예를 들면 Ga을 사용하는 경우에는, 전술한 제1 실시예에서 막 형성 가스로서 사용한 B2H6 대신에, 트리에틸갈륨(Ga(C2H5)3) 또는 트리메틸갈륨(Ga(CH3)3)를 막 형성 가스로 사용한다. 유사하게, 불순물로서 In를 사용하는 경우에는, 막 형성 가스로서 B2H6를 사용하는 대신에, 트리에틸인듐(In(C2H5)3) 또는 트리메틸인듐(In(CH3)3)를 사용한다.
(제2 실시예)
상기 제1 실시예에서는 PMOSFET의 제조 방법을 예로 들어 설명하였으나, 본 실시예에 있어서는 NMOSFET의 제조 방법을 예로 들어서, 도 2a 내지 도 2c를 참조하여 설명한다. 그리고, 실리콘 기판(11)의 표면을 파내려가는 단계까지의 단계는, 도 1a 내지 도 1d를 사용하여 설명한 단계와 마찬가지로 수행된다.
NMOSFET를 제조하는 경우에는, 먼저, 도 2a에 나타낸 바와 같이, 리세스 영역(16)의 표면, 즉 실리콘 기판(11)의 파내려간 부분의 표면에, 예를 들면 비 소(As)를 불순물로서 함유하고, 실리콘(Si)과 실리콘보다 격자 상수가 작은 원자(C)로 이루어지는 실리콘 탄소(SiC)층(혼합 결정층)을 에피택셜 성장시킨다.
이 경우에, 실리콘 기판(11) 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 As가 함유되도록, SiC층을 에피택셜 성장시킨다. 여기서는, 제1 실시예와 마찬가지로, 상기 SiC층이 제1 SiC층(제1 층), 제2 SiC층(제2 층), 및 제3 SiC층(제3 층)으로 이루어지는 3개의 층을 스택 상태로 차례로 적층하여 구성된다.
구체적으로는, 실리콘 기판(11)의 파내려간 부분의 표면에, 상기 3개의 층 중에서 가장 저농도의 불순물이 함유되도록 제1 SiC층(22a)을 형성한다. 여기서는, As 농도가 1×1018 ~ 1×1019cm-3가 되도록, 1 ~ 30nm의 막 두께로 제1 SiC층(22a)을 형성한다.
이 제1 SiC층(22a)의 막 형성 조건으로서, 막 형성 가스로서 DCS, 수소(H2)에 의해 1vol%로 희석된 모노메틸실란(SiH3CH3), HCl, 수소(H2)에 의해 1vol%로 희석된 수소화비소(AsH3)를 사용하되, 가스 유량을 DCS/SiH3CH3/HCl/AsH3 = 10 ~ 100/1 ~ 50/10 ~ 100/1 ~ 25(ml/min), 처리 온도를 650 ~ 750℃, 그리고 처리 압력을 1.3 ~ 13.3kPa로 한다.
여기서, 후술하는 바와 같이, 저농도의 불순물을 함유하는 제1 SiC층(22a)은 형성하는 3개의 SiC층 중에서 채널 영역에 가장 가까이에 배치되므로, 열처리에 의한 SiC층으로부터의 As의 확산이 억제되고, 쇼트 채널 효과의 발생이 방지된다. 그리고, 쇼트 채널 효과를 확실하게 방지하기 위해, 제조하는 NMOSFET의 캐리어 이동도를 저하시키지 않는 범위에서, 상기 제1 SiC층(22a)의 막 두께는 위에서 언급한 범위 중에서 10 ~ 30nm의 범위 내인 것이 더욱 바람직하다.
다음에, 도 2b에 나타낸 바와 같이, 제1 SiC층(22a) 상에 제2 SiC층(22b)을 형성하되, 제1 SiC층(22a) 측으로부터 표면으로 갈수록 제1 SiC층(22a)의 불순물 농도와 제3 SiC층의 불순물 농도 사이에서 연속적으로 변화되는 농도 경사를 가지고 불순물이 함유되도록, 제2 SiC층(22b)을 형성한다. 여기서는, 제1 SiC층(22a)의 As의 농도 범위가 1×1018 ~ 1×1019cm-3이고, 후술하는 바와 같이 제3 SiC층의 As의 농도 범위가 1×1019 ~ 5×1020cm-3이므로, 1×1018 ~ 1×1019cm-3의 농도 범위에서 1×1019 ~ 5×1020cm-3의 농도 범위까지 연속적으로 고농도가 되는 농도 경사를 가지고 As가 함유되도록, 제2 SiC층(22b)을 형성한다. 이 제2 SiC층(22b)의 막 두께는 1 ~ 20nm 범위 내이다.
이 제2 SiC층(22b)의 막 형성 조건으로서, 상기 제1 SiC층(22a)의 경우와 동일한 막 형성 가스를 사용한다. 제1 SiC층(22a)의 경우처럼, DCS, SiH3CH3, 및 HCl의 가스 유량을 DCS/SiH3CH3/HCl = 10 ~ 100/1 ~ 50/10 ~ 100(ml/min)으로 설정한다. 한편, H2에 의해 1vol%로 희석된 AsH3의 가스 유량을 1 ~ 25(ml/min)의 범위에서 25 ~ 50(ml/min) 범위까지의 값으로 연속적으로 변화시킨다. 또, 처리 온도를 650 ~ 750℃로, 그리고 처리 압력을 1.3 ~ 13.3kPa로 설정한다.
여기서, 3개의 층 중에서 가장 불순물 농도가 낮은 제1 SiC층(22a)과 가장 불순물 농도가 높은 제3 SiC층 사이에, 상기 제2 SiC층(22b)을 개재시키는 구성은, 제1 SiC층(22a)과 제3 SiC층과의 불순물의 농도 차에 의한 막 형성상의 문제를 완화시킨다. 그러므로, 제1 SiC층(22a)과 제3 SiC층의 불순물의 농도 차가 작은 경우에는, 제2 SiC층(22b)을 형성하지 않아도 되다. 또, 여기서는, 제1 SiC층(22a) 측으로부터 제3 SiC층(22c) 측으로 갈수록, 불순물의 농도가 연속적으로 변화되는 농도 경사를 가지고 불순물이 함유되도록, 제2 SiC층(22b)을 하지만, 농도 경사는 단계적일 수도 있다. 이 경우에는, AsH3의 가스 유량을 단계적으로 변화시킨다.
다음에, 도 2c에 나타낸 바와 같이, 제2 SiC층(22b) 상에, 상기 3개의 층 중에서 가장 고농도의 불순물이 함유되도록 제3 SiC층(22c)을 형성한다. 여기서는, As 농도가 1×1019 ~ 5×1020cm-3로 되도록, 50 ~ 100nm의 막 두께로 제3 SiC층(22c)을 형성한다.
이 제3 SiC층(22c)의 막 형성 조건으로서, 상기 제1 SiC층(22a) 및 제2 SiC층(22b)의 경우와 동일한 막 형성 가스를 사용하되, 가스 유량을 DCS/SiH3CH3/HCl/AsH3 = 10 ~ 100/1 ~ 50/10 ~ 100/25 ~ 50(ml/min), 처리 온도를 650 ~ 750℃, 그리고 처리 압력을 1.3 ~ 13.3kPa로 한다.
이로써, 리세스 영역(16)의 표면에, 제1 SiC층(22a), 제2 SiC층(22b), 및 제3 SiC층(22c)을 스택 상태로 차례로 적층하여 이루어지는 SiC층(22)이 형성된다. 여기서, 상기 리세스 영역(16)은 약 80nm 깊이로 형성되기 때문에, 리세스 영 역(16)은 제1 SiC층(22a), 제2 SiC층(22b), 및 제3 SiC층(22c)으로 차례로 채워지고, 제3 SiC층(22c)은 실리콘 기판(11)의 표면 레벨로부터 위로 돌출되어 있는 상태이다. 그리고, 이 SiC층(22)은 실리콘 기판(11) 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 불순물인 As를 함유하고 있다.
이 SiC층(22)이, 본 실시예에 따른 제조 방법에 의해 제조되는 NMOSFET의 소스/드레인 영역을 형성하고, SiC층(22)들 사이에 배치된 게이트 전극(13) 바로 아래의 실리콘 기판(11)의 영역이 채널 영역(18)이 된다.
이 후의 단계는, 통상의 NMOSFET의 제조 방법과 마찬가지로 수행된다. 예를 들면 SiC층(22)의 표면 측을 실리사이드화하여 실리사이드층을 형성할 수 있다. 이 경우에, 전술한 바와 같이, 채널 영역(18) 가까이에 배치되는 제1 SiC층(22a)은 저농도의 불순물이 함유되기 때문에, SiC층(22)을 형성한 후에 열처리를 행하는 경우라도 불순물의 확산(A)이 억제되고, 쇼트 채널 효과의 발생이 방지된다.
이와 같이 하여, SiC층(22)에 의해 채널 영역(18)에 스트레스 인가(압축 응력)됨으로써, 채널 영역(18)이 뒤틀린 NMOSFET가 제조된다.
이상에서 설명한 바와 같은 반도체 장치의 제조 방법 및 반도체 장치에 따르면, 실리콘 기판(11) 측으로부터 표면으로 갈수록 고농도가 되는 농도 경사를 가지고 불순물이 함유되도록, SiC층(22)을 에피택셜 성장시키므로, 열처리에 의한 SiC층(22)으로부터의 불순물의 확산(A)이 억제되고, 쇼트 채널 효과의 발생이 방지된다. 특히, 본 실시예에 따르면, SiC층(22)을 3개의 층으로 구성하고, 채널 영역(18)에 가까운 제1 SiC층(22a)을 다른 층에 비해 저농도의 불순물이 함유되도록 형성함으로써, 쇼트 채널 효과의 발생을 확실하게 방지할 수 있다. 또, 상기 SiC층(22)과 게이트 전극(13) 바로 아래 영역 사이의 거리를 늘리지 않아도 되므로, 충분한 캐리어 이동도를 얻을 수 있다. 따라서, 트랜지스터의 특성을 향상시킬 수가 있다.
(변형예 1)
상기 제2 실시예에서는, NMOSFET의 소스/드레인 영역을 형성하는 SiC층에, As를 불순물로 함유시키는 예에 대하여 설명하였으나, 불순물로는 상기 As 이외에 인(P)을 사용할 수도 있다.
이 경우에도, 1×1018 ~ 1×1019cm-3의 농도 범위에서 P가 불순물로서 함유되도록, 1 ~ 30nm의 막 두께로 제1 SiC층(22a)을 형성한다.
이 제1 SiC층(22a)의 막 형성 조건으로서, 막 형성 가스로는 DCS, H2에 의해 1vol%로 희석된 SiH3CH3, HCl, 및 H2에 의해 50ppm으로 희석된 수소화인(PH3)을 사용하되, 가스 유량을 DCS/SiH3CH3/HCl/PH3 = 10 ~ 100/1 ~ 50/10 ~ 100/1 ~ 150(ml/min), 처리 온도를 650 ~ 750℃, 그리고 처리 압력을 1.3 ~ 13.3kPa로 한다.
다음에, 제1 SiC층(22a) 상에, 제1 SiC층(22a) 측으로부터 표면으로 갈수록, 1×1018 ~ 1×1019cm-3의 농도 범위에서 1×1019 ~ 5×1020cm-3의 농도 범위까지 고농도가 되는 농도 경사를 가지고 P가 불순물로서 함유되도록, 제2 SiC층(22b)을 1 ~ 20nm의 막 두께로 형성한다.
이 제2 SiC층(22b)의 막 형성 조건으로서, 상기 제1 SiC층(22a)의 경우와 동일한 막 형성 가스를 사용한다. DCS, SiH3CH3, 및 HCl의 가스 유량을 DCS/SiH3CH3/HCl = 10 ~ 100/1 ~ 50/10 ~ 100(ml/min)으로 설정한다. 한편, H2에 의해 50ppm으로 희석된 PH3의 가스 유량을 1 ~ 150(ml/min) 범위에서 150 ~ 300(ml/min) 범위까지의 값으로 연속적으로 또는 단계적으로 변화시킨다. 또, 처리 온도를 650 ~ 750℃, 그리고 처리 압력을 1.3 ~ 13.3kPa로 설정한다.
이어서, 제2 SiC층(22b) 상에, 1×1019 ~ 5×1020cm-3의 농도 범위에서 P가 불순물로서 함유되도록, 50 ~ 100nm의 막 두께로 제3 SiC층(22c)을 형성한다.
이 제3 SiC층(22c)의 막 형성 조건으로서, 상기 제1 SiC층(22a) 및 제2 SiC층(22c)의 경우와 동일한 막 형성 가스를 사용하되, 가스 유량을 DCS/SiH3CH3/HCl/PH3 = 10 ~ 100/1 ~ 50/10 ~ 100/150 ~ 300(ml/min), 처리온도를 650 ~ 750℃, 그리고 처리 압력을 1.3 ~ 13.3kPa로 한다.
방금 언급한 NMOSFET의 제조 방법 및 NMOSFET에 의해서도, 전술한 제2 실시예와 마찬가지의 효과를 얻을 수 있다.
그리고, 전술한 제1 실시예 및 제2 실시예 및 변형예 1에서는, SiGe층 또는 SiC 층으로 이루어지는 혼합 결정층을, 제1 층, 제2 층, 및 제3 층이 스택 상태로 차례로 적층하여 구성되는 것을 예로서 설명하였다. 또 상기 제1 층 및 제3 층을 불순물이 소정의 농도 범위에서 유지되도록 각각 형성하고, 상기 제2층을 제1 층 측으로부터 제3 층 측으로 갈수록 연속적으로 고농도가 되는 농도 경사를 가지도록 형성한다. 그러나, 본 발명은 이러한 구성으로 한정되지 않는다. 예를 들면, 실리콘 기판 측으로부터 표면으로 갈수록 단계적으로 고농도가 되는 농도 경사를 가지고 불순물이 함유되는 복수의 층으로 혼합 결정층을 구성할 수도 있다. 다르게는, 실리콘 기판 측으로부터 표면으로 갈수록 연속적으로 고농도가 되는 농도 경사를 가지고 불순물이 함유되는 단일층으로 혼합 결정층을 구성할 수도 있다. 단, 혼합 결정층 중 채널 영역에 가까운 부분은 불순물이 저농도로 유지된 영역을, 10 ~ 30nm의 막 두께로 가지는 것이 바람직하다는 것을 유의하여야 한다.
또, 전술한 실시예에서는, PMOSFET와 NMOSFET를 각각 제조하는 반도체 장치의 제조 방법의 예에 대하여 설명하였으나, PMOSFET와 NMOSFET 모두를 탑재한 CMOS(Complementary Metal Oxide Semiconductor) FET를 제조하는 경우에도 본 발명은 적용가능하다.
이 기술분야의 당업자는 설계 요건 및 다른 요인에 따라, 첨부된 청구범위 또는 그 등가물의 범위 내에서 다양한 변경, 결합, 부결합, 및 개조를 할 수 있음을 것이다.
이상 설명한 바와 같이, 본 발명에 관한 반도체 장치의 제조 방법 및 반도체 장치에 따르면, 쇼트 채널 효과의 발생을 방지할 수 있고, 충분한 캐리어 이동도를 얻을 수 있어, 트랜지스터의 특성을 향상시킬 수가 있다.

Claims (7)

  1. 실리콘 기판 위에 게이트 전극을, 게이트 절연막을 통해 형성하는 제1 단계;
    상기 게이트 전극을 마스크로 한 에칭에 의해, 상기 실리콘 기판의 표면층을 파내려가는 제2 단계; 및
    상기 실리콘 기판의 파내려간 부분의 표면에 혼합 결정층(mixed crystal layer)을 에피택셜 성장시키되, 상기 실리콘 기판 측으로부터 상기 혼합 결정층의 표면으로 갈수록 고농도가 되는 농도 경사(concentration gradient)를 가지고 불순물이 함유되도록, 실리콘과 상기 실리콘과는 격자 상수가 상이한 원자를 포함하는 상기 혼합 결정층을 에피택셜 성장시키는 제3 단계
    를 포함하는 반도체 장치의 제조 방법에 있어서,
    상기 혼합 결정층은 스택 상태로 차례로 적층된 제1 층, 제2 층 및 제3 층을 포함하고,
    상기 제3 단계는,
    상기 실리콘 기판의 파내려간 부분의 표면에, 상기 3개의 층 중에서 가장 저농도의 불순물이 함유되도록 상기 제1 층을 형성하는 단계;
    상기 제1 층 상에 상기 제2 층을 형성하되, 상기 제1 층의 불순물 농도와 상기 제3 층의 불순물 농도 사이의 농도 경사를 가지고 불순물이 함유되도록, 상기 제2 층을 형성하는 단계; 및
    상기 제2 층 상에, 상기 3개의 층 중에서 가장 고농도의 불순물이 함유되도록 상기 제3 층을 형성하는 단계
    를 포함하는, 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 반도체 장치는 p형의 전계 효과 트랜지스터이고,
    상기 제3 단계는, 상기 실리콘 기판의 표면에, 상기 농도 경사를 가지는 p형의 불순물이 함유되도록, 실리콘과 게르마늄을 포함하는 상기 혼합 결정층을 에피택셜 성장시키는 단계를 더 포함하는, 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 반도체 장치는 n형의 전계 효과 트랜지스터이며,
    상기 제3 단계는, 상기 실리콘 기판의 표면에 상기 농도 경사를 가지는 n형의 불순물이 함유되도록, 실리콘과 탄소를 포함하는 상기 혼합 결정층을 에피택셜 성장시키는 단계를 더 포함하는, 반도체 장치의 제조 방법.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
KR1020070040877A 2006-04-26 2007-04-26 반도체 장치의 제조 방법 및 반도체 장치 KR101358949B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006121605A JP4345774B2 (ja) 2006-04-26 2006-04-26 半導体装置の製造方法
JPJP-P-2006-00121605 2006-04-26

Publications (2)

Publication Number Publication Date
KR20070105911A KR20070105911A (ko) 2007-10-31
KR101358949B1 true KR101358949B1 (ko) 2014-02-06

Family

ID=38648812

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070040877A KR101358949B1 (ko) 2006-04-26 2007-04-26 반도체 장치의 제조 방법 및 반도체 장치

Country Status (5)

Country Link
US (2) US7510925B2 (ko)
JP (1) JP4345774B2 (ko)
KR (1) KR101358949B1 (ko)
CN (1) CN101064257A (ko)
TW (1) TWI344212B (ko)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017487B2 (en) 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
US7687337B2 (en) * 2007-07-18 2010-03-30 Freescale Semiconductor, Inc. Transistor with differently doped strained current electrode region
WO2009093328A1 (ja) * 2008-01-25 2009-07-30 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
JP5120448B2 (ja) * 2008-03-31 2013-01-16 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
DE102009006884B4 (de) * 2009-01-30 2011-06-30 Advanced Micro Devices, Inc., Calif. Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5381382B2 (ja) 2009-06-19 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5287621B2 (ja) * 2009-09-10 2013-09-11 富士通セミコンダクター株式会社 半導体装置
US8236660B2 (en) * 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8299535B2 (en) * 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
KR101703096B1 (ko) 2010-09-02 2017-02-07 삼성전자 주식회사 반도체 장치의 제조방법
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8455930B2 (en) * 2011-01-05 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
CN102709183B (zh) * 2011-03-28 2016-08-03 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8338279B2 (en) 2011-03-30 2012-12-25 International Business Machines Corporation Reduced pattern loading for doped epitaxial process and semiconductor structure
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5875143B2 (ja) * 2011-08-26 2016-03-02 学校法人関西学院 半導体ウエハの製造方法
CN103000499B (zh) * 2011-09-14 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种锗硅硼外延层生长方法
US8927374B2 (en) * 2011-10-04 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103107070B (zh) * 2011-11-14 2017-11-07 联华电子股份有限公司 半导体装置及制作外延层的方法
CN103187299B (zh) * 2011-12-31 2015-08-05 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US8889523B2 (en) * 2012-01-02 2014-11-18 United Microelectronics Corp. Semiconductor process
US9190471B2 (en) * 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
CN103681496B (zh) * 2012-09-04 2016-04-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794503A (zh) * 2012-10-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制作方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10134896B2 (en) * 2013-03-01 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic deposition etch chemical vapor deposition epitaxy to reduce EPI abnormality
US8940595B2 (en) * 2013-03-15 2015-01-27 International Business Machines Corporation Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
CN104701149A (zh) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105304481A (zh) * 2014-06-10 2016-02-03 联华电子股份有限公司 半导体元件及其制作方法
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9991343B2 (en) * 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3311417A4 (en) * 2015-06-19 2019-01-16 Intel Corporation CARBON-BASED INTERFACE FOR EPITAXIAL GROWTH SOURCE / DRAIN TRANSISTOR REGIONS
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691901B2 (en) * 2015-10-02 2017-06-27 United Microelectronics Corp. Semiconductor device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107275210B (zh) * 2016-04-06 2023-05-02 联华电子股份有限公司 半导体元件及其制作方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN110767741B (zh) * 2019-10-17 2023-09-15 上海华力集成电路制造有限公司 Nmos管及其制造方法
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11444199B2 (en) * 2020-08-03 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03151669A (ja) * 1989-11-08 1991-06-27 Sharp Corp 半導体装置の製造方法
WO2005112577A2 (en) * 2004-05-14 2005-12-01 Applied Materials, Inc. Methods to fabricate mosfet devices using selective deposition processes

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2964895B2 (ja) 1995-01-04 1999-10-18 日本電気株式会社 電界効果型トランジスタおよびその製造方法
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP5060002B2 (ja) 2001-07-12 2012-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2004260132A (ja) 2003-02-05 2004-09-16 Nec Electronics Corp 半導体装置の製造方法
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7494858B2 (en) * 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
JP5091403B2 (ja) 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03151669A (ja) * 1989-11-08 1991-06-27 Sharp Corp 半導体装置の製造方法
WO2005112577A2 (en) * 2004-05-14 2005-12-01 Applied Materials, Inc. Methods to fabricate mosfet devices using selective deposition processes

Also Published As

Publication number Publication date
TW200746430A (en) 2007-12-16
JP2007294707A (ja) 2007-11-08
KR20070105911A (ko) 2007-10-31
CN101064257A (zh) 2007-10-31
US20070254414A1 (en) 2007-11-01
USRE49803E1 (en) 2024-01-16
JP4345774B2 (ja) 2009-10-14
US7510925B2 (en) 2009-03-31
TWI344212B (en) 2011-06-21

Similar Documents

Publication Publication Date Title
KR101358949B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치
US7985641B2 (en) Semiconductor device with strained transistors and its manufacture
KR100703967B1 (ko) 씨모스 트랜지스터 및 그 제조 방법
KR100691716B1 (ko) 반도체 장치 및 그 제조 방법
US8258576B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
KR101264113B1 (ko) 변형된 채널을 갖는 cmos 소자 및 이의 제조방법
US8114727B2 (en) Disposable spacer integration with stress memorization technique and silicon-germanium
US20100230721A1 (en) Semiconductor device and manufacturing method of semiconductor device
US20090179236A1 (en) Recess Etch for Epitaxial SiGe
US9741824B2 (en) Semiconductor device and fabrication method thereof
JP5130648B2 (ja) 半導体装置の製造方法および半導体装置
US7847281B2 (en) Semiconductor device with strain in channel region and its manufacture method
KR20120047032A (ko) 반도체 소자 및 이의 제조 방법
JP2007299951A (ja) 半導体装置およびその製造方法
US20100327329A1 (en) Semiconductor device and method of fabricating the same
US7858517B2 (en) Method of manufacturing semiconductor device, and semiconductor device
JP2008177319A (ja) 半導体装置の製造方法および半導体装置
US20110306170A1 (en) Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process
US20070066023A1 (en) Method to form a device on a soi substrate
US20170294515A1 (en) Recess liner for silicon germanium fin formation
JP2007227721A (ja) 半導体装置およびその製造方法
US9412869B2 (en) MOSFET with source side only stress
JP2008078519A (ja) 半導体装置の製造方法
KR20060072834A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170120

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180119

Year of fee payment: 5