WO2009093328A1 - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
WO2009093328A1
WO2009093328A1 PCT/JP2008/051071 JP2008051071W WO2009093328A1 WO 2009093328 A1 WO2009093328 A1 WO 2009093328A1 JP 2008051071 W JP2008051071 W JP 2008051071W WO 2009093328 A1 WO2009093328 A1 WO 2009093328A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor layer
content
layer
semiconductor device
semiconductor
Prior art date
Application number
PCT/JP2008/051071
Other languages
English (en)
French (fr)
Inventor
Naoyoshi Tamura
Yosuke Shimamune
Hirotaka Maekawa
Original Assignee
Fujitsu Microelectronics Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Microelectronics Limited filed Critical Fujitsu Microelectronics Limited
Priority to JP2009550406A priority Critical patent/JP5168287B2/ja
Priority to CN2008801254046A priority patent/CN101925986B/zh
Priority to PCT/JP2008/051071 priority patent/WO2009093328A1/ja
Publication of WO2009093328A1 publication Critical patent/WO2009093328A1/ja
Priority to US12/826,002 priority patent/US8338831B2/en
Priority to US13/412,967 priority patent/US8586438B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Definitions

  • the present invention relates to a semiconductor device capable of high-speed operation and a manufacturing method thereof.
  • the area of the channel region located immediately below the gate electrode is very small as compared with the previous transistors.
  • the mobility of carriers (electrons and holes) traveling in the channel region is greatly affected by the stress applied to the channel region. Therefore, many attempts have been made to improve the operation speed of the semiconductor device by adjusting such stress.
  • Non-Patent Document 1 a transistor whose channel is a region into which an impurity of a silicon substrate is introduced, the mobility of holes is smaller than the mobility of electrons. Therefore, improving the operation speed of the p-channel MOS transistor using holes as carriers is an important issue in designing a semiconductor integrated circuit device.
  • the mobility of holes is improved by generating uniaxial compressive strain in the channel region. Further, it has been pointed out in principle that in such a p-channel MOS transistor, the mobility of holes increases as the compressive strain generated in the channel region increases (Non-Patent Document 1).
  • the compressive strain can be increased by increasing the Ge content in the epitaxially grown SiGe layer.
  • Non-patent Document 2 the critical film thickness at which dislocations are generated is called the critical film thickness.
  • the thickness In order to epitaxially grow a SiGe layer without dislocations, it is desirable that the thickness be less than the critical film thickness.
  • the Ge content is kept low in order to ensure normal operation.
  • the carrier mobility is kept lower than the theoretically possible level.
  • the first semiconductor device includes a silicon substrate, a gate insulating film formed on the silicon substrate, and a gate electrode formed on the gate insulating film. Grooves are formed on both sides of the gate electrode and on the surface of the silicon substrate. Further, the first semiconductor layer containing Ge formed so as to cover the bottom surface and the side surface of the groove, and is formed on the first semiconductor layer, and is lower than the Ge content of the first semiconductor layer. A second semiconductor layer containing Ge in a content ratio and a third semiconductor layer containing Ge formed on the second semiconductor layer are provided.
  • the second semiconductor device is provided with a silicon substrate, a gate insulating film formed on the silicon substrate, and a gate electrode formed on the gate insulating film. Grooves are formed on both sides of the gate electrode and on the surface of the silicon substrate. Further, the first semiconductor layer including C formed so as to cover the bottom and side surfaces of the groove, and formed on the first semiconductor layer, and lower than the C content of the first semiconductor layer. A second semiconductor layer containing C in content and a third semiconductor layer containing C formed on the second semiconductor layer are provided.
  • FIG. 1 is a cross-sectional view showing a p-channel MOS transistor according to a reference example.
  • FIG. 2 is a diagram showing a process of epitaxial growth of the SiGe layer.
  • FIG. 3A is a cross-sectional view illustrating the method of manufacturing the semiconductor device according to the first embodiment.
  • FIG. 3B is a cross-sectional view illustrating the method for manufacturing the semiconductor device, following FIG. 3A.
  • FIG. 3C is a cross-sectional view illustrating the method for manufacturing the semiconductor device, following FIG. 3B.
  • FIG. 3D is a cross-sectional view illustrating the method for manufacturing the semiconductor device, following FIG. 3C.
  • FIG. 3E is a cross-sectional view showing a method for manufacturing the semiconductor device, following FIG. 3D.
  • FIG. 3A is a cross-sectional view illustrating the method of manufacturing the semiconductor device according to the first embodiment.
  • FIG. 3B is a cross-sectional view illustrating the method for manufacturing the semiconductor device
  • FIG. 3F is a cross-sectional view illustrating the manufacturing method of the semiconductor device, following FIG. 3E.
  • FIG. 3G is a cross-sectional view illustrating the manufacturing method of the semiconductor device, following FIG. 3F.
  • FIG. 3H is a cross-sectional view showing a method for manufacturing the semiconductor device, following FIG. 3G.
  • FIG. 3I is a cross-sectional view illustrating the method for manufacturing the semiconductor device, following FIG. 3H.
  • FIG. 3J is a cross-sectional view showing a method for manufacturing the semiconductor device, following FIG. 3I.
  • FIG. 3K is a cross-sectional view showing a method for manufacturing the semiconductor device, following FIG. 3J.
  • FIG. 3L is a cross-sectional view showing a method for manufacturing the semiconductor device, following FIG.
  • FIG. 3M is a cross-sectional view showing a method for manufacturing the semiconductor device, following FIG. 3L.
  • FIG. 4 is a graph showing the results of analysis performed by the inventors.
  • FIG. 5 is a diagram showing a p-channel MOS transistor to be analyzed.
  • Figure 6A is a graph showing the relationship between the B content and the strain epsilon 1.
  • Figure 6B is a graph showing the relationship between the B content and the strain epsilon 2.
  • FIG. 7 is a graph showing the relationship between Ge content and strain.
  • FIG. 8 is a diagram showing a preferable distribution of the B content.
  • FIG. 9A is a cross-sectional view illustrating the method of manufacturing the semiconductor device according to the second embodiment.
  • FIG. 9A is a cross-sectional view illustrating the method of manufacturing the semiconductor device according to the second embodiment.
  • FIG. 9B is a cross-sectional view illustrating the method for manufacturing the semiconductor device, following FIG. 9A.
  • FIG. 9C is a cross-sectional view illustrating the manufacturing method of the semiconductor device, following FIG. 9B.
  • FIG. 9D is a cross-sectional view illustrating the manufacturing method of the semiconductor device, following FIG. 9C.
  • FIG. 9E is a cross-sectional view showing a method for manufacturing the semiconductor device, following FIG. 9D.
  • FIG. 10 is a layout diagram showing an example of SoC.
  • FIG. 11 is a block diagram illustrating an example of a mobile phone.
  • a gate insulating film 52 and a gate electrode 53 are formed on a silicon substrate 51 having a surface Miller index of (001).
  • a sidewall 54 is formed on the side of the gate electrode 53.
  • a recess 56 is formed on the surface of the silicon substrate 51 outside the sidewall 54.
  • the Miller index on the bottom surface of the recess 56 is (001), and the Miller index on the side surface on the channel region side is ⁇ 111>.
  • an impurity diffusion layer 55 surrounding the recess 56 is formed.
  • an inclined SiGe layer 57 and a fixed SiGe layer 58 are formed in order from the bottom.
  • the Ge content of the inclined SiGe layer 57 increases from the lower surface toward the upper surface, and the Ge content of the fixed SiGe layer 58 matches the Ge content on the upper surface of the inclined SiGe layer 57.
  • the graded SiGe layer 57 and the fixed SiGe layer 58 are epitaxially grown.
  • the fixed SiGe layer 57 since the inclined SiGe layer 57 whose Ge content gradually increases is formed before the formation of the fixed SiGe layer 58 having a high Ge content, the fixed SiGe layer 57 is epitaxially grown even if the recess 56 is deepened. Dislocations hardly occur in the layer 58. Therefore, the recess 56 can be deepened and a tensile stress in the thickness direction can be strongly applied to the channel region. Further, since the fixed SiGe layer 58 is located on the side of the channel region, a lateral compressive stress can be applied to the channel region. And it is thought that compressive strain can be effectively generated in the channel region by these two stresses.
  • the inventors of the present application conducted further intensive studies to investigate the cause.
  • the initial layer of the SiGe layer 62 is formed not only on the bottom surface of the recess 63 but also on the side surface. It became clear that. That is, in the example shown in FIG. 1, even if the inclined SiGe layer 57 is intended to be formed only on the bottom of the recess 56, the inclined SiGe layer 57 is actually formed also on the side surface of the recess 56 on the channel side. It is. For this reason, the stress from the fixed SiGe layer 58 does not sufficiently act on the channel region, and the desired compressive strain does not occur in the channel region.
  • the SiGe layer 62 when the initial layer of the SiGe layer 62 is formed, the SiGe layer 62 hardly grows in the lateral direction, and it is clear that the entire layer grows in the thickness direction. This is because the initial layer is formed by adsorption, but after that, it is affected by the etching action by HCl contained in the source gas for selective growth, and Si-- in the plane with Miller index ⁇ 111>. This is because it is difficult for SiH 4 and GeH 4 to be deposited on the initial layer due to the large activation energy of Cl bonds.
  • the first embodiment mainly relates to a p-channel MOS transistor.
  • 3A to 3M are cross-sectional views illustrating the method of manufacturing the semiconductor device according to the first embodiment in the order of steps.
  • an STI Shallow Trench
  • An element isolation insulating film 12 that defines the nMOS region 1 and the pMOS region 2 is formed by an isolation method.
  • the nMOS region 1 is a region where an n-channel MOS transistor is to be formed
  • the pMOS region 2 is a region where a p-channel MOS transistor is to be formed.
  • a silicon oxide film having a thickness of 10 nm is formed at 900 ° C. by dry oxidation, and then, for example, 750 by CVD using SiH 2 Cl 2 and NH 3.
  • a silicon nitride film having a thickness of about 112 nm is formed at a temperature of ° C. Thereafter, portions of the silicon oxide film and the silicon nitride film located on the region where the element isolation insulating film 12 is to be formed are removed by etching. Subsequently, the silicon substrate 11 is etched using the remaining portions of the silicon oxide film and the silicon nitride film as a hard mask, thereby forming a groove. Next, a silicon oxide film is formed in the trench and on the silicon nitride film by plasma CVD. Thereafter, the silicon oxide film thereon is removed by CMP until the silicon nitride film is exposed.
  • the silicon nitride film is removed by wet processing using, for example, hot phosphoric acid at 150 ° C. Further, the silicon oxide film located under the silicon nitride film is removed by wet processing using hydrofluoric acid. In this way, the element isolation insulating film 12 is formed.
  • a p-well 13p is formed on the surface of the silicon substrate 11 in the nMOS region 1, and an n-well is formed on the surface of the silicon substrate 11 in the pMOS region 2. 13n is formed.
  • a silicon oxide film 35 having a thickness of about 1.5 nm is formed as a gate insulating film on the silicon substrate 11 by dry oxidation, for example.
  • a polycrystalline silicon film having a thickness of about 100 nm is formed.
  • an n-type impurity is introduced into the polycrystalline silicon film in the nMOS region 1, and a p-type impurity is introduced into the polycrystalline silicon film in the pMOS region 2.
  • a p-type impurity for example, P (phosphorus) ions are implanted at a dose of 8 ⁇ 10 15 cm ⁇ 2 .
  • B (boron) ions are implanted at a dose of 6 ⁇ 10 15 cm ⁇ 2 .
  • RTA Rapid Thermal Treatment
  • a silicon oxide film having a thickness of, for example, 30 nm is formed on the n-type polycrystalline silicon film 14n and the p-type polycrystalline silicon film 14p. As shown in FIG. 3B, this silicon oxide film, n-type polycrystalline silicon film is formed. The film 14n and the p-type polycrystalline silicon film 14p are patterned. As a result, a gate electrode on which the silicon oxide film 15 is provided is formed.
  • a photoresist film covering the pMOS region 2 is formed, and the gate electrode in the nMOS region 1 is used as a mask.
  • the acceleration energy is 3 keV
  • the dose is 1 ⁇ 10 15 cm ⁇ 2
  • As (arsenic) ions are formed.
  • the acceleration energy is 0.5 keV
  • the dose is 1 ⁇ 10 15 cm ⁇ 2
  • B ions are implanted.
  • the extension layer 16n is formed in the nMOS region 1
  • the extension layer 16p is formed in the pMOS region 2.
  • a p-type pocket layer (not shown) is also formed by introducing a p-type impurity into the nMOS region 1 using the photoresist film used for forming the extension layer 16n as it is.
  • an n-type pocket layer (not shown) is also formed by introducing an n-type impurity into the pMOS region 2 using the photoresist film used in forming the extension layer 16p as it is.
  • B ions are implanted with an acceleration energy of 10 keV and a dose of 1 ⁇ 10 13 cm ⁇ 2 .
  • the acceleration energy and 10 keV to inject a dose as 2 ⁇ 10 13 cm -2.
  • a silicon nitride film is formed on the entire surface and etched back to form a silicon nitride film 17 having a thickness of, for example, 20 nm on the side of the gate electrode, as shown in FIG. 3D.
  • a silicon oxide film and a silicon nitride film are formed on the entire surface, and these are etched back to form a silicon oxide film 18 covering the side and upper sides of the gate electrode as shown in FIG.
  • a silicon nitride film 19 is formed on the side of the film 18.
  • the thickness of the silicon oxide film 18 is, for example, 5 nm or less, and the thickness of the silicon nitride film 19 is, for example, about 20 nm.
  • a silicon oxide film 18 is interposed between the silicon nitride film 19 and the silicon substrate 11.
  • a photoresist film covering the nMOS region 1 is formed, and this and the gate electrode in the pMOS region 2 are used as a mask, B energy is implanted with an acceleration energy of 10 keV and a dose of 3 ⁇ 10 13 cm ⁇ 2. .
  • B energy is implanted with an acceleration energy of 10 keV and a dose of 3 ⁇ 10 13 cm ⁇ 2. .
  • a p-type impurity diffusion layer 34 deeper than the extension layer 16p is formed in the pMOS region 2.
  • a silicon oxide film 20 covering the nMOS region 1 is formed, and the silicon substrate 11 in the pMOS region 2 is etched using the silicon oxide film 20 as a hard mask, so that the p-type impurity diffusion layer 34 is formed.
  • a recess 21 overlapping with a part is formed.
  • the depth of the recess 21 is about 50 nm, for example.
  • TMAH tetramethylammonium hydroxide
  • the silicon substrate 11 is placed in a low-pressure CVD apparatus filled with hydrogen gas and inert gas (nitrogen gas, argon gas, helium gas, etc.) and maintained at a pressure of 5 Pa to 1330 Pa, and 400 ° C. in a hydrogen atmosphere.
  • the silicon substrate 11 is heated to ⁇ 550 ° C.
  • hydrogen baking is performed by holding for about 60 minutes at maximum under these conditions.
  • a mixed gas of SiH 4 , HCl, and GeH 4 is supplied into the low-pressure CVD apparatus in addition to the hydrogen gas and / or the inert gas.
  • SiH 4 is a Si source gas
  • GeH 4 is a Ge source gas.
  • HCl is a gas that improves the selectivity in the growth direction.
  • the partial pressure of B 2 H 6 is in the range of 1 ⁇ 10 -5 Pa ⁇ 1 ⁇ 10 -3 Pa
  • the partial pressure is 1 Pa ⁇ 10 Pa of HCl Fix within the range.
  • the partial pressure of GeH 4 is 10 Pa.
  • a Si 0.76 Ge 0.24 layer having a thickness of 5 nm is formed by epitaxial growth. That is, as shown in FIG. 3G, the SiGe layer 22 with the Ge content fixed at 24% is formed on the bottom surface of the recess 21 as the first semiconductor layer. At this time, as is apparent from the analysis result shown in FIG. 2, the SiGe layer 22 is also formed on the side surface of the recess 21.
  • the growth temperature of the SiGe layer 22 is preferably 600 ° C. or lower.
  • B 2 H 6 is also supplied into the low pressure CVD apparatus while maintaining the pressure and temperature.
  • B 2 H 6 is a source gas for B (impurities).
  • the partial pressure of GeH 4 is temporarily reduced to 0 Pa, and immediately thereafter, the partial pressure of GeH 4 is increased to a range of 0.1 Pa to 10 Pa in proportion to the elapsed time. .
  • the SiGe layer 23 having a thickness of about 20 nm and a Ge content continuously changing from 0% to 25% by epitaxial growth is used as a second semiconductor layer. Formed on layer 22. At this time, as is apparent from the analysis result shown in FIG. 2, the SiGe layer 23 hardly grows in the lateral direction.
  • the SiGe layer 24 having a thickness of about 30 nm and a Ge content fixed to 25% is formed by epitaxial growth.
  • the semiconductor layer is formed on the SiGe layer 23.
  • the lower surface of the SiGe layer 24 is positioned below the interface between the channel region and the gate insulating film 35, and the upper surface of the SiGe layer 24 is positioned above this interface. That is, the SiGe layer 24 is located on the side of the interface between the channel region and the gate insulating film 35.
  • a silicon layer 25 having a thickness of about 5 nm to 10 nm is formed on the SiGe layer 24 by epitaxial growth.
  • the silicon oxide film 20 is removed.
  • the silicon oxide film 15 and part of the silicon oxide film 18 are also removed.
  • a silicon oxide film having a thickness of about 20 nm is formed on the entire surface at 500 ° C. or lower, and this is etched back to form the sidewalls 26.
  • a silicon oxide film 27 is formed on the gate electrode.
  • a photoresist film covering the pMOS region 2 is formed, and this is used as a mask with the gate electrode and the side wall 26 in the nMOS region 1 as an acceleration energy of 6.0 keV and a dose amount of 8 ⁇ 10 15 cm ⁇ 2. , P ions are implanted.
  • P ions are activated by performing extremely short time annealing (for example, spike annealing) with the maximum temperature being 950 ° C. or lower.
  • extremely short time annealing for example, spike annealing
  • the maximum temperature being 950 ° C. or lower.
  • the sidewall 26 and the silicon oxide film 27 are removed, a Ni or Ni alloy film having a thickness of about 10 nm is formed on the entire surface, and RTA is performed at about 300 ° C., for example.
  • RTA is performed at about 300 ° C., for example.
  • the silicide layer 28 is formed on the gate electrode, the silicon layer 25, and the n-type impurity diffusion layer 28.
  • the unreacted Ni or Ni alloy film is removed by persulfuric acid treatment. Thereafter, heat treatment is performed at 400 ° C. to 500 ° C. in order to further reduce the resistance of the silicide layer 28.
  • an interlayer insulating film 31 is formed on the entire surface, and a contact hole reaching the silicide layer 28 is formed in the interlayer insulating film 31.
  • a contact plug 32 is formed in the contact hole, and a wiring in contact with the contact plug 32 is formed on the interlayer insulating film 31.
  • a further upper interlayer insulating film, wiring, and the like are formed to complete the semiconductor device.
  • the SiGe layer 22 having a high Ge content is formed before the SiGe layer 23 having a low Ge content is formed, the side surface of the recess 21 in the SiGe layer 23 is formed. The side of the channel region is almost occupied by the SiGe layers 22 and 24. Since the Si content of the SiGe layers 22 and 24 is high, compressive strain can be effectively generated in the channel region of the p-channel MOS transistor.
  • the B concentration in the SiGe layer 23, the SiGe layer 24, and the silicon layer 25 is preferably within a range in which the resistivity of the stacked body is about 1 m ⁇ ⁇ cm.
  • the Ge content of the SiGe layers 22 and 24 is not particularly limited, and is, for example, 25% to 35%. Further, the Ge content on the upper surface of the SiGe layer 23 is not particularly limited, and is, for example, 25% to 35%. The Ge content of the SiGe layer 23 is not particularly limited, and is, for example, 20% or less. However, the Ge content of the SiGe layer 23 does not need to be inclined, but needs to be lower than the Ge content of the SiGe layer 22, and is lower than the Ge content of the SiGe layer 24. Preferably it is.
  • the depth of the recess 21 and the thickness of each SiGe layer are not particularly limited, but the thickness of the SiGe layer 22 is preferably 30 nm or less, and more preferably 5 nm or less. Further, the upper surface of the SiGe layer 24 may be at the same height as the interface between the silicon substrate 11 and the gate insulating film 35.
  • the distortion in the channel region and the vicinity thereof in the p-channel MOS transistor formed by the method according to the above-described embodiment was calculated (Example).
  • the Ge content on the upper surface of the SiGe layer 23 and the Ge content of the SiGe layer 24 were 28%, and the Ge content on the lower surface of the SiGe layer 23 was 15%.
  • the strain when the formation of the SiGe layer 22 was omitted was calculated (Comparative Example 1).
  • there are also three types of strains when only the SiGe layer fixed at a Ge content of 15% (Comparative Example 2), 18% (Comparative Example 3) or 24% (Comparative Example 4) is formed in the recess. Calculated. The result is shown in FIG.
  • a solid line in FIG. 4 indicates the distribution of strain of the transistor according to the above-described embodiment, and a broken line indicates the distribution of strain when the formation of the SiGe layer 22 is omitted. Also, the dotted line, the two-dot chain line, and the one-dot chain line indicate the strain distribution when only the SiGe layer having a Ge content fixed to 15%, 18%, and 24% is formed, respectively.
  • the horizontal axis indicates the position in the horizontal direction with respect to the center of the channel region.
  • the inventors of the present application have clarified that the distortion of the silicon substrate caused by the SiGe layer embedded in the recess is influenced not only by the Ge content but also by the B concentration. Specifically, it became clear that the higher the B concentration, the more relaxed the distortion.
  • the higher the B concentration the more relaxed the distortion.
  • the strains ⁇ 1 and ⁇ 2 are The tendency shown in FIG. 6A and FIG. 6B is shown.
  • the strain ⁇ 1 is a strain in the thickness direction generated in the silicon substrate 101 at the interface with the side surface of the SiGe layer 104
  • the strain ⁇ 2 is a lateral strain generated in the silicon substrate 101 at the interface with the bottom surface of the SiGe layer 104. It is.
  • the higher the B concentration the smaller the strains ⁇ 1 and ⁇ 2 .
  • the case does not contain B
  • the B concentration is compared with the case of 4 ⁇ 10 20 cm -3, required to produce a strain epsilon 1 of the same size Ge The content is different by about 6%.
  • the B concentration is not made uniform among the SiGe layer 23, the SiGe layer 24, and the silicon layer 25, but is adjusted according to required characteristics. For example, as shown in FIG. 8, in the SiGe layer 24 having a high Ge content, the B concentration is lowered to 1 ⁇ 10 20 cm ⁇ 3, and the B concentrations of the SiGe layers 23 and 25 sandwiching the B concentration are 5 ⁇ 10 20 cm 3. ⁇ 3 to 1 ⁇ 10 21 cm ⁇ 3 .
  • Such adjustment can be easily performed by controlling the partial pressure of the B source gas (for example, B 2 H 6 ) supplied into the low pressure CVD apparatus.
  • the second embodiment mainly relates to an n-channel MOS transistor.
  • an n-channel MOS transistor it is necessary to generate a tensile strain in the lateral direction in the channel region.
  • a SiC layer may be formed in the recess.
  • the same effect as in the first embodiment can be obtained also in the n-channel MOS transistor by appropriately controlling the C content.
  • 9A to 9E are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the second embodiment in the order of steps.
  • the processes up to the formation of the silicon oxide film 18 and the silicon nitride film 19 are performed (FIG. 3E).
  • an n-type impurity diffusion layer 46 deeper than the extension layer 16 n is formed in the nMOS region 1.
  • a silicon oxide film covering the pMOS region 2 is formed, and the silicon substrate 11 in the nMOS region 1 is etched using this as a hard mask, thereby forming a recess 41 overlapping with a part of the n-type impurity diffusion layer 46.
  • the same method as that for the recess 21 is used.
  • an SiC layer 42 having a thickness of 5 nm and a C content of 10% is formed on the bottom surface of the recess 41 as a first semiconductor layer by epitaxial growth.
  • the SiC layer 42 is also formed on the side surface of the recess 41.
  • an SiC layer 43 having a thickness of about 20 nm and a C content continuously changing from 0% to 2% is formed as a second semiconductor layer on the SiC layer 42 by epitaxial growth. To do. At this time, as apparent from the analysis result shown in FIG. 2, the SiC layer 43 hardly grows in the lateral direction.
  • an SiC layer 44 having a thickness of about 30 nm and a C content of 10% is formed on the SiC layer 43 as a third semiconductor layer by epitaxial growth.
  • the lower surface of SiC layer 44 is located below the interface between the channel region and gate insulating film 35, and the upper surface of SiC layer 44 is located above this interface. That is, the SiC layer 44 is located on the side of the interface between the channel region and the gate insulating film 35.
  • a silicon layer 45 having a thickness of about 5 nm to 10 nm is formed on the SiC layer 44 by epitaxial growth.
  • processing similar to the processing in the first embodiment for the p-channel MOS transistor is performed to complete the semiconductor device.
  • the SiC layer 42 with a high C content is formed before the formation of the SiC layer 43 with a low C content, the side surface of the recess 41 in the SiC layer 43 is formed. The side of the channel region is almost occupied by the SiC layers 42 and 44. Since the SiC contents of SiC layers 42 and 44 are high, tensile strain can be effectively generated in the channel region of the n-channel MOS transistor.
  • the method of the first embodiment may be adopted when forming the p-channel MOS transistor.
  • the C content of the SiC layers 42 and 44 is not particularly limited, and is, for example, 1% to 2.5%.
  • the C content on the upper surface of the SiC layer 43 is not particularly limited, for example, 1% to 2.5%, and the C content of the SiC layer 43 is not particularly limited, for example, 1% or less.
  • the C content of the SiC layer 43 does not need to be inclined, but it needs to be lower than the C content of the SiC layer 42 and is lower than the C content of the SiC layer 44. Preferably it is.
  • the depth of the recess 41 and the thickness of each SiC layer are not particularly limited, but the thickness of the SiC layer 42 is preferably 30 nm or less, and more preferably 5 nm or less. Further, the upper surface of SiC layer 44 may be at the same height as the interface between silicon substrate 11 and gate insulating film 35.
  • the concentration of impurities in the SiC layer is not particularly limited, but in the SiC layer 44 having a high C content, the impurity concentration is lowered, and the impurity concentrations of the SiC layers 43 and 45 sandwiching the impurity concentration are 2 ⁇ 10 20 cm ⁇ 3. It is preferable to increase it to ⁇ 1 ⁇ 10 21 cm ⁇ 3 , particularly 5 ⁇ 10 20 cm -3 to 1 ⁇ 10 21 cm -3 .
  • impurities include P (phosphorus) and As (arsenic).
  • a film for applying a lateral tensile stress to the channel region is formed in the nMOS region 1, and the channel region is formed in the pMOS region 2.
  • a film that applies a compressive stress in the lateral direction may be formed.
  • An example of such a film is a silicon nitride film.
  • FIG. 10 shows an example of SoC.
  • SoC System-on-Chip
  • FIG. 10 shows an example of SoC.
  • the logic circuit unit 111 includes the MOS transistors of the first embodiment and the second embodiment.
  • FIG. 11 shows an example of a mobile phone.
  • the cellular phone 120 is provided with an antenna 121 that transmits and receives radio waves to and from the outside, a speaker 125 that outputs sound to the outside, and a microphone 126 that inputs sound from the outside.
  • a transmission / reception processing unit 122 that performs conversion between input / output signals of the antenna 121 and input / output signals of the speaker 125 and the microphone 126, a control unit 123 that performs this control, a memory that stores programs executed by the control unit 123, and the like 124 is provided.
  • a display unit 127 for displaying an operation state and the like, and an operation unit 128 such as a numeric keypad operated by the user are provided.
  • the control unit 123 is composed of a high-frequency LSI.
  • This high-frequency LSI includes the MOS transistors of the first and second embodiments.
  • the first semiconductor layer having a higher Ge or C content than the second semiconductor layer is formed so as to cover the bottom and side surfaces of the groove, The distortion of the region directly under the gate insulating film caused by the semiconductor layer can be effectively increased.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

 pMOS領域2内にリセス(21)を形成した後、リセス(21)の底面及び側面を覆うようにSiGe層(22)を形成する。次に、SiGe層(22)上に、SiGe層(22)より低い含有率でGeを含むSiGe層(23)を形成する。次に、SiGe層(23)上に、SiGe層(24)を形成する。

Description

半導体装置及びその製造方法
 本発明は、高速動作が可能な半導体装置及びその製造方法に関する。
 プロセスルールが90nmノード以降のトランジスタ等のLSIでは、素子の微細化に伴う待機オフリーク電流が無視できなくなっている。このため、トランジスタのゲート長の単純な微細化だけでデバイス性能を向上することが困難となり、デバイス性能を向上するための新しい試みが必要とされている。
 このような超微細化トランジスタでは、ゲート電極の直下に位置するチャネル領域の面積が、それまでのトランジスタと比較して非常に小さい。このような場合、チャネル領域を走行するキャリア(電子及びホール)の移動度は、チャネル領域に印加された応力の影響を大きく受けることが知られている。そこで、このような応力を調整することにより、半導体装置の動作速度を向上させようとする試みが数多くなされている。
 一般に、シリコン基板の不純物が導入された領域をチャネルとするトランジスタでは、電子の移動度よりもホールの移動度の方が小さい。従って、ホールをキャリアとするpチャネルMOSトランジスタの動作速度を向上させることが、半導体集積回路装置の設計にあたり重要な課題となっている。そして、pチャネルMOSトランジスタでは、チャネル領域に一軸性の圧縮歪を生じさせることにより、ホールの移動度が向上することが知られている。また、このようなpチャネルMOSトランジスタでは、チャネル領域に生じる圧縮歪が大きい程、ホールの移動度が増大することが、原理的に指摘されている(非特許文献1)。
 そして、pチャネルMOSトランジスタの形成において、シリコン基板のソース領域及びドレイン領域にリセスを形成し、そこにSiGe層をエピタキシャル成長させるという方法が検討されている。この方法では、エピタキシャル成長させるSiGe層中のGe含有率を増大させることによって圧縮歪を増大させることができる。
 しかしながら、Ge含有率が高くなりすぎると、基板を構成するSiとSiGeとの格子不整合が大きくなりすぎて、転位が発生してしまう。このような転位は、SiGe層が誘起する圧縮歪の効果を弱めるばかりではなく、この転位をパスとするリーク電流を増大させる。この結果、トランジスタ性能が劣化してしまう。
 一般に、シリコン基板上にエピタキシャル成長したSiGe層中に生ずる転位は、Ge含有率が高いほど、また、その厚さが厚いほど、発生しやすい(非特許文献2)。理論上は、転位が発生する限界の膜厚は臨界膜厚とよばれ、転位が存在しないSiGe層をエピタキシャル成長させるためには、その厚さを臨界膜厚以下にすることが望ましい。しかし、SiGe層の厚さを限界膜厚以下にするためには、リセスを浅くする必要があり、チャネル領域に十分な圧縮歪を生じさせることが困難である。
 従って、従来の技術では、正常動作を確保するために、Ge含有率が低く抑えられている。このため、キャリアの移動度が、理論的に可能なレベルよりも低く抑えられている。
特開2006-186240号公報 特開2006-278776号公報 特開2006-332337号公報 K. Mistry, et al., 2004Symposium on VLSI Technology, Digest of Technical Papers, pp.50-51 R.People, et al., Appl.Phys. Lett. Vol.47 (3), 1985
 本発明は、キャリアの移動度をより向上させることができる半導体装置及びその製造方法を提供することを目的とする。
 第1の半導体装置には、シリコン基板と、前記シリコン基板上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に形成されたゲート電極と、が設けられている。前記ゲート電極の両側であって、前記シリコン基板の表面に溝が形成されている。そして、更に、前記溝の底面及び側面を覆うように形成されたGeを含む第1の半導体層と、前記第1の半導体層上に形成され、前記第1の半導体層のGe含有率より低い含有率でGeを含む第2の半導体層と、前記第2の半導体層上に形成されたGeを含む第3の半導体層と、が設けられている。
 第2の半導体装置には、シリコン基板と、前記シリコン基板上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に形成されたゲート電極と、が設けられている。前記ゲート電極の両側であって、前記シリコン基板の表面に溝が形成されている。そして、更に、前記溝の底面及び側面を覆うように形成されたCを含む第1の半導体層と、前記第1の半導体層上に形成され、前記第1の半導体層のC含有率より低い含有率でCを含む第2の半導体層と、前記第2の半導体層上に形成されたCを含む第3の半導体層と、が設けられている。
図1は、参考例に係るpチャネルMOSトランジスタを示す断面図である。 図2は、SiGe層のエピタキシャル成長の過程を示す図である。 図3Aは、第1の実施形態に係る半導体装置の製造方法を示す断面図である。 図3Bは、図3Aに引き続き、半導体装置の製造方法を示す断面図である。 図3Cは、図3Bに引き続き、半導体装置の製造方法を示す断面図である。 図3Dは、図3Cに引き続き、半導体装置の製造方法を示す断面図である。 図3Eは、図3Dに引き続き、半導体装置の製造方法を示す断面図である。 図3Fは、図3Eに引き続き、半導体装置の製造方法を示す断面図である。 図3Gは、図3Fに引き続き、半導体装置の製造方法を示す断面図である。 図3Hは、図3Gに引き続き、半導体装置の製造方法を示す断面図である。 図3Iは、図3Hに引き続き、半導体装置の製造方法を示す断面図である。 図3Jは、図3Iに引き続き、半導体装置の製造方法を示す断面図である。 図3Kは、図3Jに引き続き、半導体装置の製造方法を示す断面図である。 図3Lは、図3Kに引き続き、半導体装置の製造方法を示す断面図である。 図3Mは、図3Lに引き続き、半導体装置の製造方法を示す断面図である。 図4は、本願発明者らが行った分析結果を示すグラフである。 図5は、分析の対象としたpチャネルMOSトランジスタを示す図である。 図6Aは、B含有量と歪みεとの関係を示すグラフである。 図6Bは、B含有量と歪みεとの関係を示すグラフである。 図7は、Ge含有率と歪みとの関係を示すグラフである。 図8は、B含有量の好ましい分布を示す図である。 図9Aは、第2の実施形態に係る半導体装置の製造方法を示す断面図である。 図9Bは、図9Aに引き続き、半導体装置の製造方法を示す断面図である。 図9Cは、図9Bに引き続き、半導体装置の製造方法を示す断面図である。 図9Dは、図9Cに引き続き、半導体装置の製造方法を示す断面図である。 図9Eは、図9Dに引き続き、半導体装置の製造方法を示す断面図である。 図10は、SoCの一例を示すレイアウト図である。 図11は、携帯電話機の一例を示すブロック図である。
 (参考例)
 先ず、本発明に関連する参考例について説明する。本願発明者らは、pチャネルMOSトランジスタの形成に当たり、SiGe層中のGe含有率に変化をつけることにより、深いリセスを用いながら、転位の発生を抑制することができることを見出した。このような構造のpチャネルMOSトランジスタを図1に示す。
 このpチャネルMOSトランジスタでは、表面のミラー指数が(001)のシリコン基板51の上にゲート絶縁膜52及びゲート電極53が形成されている。また、ゲート電極53の側方にサイドウォール54が形成されている。そして、サイドウォール54の外側において、シリコン基板51の表面にリセス56が形成されている。リセス56の底面のミラー指数は(001)であり、チャネル領域側の側面のミラー指数は<111>である。また、リセス56を取り囲む不純物拡散層55が形成されている。リセス56内には、傾斜SiGe層57及び固定SiGe層58が下から順に形成されている。傾斜SiGe層57のGe含有率は、下面から上面に向かって増加しており、固定SiGe層58のGe含有率は、傾斜SiGe層57の上面におけるGe含有率と一致している。
 そして、このようなpチャネルMOSトランジスタの形成に当たっては、リセス56の形成後に、傾斜SiGe層57及び固定SiGe層58をエピタキシャル成長させる。この方法によれば、Ge含有率が高い固定SiGe層58の形成の前に、Ge含有率が徐々に増加する傾斜SiGe層57をエピタキシャル成長させているので、リセス56を深くしても、固定SiGe層58に転位が生じにくい。従って、リセス56を深くして、チャネル領域に厚さ方向の引張応力を強く作用させることができる。また、チャネル領域の側方に固定SiGe層58が位置しているので、チャネル領域に横方向の圧縮応力を強く作用させることもできる。そして、これらの2つの応力によって、チャネル領域に効果的に圧縮歪を生じさせることができると考えられる。
 ところが、本願発明者らが、図1に示すpチャネルMOSトランジスタの性能について検証を重ねたところ、それまでのSiGe層を用いたトランジスタよりは高い移動度が得られるものの、所望のレベル程の移動度が得られていないことが明らかになった。
 そこで、本願発明者らは、その原因を究明すべく更に鋭意検討を行った。この結果、図2に示すように、シリコン基板61の表面に形成したリセス63内にSiGe層62をエピタキシャル成長させる場合、SiGe層62の初期層がリセス63の底面だけでなく、側面にも形成されていることが明らかになった。つまり、図1に示す例では、傾斜SiGe層57をリセス56の底部のみに形成しているつもりであっても、実際にはリセス56のチャネル側の側面にも傾斜SiGe層57が形成されているのである。このため、固定SiGe層58からの応力がチャネル領域に十分に作用せず、所望の圧縮歪がチャネル領域に生じていない。
 また、図2に示すように、SiGe層62の初期層が形成されたでは、SiGe層62は横方向にほとんど成長せず、全体的に厚さ方向に成長することも明らかになった。これは、初期層は吸着により形成されるが、その後は、選択成長のために原料ガスに含まれているHClによるエッチング作用の影響を受け、また、ミラー指数が<111>の面におけるSi-Cl結合の活性化エネルギが大きいために、SiH及びGeHが初期層上に取りつくことが困難になるからである。
 このような参考例に基づき、本願発明者らは、以下のような実施形態に想到した。
 (第1の実施形態)
 次に、第1の実施形態について、添付の図面を参照して具体的に説明する。但し、便宜上、半導体装置の構造については、その製造方法と共に説明する。第1の実施形態は、主にpチャネルMOSトランジスタに関する。図3A乃至図3Mは、第1の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。
 先ず、図3Aに示すように、p型のシリコン基板11の表面に、STI(Shallow Trench
Isolation)法により、nMOS領域1及びpMOS領域2を画定する素子分離絶縁膜12を形成する。nMOS領域1は、nチャネルMOSトランジスタが形成される予定の領域であり、pMOS領域2は、pチャネルMOSトランジスタが形成される予定の領域である。素子分離絶縁膜12の形成に当たっては、先ず、ドライ酸化により、900℃にて厚さが10nmのシリコン酸化膜を形成し、次いで、例えばSiHCl及びNHを用いたCVD法により、750℃にて厚さが112nm程度のシリコン窒化膜を形成する。その後、シリコン酸化膜及びシリコン窒化膜の、素子分離絶縁膜12を形成する予定の領域上に位置する部分をエッチングにより除去する。続いて、シリコン酸化膜及びシリコン窒化膜の残存している部分をハードマスクとして用いてシリコン基板11のエッチングを行うことにより、溝を形成する。次いで、プラズマCVD法により、溝内及びシリコン窒化膜上にシリコン酸化膜を形成する。その後、CMP法により、シリコン窒化膜が露出するまで、その上のシリコン酸化膜を除去する。続いて、シリコン窒化膜を例えば150℃の熱燐酸を用いたウェット処理により除去する。更に、シリコン窒化膜の下に位置していたシリコン酸化膜を、フッ化水素酸を用いたウェット処理により除去する。このようにして素子分離絶縁膜12が形成される。
 素子分離絶縁膜12の形成後には、図3Aに示すように、nMOS領域1内において、シリコン基板11の表面にpウェル13pを形成し、pMOS領域2内において、シリコン基板11の表面にnウェル13nを形成する。次いで、シリコン基板11上に、例えばドライ酸化により、厚さが1.5nm程度のシリコン酸化膜35をゲート絶縁膜として形成する。その後、例えば厚さが100nm程度の多結晶シリコン膜を形成する。続いて、nMOS領域1内において、多結晶シリコン膜にn型不純物を導入し、pMOS領域2内において、多結晶シリコン膜にp型不純物を導入する。n型不純物の導入に際しては、例えばP(リン)イオンを8×1015cm-2のドーズ量で注入する。また、p型不純物の導入に際しては、例えばB(ボロン)イオンを6×1015cm-2のドーズ量で注入する。次いで、RTA(Rapid Thermal Treatment)を行うことにより、これらの不純物を活性化させる。このようにして、n型多結晶シリコン膜14n及びp型多結晶シリコン膜14pが形成される。
 その後、n型多結晶シリコン膜14n及びp型多結晶シリコン膜14p上に、例えば厚さが30nmのシリコン酸化膜を形成し、図3Bに示すように、このシリコン酸化膜、n型多結晶シリコン膜14n及びp型多結晶シリコン膜14pをパターニングする。この結果、その上にシリコン酸化膜15が設けられたゲート電極が形成される。
 続いて、pMOS領域2を覆うフォトレジスト膜を形成し、これとnMOS領域1内のゲート電極をマスクとし、加速エネルギを3keV、ドーズ量を1×1015cm-2として、As(ヒ素)イオンを注入する。また、nMOS領域1を覆うフォトレジスト膜を形成し、これとpMOS領域2内のゲート電極をマスクとし、加速エネルギを0.5keV、ドーズ量を1×1015cm-2として、Bイオンを注入する。これらの結果、図3Cに示すように、nMOS領域1内にエクステンション層16nが形成され、pMOS領域2内にエクステンション層16pが形成される。
 また、エクステンション層16nの形成の際に用いたフォトレジスト膜をそのまま用いて、nMOS領域1内にp型不純物を導入することによりp型ポケット層(図示せず)も形成する。同様に、エクステンション層16pの形成の際に用いたフォトレジスト膜をそのまま用いて、pMOS領域2内にn型不純物を導入することによりn型ポケット層(図示せず)も形成する。p型不純物の導入に際しては、例えばBイオンを、加速エネルギを10keVとし、ドーズ量を1×1013cm-2として注入する。n型不純物の導入に際しては、例えばAsイオンを、加速エネルギを10keVとし、ドーズ量を2×1013cm-2として注入する。
 次いで、全面にシリコン窒化膜を形成し、これをエッチバックすることにより、図3Dに示すように、例えば厚さが20nmのシリコン窒化膜17をゲート電極の側方に形成する。
 その後、全面にシリコン酸化膜及びシリコン窒化膜を形成し、これらをエッチバックすることにより、図3Eに示すように、ゲート電極の側方及び上方を覆うシリコン酸化膜18を形成すると共に、シリコン酸化膜18の側方にシリコン窒化膜19を形成する。シリコン酸化膜18の厚さは、例えば5nm以下とし、シリコン窒化膜19の厚さは、例えば20nm程度とする。なお、シリコン窒化膜19とシリコン基板11との間には、シリコン酸化膜18が介在する。続いて、nMOS領域1を覆うフォトレジスト膜を形成し、これとpMOS領域2内のゲート電極をマスクとし、加速エネルギを10keV、ドーズ量を3×1013cm-2として、Bイオンを注入する。この結果、pMOS領域2内にエクステンション層16pよりも深いp型不純物拡散層34が形成される。
 次いで、図3Fに示すように、nMOS領域1を覆うシリコン酸化膜20を形成し、これをハードマスクとして、pMOS領域2内のシリコン基板11のエッチングを行うことにより、p型不純物拡散層34の一部と重畳するリセス21を形成する。リセス21の深さは、例えば50nm程度とする。なお、リセス21の形成に当たっては、先ず、ドライエッチングを行い、その後に、水酸化テトラメチルアンモニウム(TMAH)水溶液を用いたウェットエッチングを行い、ウェットエッチング時のエッチング量は、例えば10nm程度とする。この結果、リセス21の底面のミラー指数は(001)となり、側面のミラー指数は<111>となる。
 その後、水素ガス及び不活性ガス(窒素ガス、アルゴンガス、ヘリウムガス等)が充填され、圧力が5Pa~1330Paに保持された減圧CVD装置内に、シリコン基板11を置き、水素雰囲気中で400℃~550℃までシリコン基板11を加熱する。そして、この条件下に最大で60分間程度保持することにより、水素ベーキングを行う。
 続いて、圧力及び温度を保持したまま、減圧CVD装置内に、上記の水素ガス及び/又は不活性ガスに加えて、SiH、HCl及びGeHの混合ガスを供給する。SiHはSiの原料ガスであり、GeHはGeの原料ガスである。また、HClは成長方向の選択性を向上させるガスである。なお、SiHの分圧は1Pa~10Paの範囲内で、Bの分圧は1×10-5Pa~1×10-3Paの範囲内で、HClの分圧は1Pa~10Paの範囲内で固定する。また、GeHの分圧を10Paとする。このような条件下において、エピタキシャル成長により、厚さが5nmのSi0.76Ge0.24層を形成する。つまり、図3Gに示すように、Ge含有率が24%に固定されたSiGe層22を第1の半導体層としてリセス21の底面上に形成する。この時、図2に示す分析結果からも明らかなように、SiGe層22は、リセス21の側面上にも形成される。なお、SiGe層22の成長温度は600℃以下とすることが好ましい。
 次いで、圧力及び温度を保持したまま、減圧CVD装置内に、Bも供給する。BはB(不純物)の原料ガスである。また、この供給とほぼ同時に、GeHの分圧を一時的に0Paまで低下させ、その直後から、経過時間に比例させて、GeHの分圧を0.1Pa~10Paの範囲内まで増加させる。このような条件下において、図3Hに示すように、エピタキシャル成長により、厚さが20nm程度で、Ge含有率が0%から25%まで連続的に変化するSiGe層23を第2の半導体層としてSiGe層22上に形成する。この時、図2に示す分析結果からも明らかなように、SiGe層23は、横方向にはほとんど成長しない。
 その後、各ガスの分圧、圧力及び温度を保持することにより、図3Iに示すように、エピタキシャル成長により、厚さが30nm程度で、Ge含有率が25%に固定されたSiGe層24を第3の半導体層としてSiGe層23上に形成する。SiGe層24の下面は、チャネル領域とゲート絶縁膜35との界面よりも下方に位置し、SiGe層24の上面は、この界面よりも上方に位置する。即ち、SiGe層24は、チャネル領域とゲート絶縁膜35との界面の側方に位置することとなる。
 続いて、圧力及び温度を保持したまま、GeHの供給を停止する。このような条件下において、図3Jに示すように、エピタキシャル成長により、厚さが5nm~10nm程度のシリコン層25をSiGe層24上に形成する。
 次いで、図3Kに示すように、シリコン酸化膜20を除去する。この時、シリコン酸化膜15及びシリコン酸化膜18の一部も除去される。その後、厚さが20nm程度のシリコン酸化膜を500℃以下で全面に形成し、これをエッチバックすることにより、サイドウォール26を形成する。この時、ゲート電極上にシリコン酸化膜27が形成される。続いて、pMOS領域2を覆うフォトレジスト膜を形成し、これとnMOS領域1内のゲート電極及びサイドウォール26をマスクとし、加速エネルギを6.0keV、ドーズ量を8×1015cm-2として、Pイオンを注入する。更に、最高温度を950℃以下とした極短時間アニール(例えば、スパイクアニール)を行うことにより、Pイオンを活性化させる。この結果、n型不純物拡散層28がnMOS領域1内に形成される。
 続いて、サイドウォール26及びシリコン酸化膜27を除去し、全面に厚さが10nm程度のNi又はNi合金膜を形成し、例えば300℃前後のRTAを行う。この結果、図3Lに示すように、シリサイド層28がゲート電極上、シリコン層25上及びn型不純物拡散層28上に形成される。次いで、未反応のNi又はNi合金膜を過硫酸処理により除去する。その後、シリサイド層28をより低抵抗化をするために、400℃~500℃にて熱処理を行う。
 続いて、図3Mに示すように、全面に層間絶縁膜31を形成し、この層間絶縁膜31にシリサイド層28まで到達するコンタクトホールを形成する。次いで、コンタクトホール内にコンタクトプラグ32を形成し、層間絶縁膜31上にコンタクトプラグ32に接する配線を形成する。その後、さらに上層の層間絶縁膜及び配線等を形成し、半導体装置を完成させる。
 このような第1の実施形態によれば、Ge含有率が低めのSiGe層23の形成前に、Ge含有率が高いSiGe層22を形成しているため、SiGe層23のリセス21の側面上での成長が抑制され、チャネル領域の側方は、ほぼSiGe層22及び24により占められる。そして、SiGe層22及び24のGe含有率は高めであるので、pチャネルMOSトランジスタのチャネル領域に効果的に圧縮歪を生じさせることができる。
 なお、SiGe層23、SiGe層24及びシリコン層25中のB濃度は、これらの積層体の抵抗率が1mΩ・cm程度になる範囲内とすることが好ましい。
 また、SiGe層22及び24のGe含有率は特に限定されず、例えば25%~35%である。また、SiGe層23の上面におけるGe含有率も特に限定されず、例えば25%~35%であり、SiGe層23のGe含有率も特に限定されず、例えば20%以下である。但し、SiGe層23のGe含有率は、傾斜している必要はないが、SiGe層22のGe含有率より低くなっている必要があり、また、SiGe層24のGe含有率よりも低くなっていることが好ましい。
 また、リセス21の深さ及び各SiGe層の厚さは特に限定されないが、SiGe層22の厚さは30nm以下であることが好ましく、5nm以下であることがより好ましい。また、SiGe層24の上面がシリコン基板11とゲート絶縁膜35との界面と同一の高さにあってもよい。
 次に、本願発明者らが行った有限要素法(FEM:Finite Element Method)による歪みの分布に関する分析について説明する。
 この分析では、上述の実施形態に沿った方法により形成されるpチャネルMOSトランジスタにおけるチャネル領域及びその近傍における歪みを算出した(実施例)。但し、SiGe層23の上面におけるGe含有率及びSiGe層24のGe含有率を28%とし、SiGe層23の下面におけるGe含有率を15%とした。また、参考のために、SiGe層22の形成を省略した場合の歪みを算出した(比較例1)。更に、Ge含有率が15%(比較例2)、18%(比較例3)又は24%(比較例4)に固定されたSiGe層のみがリセス内に形成された場合の3種類の歪みも算出した。この結果を図4に示す。図4中の実線は上述の実施形態に沿ったトランジスタの歪みの分布を示し、破線はSiGe層22の形成を省略した場合の歪みの分布を示す。また、点線、二点鎖線、一点鎖線は、夫々Ge含有率が15%、18%、24%に固定されたSiGe層のみが形成された場合の歪みの分布を示す。また、横軸はチャネル領域の中央を基準とした横方向の位置を示している。
 図4に示すように、上述の実施形態に沿ったpチャネルMOSトランジスタにおいて、最も大きな圧縮歪が得られるという分析結果が得られた。更に、この分析結果に基づいて、比較例3を基準としたオン電流の増加率を算出したところ、実施例では、比較例1よりも2倍もの増加率が得られるという結果が得られた。
 また、リセス内に埋め込まれたSiGe層により生じるシリコン基板の歪みはGe含有率だけでなくB濃度の影響も受けることが本願発明者らにより明らかになった。具体的には、B濃度が高くなるほど、歪みが緩和されてしまうことが明らかになった。例えば、図5に示すシリコン基板101、ゲート絶縁膜102、ゲート電極103及びSiGe層104を備えたpチャネルMOSトランジスタに関し、SiGe層104中のGe濃度を変化させると、歪みε及びεは、図6A及び図6Bに示す傾向を示す。歪みεは、SiGe層104の側面との界面においてシリコン基板101に生じる厚さ方向の歪みであり、歪みεは、SiGe層104の底面との界面においてシリコン基板101に生じる横方向の歪みである。図6A及び図6Bに示すように、B濃度が高くなるほど、歪みε及びεが小さくなる。また、図6Aに示すように、Bが含まれていない場合と、B濃度が4×1020cm-3の場合とを比較すると、同じ大きさの歪みεを生じさせるために必要なGe含有率が6%程度相違している。つまり、歪みの大きさに着目すると、Bを2×1020cm-3含ませるということは、Ge含有率を6%程度下げることに相当するのである。そして、図7に実線で示す歪みを生じさせるSiGe層からGe含有率を6%下げると、一点鎖線で示す歪みがしか生じない。このことからも、B濃度が高くなるほど、歪みが大きく緩和されることが明らかである。なお、図7中のεxxはチャネル領域に生じる横方向の歪み(圧縮歪)であり、εyyはチャネル領域に生じる厚さ方向の歪み(引張歪)である。
 このため、B濃度はSiGe層23、SiGe層24及びシリコン層25の間で均一にするのではなく、要求される特性に応じて調整することが好ましい。例えば、図8に示すように、Ge含有率が高いSiGe層24では、B濃度を1×1020cm-3と低くし、これを挟むSiGe層23及び25のB濃度を5×1020cm-3~1×1021cm-3と高くする。このような調整は、減圧CVD装置内に供給するBの原料ガス(例えばB)の分圧を制御することにより容易に実行することができる。
 (第2の実施形態)
 次に、第2の実施形態について、添付の図面を参照して具体的に説明する。但し、便宜上、半導体装置の構造については、その製造方法と共に説明する。第2の実施形態は、主にnチャネルMOSトランジスタに関する。nチャネルMOSトランジスタでは、チャネル領域に横方向の引張歪を生じさせる必要である。このためには、例えば、リセス内にSiC層を形成すればよい。そして、SiC層の形成に当たり、そのC含有率を適切に制御することにより、nチャネルMOSトランジスタにおいても、第1の実施形態と同様の効果が得られる。図9A乃至図9Eは、第2の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。
 先ず、第1の実施形態と同様にして、シリコン酸化膜18及びシリコン窒化膜19の形成までの処理を行う(図3E)。次いで、図9Aに示すように、nMOS領域1内にエクステンション層16nよりも深いn型不純物拡散層46を形成する。その後、pMOS領域2を覆うシリコン酸化膜を形成し、これをハードマスクとして、nMOS領域1内のシリコン基板11のエッチングを行うことにより、n型不純物拡散層46の一部と重畳するリセス41を、リセス21と同様の方法により形成する。
 続いて、図9Bに示すように、エピタキシャル成長により、厚さが5nmで、C含有率が10%のSiC層42を第1の半導体層としてリセス41の底面上に形成する。この時、図2に示す分析結果からも明らかなように、SiC層42は、リセス41の側面上にも形成される。
 次いで、図9Cに示すように、エピタキシャル成長により、厚さが20nm程度で、C含有率が0%から2%まで連続的に変化するSiC層43を第2の半導体層としてSiC層42上に形成する。この時、図2に示す分析結果からも明らかなように、SiC層43は、横方向にはほとんど成長しない。
 その後、図9Dに示すように、エピタキシャル成長により、厚さが30nm程度で、C含有率が10%のSiC層44を第3の半導体層としてSiC層43上に形成する。SiC層44の下面は、チャネル領域とゲート絶縁膜35との界面よりも下方に位置し、SiC層44の上面は、この界面よりも上方に位置する。即ち、SiC層44は、チャネル領域とゲート絶縁膜35との界面の側方に位置することとなる。
 続いて、図9Eに示すように、エピタキシャル成長により、厚さが5nm~10nm程度のシリコン層45をSiC層44上に形成する。
 その後、pチャネルMOSトランジスタに対する第1の実施形態における処理と同様の処理等を行い、半導体装置を完成させる。
 このような第2の実施形態によれば、C含有率が低めのSiC層43の形成前に、C含有率が高いSiC層42を形成しているため、SiC層43のリセス41の側面上での成長が抑制され、チャネル領域の側方は、ほぼSiC層42及び44により占められる。そして、SiC層42及び44のC含有率は高めであるので、nチャネルMOSトランジスタのチャネル領域に効果的に引張歪を生じさせることができる。
 なお、第2の実施形態において、pチャネルMOSトランジスタを形成する際に第1の実施形態の方法を採用してもよい。
 また、SiC層42及び44のC含有率は特に限定されず、例えば1%~2.5%である。また、SiC層43の上面におけるC含有率も特に限定されず、例えば1%~2.5%であり、SiC層43のC含有率も特に限定されず、例えば1%以下である。但し、SiC層43のC含有率は、傾斜している必要はないが、SiC層42のC含有率より低くなっている必要があり、また、SiC層44のC含有率よりも低くなっていることが好ましい。
 また、リセス41の深さ及び各SiC層の厚さは特に限定されないが、SiC層42の厚さは30nm以下であることが好ましく、5nm以下であることがより好ましい。また、SiC層44の上面がシリコン基板11とゲート絶縁膜35との界面と同一の高さにあってもよい。
 また、SiC層中の不純物の濃度も特に限定されないが、C含有率が高いSiC層44では、不純物濃度を低くし、これを挟むSiC層43及び45の不純物濃度を2×1020cm-3~1×1021cm-3、特に5×1020cm-3~1×1021cm-3と高くすることが好ましい。このような不純物としては、例えば、P(リン)及びAs(砒素)が挙げられる。
 また、第1及び第2の実施形態において、層間絶縁膜31の形成前に、nMOS領域1内にチャネル領域に横方向の引張応力を作用させる膜を形成し、pMOS領域2内にチャネル領域に横方向の圧縮応力を作用させる膜を形成してもよい。このような膜としては、例えばシリコン窒化膜が挙げられる。
 このようなチャネルに歪みが生じたトランジスタは、例えばSoC(System on Chip)の論理回路部に用いることができる。図10にSoCの一例を示す。このSoC110には、3個の論理回路部111及びSRAM部112が一つの基板上に形成されている。そして、論理回路部111に第1の実施形態、第2の実施形態のMOSトランジスタが含まれている。
 また、携帯電話等の高速通信が行われる機器に用いることができる。図11に携帯電話機の一例を示す。この携帯電話機120には、外部と電波の送受信を行うアンテナ121、外部に音声を出力するスピーカ125、及び外部から音声を入力するマイク126が設けられている。また、アンテナ121の入出力信号とスピーカ125及びマイク126の出入力信号との変換等を行う送受信処理部122、この制御を行う制御部123、及び制御部123が実行するプログラム等を記憶したメモリ124が設けられている。更に、動作状態等を表示する表示部127、及びユーザが操作するテンキー等の操作部128が設けられている。このような携帯電話機120では、制御部123が高周波LSIから構成される。そして、この高周波LSIに第1の実施形態、第2の実施形態のMOSトランジスタが含まれている。
 これらの半導体装置及び製造方法によれば、第2の半導体層よりもGe又はCの含有率が高い第1の半導体層が溝の底面及び側面を覆うように形成されているため、第3の半導体層に起因するゲート絶縁膜直下の領域の歪みを効果的に大きなものとすることができる。
 

Claims (20)

  1.  シリコン基板と、
     前記シリコン基板上に形成されたゲート絶縁膜と、
     前記ゲート絶縁膜上に形成されたゲート電極と、
     前記ゲート電極の両側であって、前記シリコン基板の表面に形成された溝と、
     前記溝の底面及び側面を覆うように形成されたGeを含む第1の半導体層と、
     前記第1の半導体層上に形成され、前記第1の半導体層のGe含有率より低い含有率で量のGeを含む第2の半導体層と、
     前記第2の半導体層上に形成されたGeを含む第3の半導体層と、
     を有することを特徴とする半導体装置。
  2.  前記第3の半導体層のGe含有率が、前記第2の半導体層のGe含有率よりも高いことを特徴とする請求項1に記載の半導体装置。
  3.  前記第1の半導体層のGe含有率が、25%乃至35%であることを特徴とする請求項2に記載の半導体装置。
  4.  前記第2の半導体層のGe含有率が、20%以下であることを特徴とする請求項2に記載の半導体装置。
  5.  前記第3の半導体層の表面は、前記シリコン基板と前記ゲート絶縁膜との界面と同一の高さ又は該界面よりも上方に位置することを特徴とする請求項2に記載の半導体装置。
  6.  前記第1乃至第3の半導体層が、SiGe層であることを特徴とする請求項3に記載の半導体装置。
  7.  前記第1の半導体層の膜厚が、30nm以下であることを特徴とする請求項6に記載の半導体装置。
  8.  前記第1乃至3の半導体層に不純物が導入されており、前記第1及び第3の半導体層の不純物濃度が、前記第2の半導体層の不純物濃度よりも高いことを特徴とする請求項1に記載の半導体装置。
  9.  前記第2の半導体層の不純物濃度が、5×1020cm-3~1×1021cm-3であることを特徴とする請求項8に記載の半導体装置。
  10.  シリコン基板と、
     前記シリコン基板上に形成されたゲート絶縁膜と、
     前記ゲート絶縁膜上に形成されたゲート電極と、
     前記ゲート電極の両側であって、前記シリコン基板の表面に形成された溝と、
     前記溝の底面及び側面を覆うように形成されたCを含む第1の半導体層と、
     前記第1の半導体層上に形成され、前記第1の半導体層のC含有率より低い含有率でCを含む第2の半導体層と、
     前記第2の半導体層上に形成されたCを含む第3の半導体層と、
     を有することを特徴とする半導体装置。
  11.  前記第3の半導体層のC含有率が、前記第2の半導体層のC含有率よりも高いことを特徴とする請求項10に記載の半導体装置。
  12.  前記第1の半導体層のC含有率が、1%乃至2.5%であることを特徴とする請求項11に記載の半導体装置。
  13.  前記第2の半導体層のC含有率が、1%以下であることを特徴とする請求項11に記載の半導体装置。
  14.  前記第3の半導体層の表面は、前記シリコン基板と前記ゲート絶縁膜との界面と同一の高さ又は該界面よりも上方に位置することを特徴とする請求項11に記載の半導体装置。
  15.  前記第1乃至第3の半導体層が、SiC層であることを特徴とする請求項12に記載の半導体装置。
  16.  前記第1の半導体層の膜厚が、30nm以下であることを特徴とする請求項15に記載の半導体装置。
  17.  前記第1乃至3の半導体層に不純物が導入されており、前記第1及び第3の半導体層の不純物濃度が、前記第2の半導体層の不純物濃度よりも高いことを特徴とする請求項10に記載の半導体装置。
  18.  前記第2の半導体層の不純物濃度が、5×1020cm-3~1×1021cm-3であることを特徴とする請求項17に記載の半導体装置。
  19.  シリコン基板上にゲート絶縁膜を形成する工程と、
     前記ゲート絶縁膜上にゲート電極を形成する工程と、
     前記ゲート電極の両側であって、前記シリコン基板の表面に溝を形成する工程と、
     前記溝の底面及び側面を覆うようにGeを含む第1の半導体層を形成する工程と、
     前記第1の半導体層上に、前記第1の半導体層のGeの含有率より低い含有率でGeを含む第2の半導体層を形成する工程と
     前記第2の半導体層上に、Geを含む第3の半導体層を順に形成する工程と、
     を有することを特徴とする半導体装置の製造方法。
  20.  シリコン基板上にゲート絶縁膜を形成する工程と、
     前記ゲート絶縁膜上にゲート電極を形成する工程と、
     前記ゲート電極の両側であって、前記シリコン基板の表面に溝を形成する工程と、
     前記溝の底面及び側面を覆うようにCを含む第1の半導体層を形成する工程と、
     前記第1の半導体層上に、前記第1の半導体層のCの含有率より低い含有率でCを含む第2の半導体層を形成する工程と
     前記第2の半導体層上に、Cを含む第3の半導体層を順に形成する工程と、
     を有することを特徴とする半導体装置の製造方法。
     
PCT/JP2008/051071 2008-01-25 2008-01-25 半導体装置及びその製造方法 WO2009093328A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009550406A JP5168287B2 (ja) 2008-01-25 2008-01-25 半導体装置及びその製造方法
CN2008801254046A CN101925986B (zh) 2008-01-25 2008-01-25 半导体器件及其制造方法
PCT/JP2008/051071 WO2009093328A1 (ja) 2008-01-25 2008-01-25 半導体装置及びその製造方法
US12/826,002 US8338831B2 (en) 2008-01-25 2010-06-29 Semiconductor device and manufacturing method thereof
US13/412,967 US8586438B2 (en) 2008-01-25 2012-03-06 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2008/051071 WO2009093328A1 (ja) 2008-01-25 2008-01-25 半導体装置及びその製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/826,002 Continuation US8338831B2 (en) 2008-01-25 2010-06-29 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
WO2009093328A1 true WO2009093328A1 (ja) 2009-07-30

Family

ID=40900846

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2008/051071 WO2009093328A1 (ja) 2008-01-25 2008-01-25 半導体装置及びその製造方法

Country Status (4)

Country Link
US (2) US8338831B2 (ja)
JP (1) JP5168287B2 (ja)
CN (1) CN101925986B (ja)
WO (1) WO2009093328A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011040641A (ja) * 2009-08-14 2011-02-24 Renesas Electronics Corp 半導体装置およびその製造方法
JP2011061042A (ja) * 2009-09-10 2011-03-24 Fujitsu Semiconductor Ltd 半導体装置
US20160133748A1 (en) * 2010-09-07 2016-05-12 Samsung Electronics Co., Ltd. Semiconductor devices including silicide regions and methods of fabricating the same
KR101734665B1 (ko) * 2015-03-30 2017-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 장치 구조체 및 반도체 장치 구조체 형성 방법
KR101811796B1 (ko) * 2010-10-06 2018-01-25 삼성전자주식회사 급경사 접합 프로파일을 갖는 소스/드레인 영역들을 구비하는 반도체 소자 및 그 제조방법

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5381382B2 (ja) * 2009-06-19 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8021950B1 (en) * 2010-10-26 2011-09-20 International Business Machines Corporation Semiconductor wafer processing method that allows device regions to be selectively annealed following back end of the line (BEOL) metal wiring layer formation
CN102709183B (zh) * 2011-03-28 2016-08-03 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US9537004B2 (en) * 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
CN102956445A (zh) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种锗硅外延层生长方法
CN103000499B (zh) * 2011-09-14 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种锗硅硼外延层生长方法
US9263337B2 (en) * 2011-11-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20130074353A (ko) * 2011-12-26 2013-07-04 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
CN105789114B (zh) * 2012-09-24 2019-05-03 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103715090B (zh) * 2012-09-29 2018-05-01 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US8853752B2 (en) * 2012-10-26 2014-10-07 Globalfoundries Inc. Performance enhancement in transistors by providing a graded embedded strain-inducing semiconductor region with adapted angles with respect to the substrate surface
KR102059526B1 (ko) * 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
CN104143511B (zh) * 2013-05-09 2016-12-28 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的制作方法
US9196542B2 (en) * 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9601619B2 (en) * 2013-07-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with non-uniform P-type impurity profile
US9401274B2 (en) * 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US9012964B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
US9337337B2 (en) * 2013-08-16 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device having source and drain regions with embedded germanium-containing diffusion barrier
US9064961B2 (en) * 2013-09-18 2015-06-23 Global Foundries Inc. Integrated circuits including epitaxially grown strain-inducing fills doped with boron for improved robustness from delimination and methods for fabricating the same
CN104576391B (zh) * 2013-10-18 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种pmos器件及其制备方法
CN103872118A (zh) * 2014-02-21 2014-06-18 上海华力微电子有限公司 场效应晶体管及其制备方法
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
CN105448991B (zh) * 2014-09-01 2019-05-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
KR102259328B1 (ko) 2014-10-10 2021-06-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9543438B2 (en) 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
CN105702727B (zh) * 2014-11-28 2020-06-16 联华电子股份有限公司 金属氧化物半导体装置与其形成方法
KR102192571B1 (ko) * 2014-12-04 2020-12-17 삼성전자주식회사 버퍼 층을 갖는 반도체 소자 및 그 형성 방법
US9991384B2 (en) * 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9680014B2 (en) * 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
FR3050315B1 (fr) * 2016-04-19 2019-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor a overlap des regions d'acces maitrise
CN107369712A (zh) * 2016-05-13 2017-11-21 上海新昇半导体科技有限公司 半导体结构及其形成方法
US9997631B2 (en) * 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US20220271162A1 (en) * 2021-02-19 2022-08-25 Qualcomm Incorporated P-type field effect transistor (pfet) on a silicon germanium (ge) buffer layer to increase ge in the pfet source and drain to increase compression of the pfet channel and method of fabrication

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005033137A (ja) * 2003-07-11 2005-02-03 Toshiba Corp 半導体装置およびその製造方法
JP2007294780A (ja) * 2006-04-27 2007-11-08 Sony Corp 半導体装置の製造方法および半導体装置
JP2007537601A (ja) * 2004-05-14 2007-12-20 アプライド マテリアルズ インコーポレイテッド 選択的堆積プロセスを使用したmosfetデバイスの作製方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US7105393B2 (en) * 2004-01-30 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon layer fabrication with reduced dislocation defect density
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
JP4369379B2 (ja) * 2005-02-18 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
JP4515305B2 (ja) * 2005-03-29 2010-07-28 富士通セミコンダクター株式会社 pチャネルMOSトランジスタおよびその製造方法、半導体集積回路装置の製造方法
JP4630728B2 (ja) 2005-05-26 2011-02-09 株式会社東芝 半導体装置及びその製造方法
US7579617B2 (en) * 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
JP5076388B2 (ja) * 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7750338B2 (en) * 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
JP2009043916A (ja) * 2007-08-08 2009-02-26 Toshiba Corp 半導体装置及びその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005033137A (ja) * 2003-07-11 2005-02-03 Toshiba Corp 半導体装置およびその製造方法
JP2007537601A (ja) * 2004-05-14 2007-12-20 アプライド マテリアルズ インコーポレイテッド 選択的堆積プロセスを使用したmosfetデバイスの作製方法
JP2007294780A (ja) * 2006-04-27 2007-11-08 Sony Corp 半導体装置の製造方法および半導体装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011040641A (ja) * 2009-08-14 2011-02-24 Renesas Electronics Corp 半導体装置およびその製造方法
JP2011061042A (ja) * 2009-09-10 2011-03-24 Fujitsu Semiconductor Ltd 半導体装置
US8563382B2 (en) 2009-09-10 2013-10-22 Fujitsu Semiconductor Limited Semiconductor device
US20160133748A1 (en) * 2010-09-07 2016-05-12 Samsung Electronics Co., Ltd. Semiconductor devices including silicide regions and methods of fabricating the same
US10170622B2 (en) 2010-09-07 2019-01-01 Samsung Electronics Co., Ltd. Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same
US10263109B2 (en) * 2010-09-07 2019-04-16 Samsung Electronics Co., Ltd. Semiconductor devices including silicide regions and methods of fabricating the same
US11004976B2 (en) 2010-09-07 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same
KR101811796B1 (ko) * 2010-10-06 2018-01-25 삼성전자주식회사 급경사 접합 프로파일을 갖는 소스/드레인 영역들을 구비하는 반도체 소자 및 그 제조방법
KR101734665B1 (ko) * 2015-03-30 2017-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 장치 구조체 및 반도체 장치 구조체 형성 방법
US10008568B2 (en) 2015-03-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure

Also Published As

Publication number Publication date
CN101925986B (zh) 2012-09-05
US8586438B2 (en) 2013-11-19
US8338831B2 (en) 2012-12-25
CN101925986A (zh) 2010-12-22
JPWO2009093328A1 (ja) 2011-05-26
US20120171829A1 (en) 2012-07-05
JP5168287B2 (ja) 2013-03-21
US20100301350A1 (en) 2010-12-02

Similar Documents

Publication Publication Date Title
JP5168287B2 (ja) 半導体装置及びその製造方法
US8450775B2 (en) Method to control source/drain stressor profiles for stress engineering
US8114727B2 (en) Disposable spacer integration with stress memorization technique and silicon-germanium
US7902008B2 (en) Methods for fabricating a stressed MOS device
JP5091403B2 (ja) 半導体装置およびその製造方法
JP4847152B2 (ja) 半導体装置とその製造方法
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US20160254259A1 (en) Semiconductor Device and Method of Manufacturing the Same
US20060234455A1 (en) Structures and methods for forming a locally strained transistor
JP5559639B2 (ja) 半導体装置およびその製造方法
US20060292783A1 (en) CMOS transistor and method of manufacturing the same
US20050156274A1 (en) Strained channel transistor and methods of manufacture
JP2006253317A (ja) 半導体集積回路装置およびpチャネルMOSトランジスタ
US6849527B1 (en) Strained silicon MOSFET having improved carrier mobility, strained silicon CMOS device, and methods of their formation
KR20110123733A (ko) 에피택셜 성장된 스트레스-유도 소오스 및 드레인 영역들을 가지는 mos 디바이스들의 제조 방법
US20120058610A1 (en) Method of manufacturing semiconductor device
JP5120448B2 (ja) 半導体装置及びその製造方法
JP2009094300A (ja) 半導体装置及びその製造方法
US20110306170A1 (en) Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process
JP2007005627A (ja) 半導体装置の製造方法
JPWO2006030505A1 (ja) Mos型電界効果トランジスタ及びその製造方法
US8198633B2 (en) Stress transfer enhancement in transistors by a late gate re-crystallization
JP2005209980A (ja) 半導体装置の製造方法および半導体装置
KR100760912B1 (ko) 반도체 소자 및 그 제조 방법
JP2009164222A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880125404.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08703898

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2009550406

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08703898

Country of ref document: EP

Kind code of ref document: A1