TWI251258B - Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module - Google Patents

Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module Download PDF

Info

Publication number
TWI251258B
TWI251258B TW092130812A TW92130812A TWI251258B TW I251258 B TWI251258 B TW I251258B TW 092130812 A TW092130812 A TW 092130812A TW 92130812 A TW92130812 A TW 92130812A TW I251258 B TWI251258 B TW I251258B
Authority
TW
Taiwan
Prior art keywords
substrate
transfer chamber
substrate transfer
chamber
gas
Prior art date
Application number
TW092130812A
Other languages
English (en)
Other versions
TW200410305A (en
Inventor
Yo-Han Ahn
Ki-Doo Kim
Soo-Woong Lee
Hyeog-Ki Kim
Jung-Sung Hwang
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of TW200410305A publication Critical patent/TW200410305A/zh
Application granted granted Critical
Publication of TWI251258B publication Critical patent/TWI251258B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

•1251258 玖、發明說明: 【發明所屬之技術領域】 本發明有關於一種基板處理裝置並且有關於一種將基 板轉移至裝置處理室之方法。而更特別的a,本發明有: 於一種用以將基板從一容器轉移至基板處理室之基板轉移 模組。 【先前技術】 半導體元件之製造通常包含一種於其中將光阻圖樣妒 成於晶圓上之光微影處理,以及一種之後於其中使用諸= 蝕刻光罩的光阻圖樣將其晶圓蝕刻之乾式蝕刻處理。在一° 處於高度真空狀態之處理室中執行乾式蝕刻處理。然而, 對於在處理室中產生高度真空狀態而t,亦即從大^壓力 減;壓力至高度真空壓力而t,需要相當多的時間。因此 ’在乾式蝕刻裝置中使用一種低真空負載室來充當緩衝号 ’致使晶圓可以處於待命之預備位置,同時將高度真空壓 力產生於處理室之中,藉以有效率地處理該晶圓。 囷1為用於具有200mm直控的晶圓之傳統多重室之乾 式蝕刻裝置。參„ !,傳統的乾式蝕刻裝置 : 負载室Ua與Hb、一轉移室15、以及高度真空之處理; 18a 、 18b 與 i8c 。 、容納大約25個晶圓(亦即半導體基板2〇)之卡匣i2 載『負載室14a之中。在負載室14a中維持大約1〇_3陶爾 〇π)之低真空狀態。之後則使用_配置於轉移室15 1251258 中的自動機械裝置16’將第一個負载室14a中之晶圓μ 一個接著一個地轉移至個別的處理室18a、18b與Uc。將 所有的處理室18a、18b與18c維持在大約1〇_6陶爾之古 :真:狀態。在處理室18a、18b與18“内的乾式蚀: 处理元成之後’同樣也藉由自動機械裝置16將晶圓轉 移至第二個負載室14b…旦在切12中接收到所有已姓 刻的晶圓20,便將第二個負載室⑽中的晶圓卡厘12從
乾式蝕刻裝置移除。 A 於其間,此時使用較大的晶圓來改善整個半導體元件 ,造處理之效率,並且用來節省製造成本。特別的是,此 時使用具有300mm直徑的半導體晶圓來製造半導體元件。 所以,半導體製造m及處理過程係、與所使用白勺晶圓尺 寸之增加並行發展。 例如,將具有300mra直徑的半導體晶圓儲存並且傳送 於晶圓容器之内,諸如一種前端開口一體成形之箱體( FOUP) 。FOUP具有相對較大的體積。因此,當F〇up被引 進低真空負載室之中時,需要很多的時間將負載室中的壓 力從大氣壓力降低至低真空壓力。同樣的是,需要很多的 時間用以於後將負載室中的壓力從低真空壓力增加至大氣 壓力。因此,使用此種型式的裝置之乾式蝕刻處理效率相 對較低。 而更特別的是,用來處理300mm晶圓的裝置包含一種 分隔形成的基板轉移模組,諸如一種設備前端模組(efem )。將FOUP承載於基板轉移模組之負載埠之上,並且經 1251258 由基板轉移模組,將其晶圓一 。 個接者一個地轉移至負載室 圖2與3顯示一種用來乾式钱 番宕弘4 & 到300mm晶圓的傳統多 重至1“餘刻裝置。參照圖2,傳統乾式钱刻裝置包人一 基板轉移模組50、低真空負載 、 a 貝戰至60a與6〇b、以及一 處理區段65。基板處理區段65具有高度真 1 、6〇b與,、以及一經之會 異工處理至60a 傲·“ θ將日日囫62轉移至負載室6〇a 契_與處理室66a、㈣與心之間的轉移室^,㈣ 高度真空處理室中’預定之處理係進行於晶圓Μ之上/ 如圖3所示的,基板轉移模組5〇包含用來支撐朦 的負載琿58a # 58b、—用來過渡來自外部的空氣之過滤 益早το 59、以及一基板轉移自動機械裝置56安裝於盆中 的基板轉移室54。參照圖2與3,晴52容納著許多、的 的晶圓’諸如25個晶圓62。F〇up 52放置於基板轉移模 組50的第一個負載埠μ ^ αί 貝戳早之上。之後則將面向基板轉移 室54的F0UP 52之前端出入口(並無顯示)開啟。 基板轉移模組50的過濾器單元59為一種風扇過濾器 單元(FFU ),一風扇與一過濾器係組合於其中。過濾器 單兀59允許來自潔淨室過濾器75之清潔空氣8〇向下流 進基板轉移室54。所以,基板轉移室54具有相同於從過 濾器單元5 9所流過來的清潔空氣8 〇之溫度及大氣壓力( 溫度大約23GC左右’濕度大約45%左右)。由於f〇UP 52 連接到基板轉移室54,同時F0UP 52之前端出入口開啟, 因此清潔空氣80會從基板轉移室54流進F0UP 52之中。 1251258 由是’ FOUP的内部處於相同於基板轉移室54中的空氣之 溫度(室内)以及壓力(大氣)。 使用配置於基板轉移室54之内的基板轉移自動機械穿 置56,將晶圓62其中之一的第一個晶圓承載於其中維持 大約ίο-3陶爾左右的低真空狀態之第一個負載室6〇a。然 後,藉由配置於轉移室63之内的轉移自動機械裝置= 將第一個負載室60a之内的晶圓62轉移至個別的處理室 66a、66b與66c。在所有的處理室66a、66b與66c中至 、准持大約1 0 6陶爾左右的高度真空壓力。 一旦對第一個晶圓62從事乾式蝕刻,則會使用轉移自 動機械裝i 64 ’將該晶圓轉移至第二個負載室60b。於其 後,使用基板轉移自動機械裝置56,將第一個晶圓Μ轉 移至配置於第二個_ 58b上的F〇up52。晶圓會停留 :F0UP 52中大約50分鐘左右,直到所剩餘 ;理為止。當處理並且一”接收所有的其他I;圓 了 F〇UP 52則端出入口便會關閉,並且將F〇up 52從 式蝕刻裝置移除。 口同以上所探討的,在用來從事2〇〇_晶圓 -BB lEi 二丁 '”、之傳統乾式蝕刻裝置中,會直接將容納著25個」 2晶圓卡E承餘低真空負载室之+,致使㈣與夕h =潔W。正好相反的是,在用來從事綱襲晶g =式兹刻行為之傳統乾式㈣裝置中,使用基板編 截,,將晶圓從黯52 一個接著一個地轉移至第一個負 至6〇a。換言之,含有晶圓62的f_會停留在基板轉 12 1251258 同時將FOUP 52的 因此,300mm晶圓 移模組50的第二個負載埠58b之上 月J立而出入口開啟而暴露於清潔空氣8 0 的處理需要非常多的時間。 用來從事 事300mm晶圓 1中 2〇〇mm晶圓的傳統乾式蝕刻裝置以及用來從 的傳統乾式㈣裝置之特性顯示&以下的表 表1 }2~~β 5- |6~ 1 [8 9 mm 潔淨 室 在負載室中 的卡匣 轉移 室 處理 室 轉栘 室 在負載室中 的卡匣 潔淨 室 25個晶圓 逐一 逐一 逐一 211 固晶圓 10」陶爾 latm latm 1〇_3陶爾 1〇-6 陶 爾 10·6 陶 爾 HT6陶 爾 300 mm FOUP EFEM 負載 室 轉移 室 處理 室 轉移 宇 負載 EFEM feup 25個 晶圓 S - 逐一 逐一 逐一 逐一 逐一 25個 晶圓 latm latm 10°陶 爾 10·6 陶 爾 10·6 陶 爾 10·6 陶 爾 10·3 陶 爾 latm latm
如同表1所示的,在用來從事200mm晶圓的乾式蝕刻 行為之傳統乾式蝕刻裝置中,其晶圓隨著一個接著一個地 轉移於負載室與連接到基板轉移模組(EFEM )之FOUP之 間,而處於室溫以及大氣壓力下。因此,長久之時間過去 了,然而已I虫刻之晶圓仍停留在FOUP中,同時FOUP前端 出入口係開啟。 在此一時間期間中,這些在FOUP中待命的晶圓會經由 13 1251258 ,板轉移模組而暴露於清潔之空氣。所以,在聰中的 =圓會暴露於各種空氣傳播》子污$(AMC),諸如清潔 空”的水氣(M)以及臭氧(〇3)。在此一狀況下,留 在晶圓表面上的蝕刻氣體以及空氣中的水氣會有所反應, 亦即敍刻氣體會凝結。凝結的姓刻氣體則會形成微小的粒 子,其可能會連接晶圓上鄰接的傳導性圖樣。 圖4為闡述在將晶圓乾式餘刻之後形成在晶圓上所凝 結^虫刻氣體之粒子數目對時間之座標圖。座標圖中的延 間(小時或分鐘)為晶圓暴露於諸如清潔空氣的周遭 衣蜓之時間。在圖4的座標圖中’延遲時間即為自晶圓乾 式姓刻完成之時間至儲存晶圓的剛p轉移到檢驗裝置之 時間。圖4顯示晶圓上的粒子數目在大約1〇〇分鐘左右的 7遲呤間之後會呈急遽地的增加。因此,如此冗長的延遲 時間要考慮到晶圓的污染物增強問題。再者,* 圖樣乃是微小之時,亦即且# + 、 '々丨丹頁小而關鍵性之尺寸,其污染 物則為特別有害的。例如,臭氧的粒子能助長自然氧化物 層之成長’並且藉此增加圖樣的電阻,而濕度則會使閘極 氧化物層成為有害的。 對:留在詹之内最長時間同時處於室溫以及大氣壓 力下的第一個晶圓而言,這些,凝結現象’最為嚴重。凝結 現象同樣也會發生在用於#刻傳统襲m晶圓的傳统乾^ 敍刻裝置中。然而’藉由管理晶圓從乾式餘刻裳置送出直 到晶圓接受其後清潔處理的時間為止之延遲時間,便能夠 ㈣3()-晶圓的傳統乾式㈣袭 14 1251258 =,第-個晶圓會經歷一段大約5〇分鐘 ^將_p連接到乾式㈣裝置的基板轉移模組,亦’即 孩减結現象則可能會在晶圓送出之前發生。 在嘗試減少F〇UP以及其中的晶圓之污染物上,已經發 展出-種用以乾式蝕刻3〇。襲晶圓的處理裝置,1中將 F〇UP直接承載於低真^載室之中。然而,此—裝置的負 載室必須具有用以容納相對為…〇up之巨大體積。因 此,需要很多的時間’ 以在此一裝置的負載室中形成真 空。所以’裝置的效率相當低。因此’上述其中聽内 的晶圓經由基板轉移模組一個接著一個轉移至負載室之裝 置通韦用來處理3〇〇龍的晶圓。 【發明内容】 本t明的目的係為解決上述的習知技術之問題。 更為特別的是,本發明的目的係為提供基板處理裝置 方法藉此,在基板轉移模組内的污染程度則能夠加以 控制。 本I明的另一個目的為避免基板在待命於諸如一 F〇up 之今器内訏叉到污染,而其他的基板係正接受處理且隨後 會載入FOUP之中。 ^本發明的另一個目的為,於基板在基板處理裝置中被 乾蝕刻或類似處理之後,避免基板正在轉移於諸如一 F〇up 之關閉容器内時受到污染。 根據本發明之一觀點,一基板處理裝置包含··一容器 15 1251258 ’配置來支撑複數個基板;一基板處理部件,包含至少一 個處理室,於該處理室内預定處理係執行於基板上;一基 板轉移模組,包含一基板轉移室,基板會經之而由該容器 轉移至該至少一個處理室;以及一用來控制基板轉移室中 的污染程度之污染物控制區段。 基板轉移模組同樣也包含一配置於基板轉移室外部並 且用以支撐容器而暴露於處理裝置外部周遭環境的負載埠 、以及用來從容器轉移該基板之基板轉移機構。污染物控 制系統包含:一氣體入口埠,連接到基板轉移室且淨化^ 體會經之供應至基板轉移室之中;以及一氣體循環管路, 順著基板轉移室的長度延伸於基板轉移室外部並且連接至 ,二匕相間隔的該基板轉移室個別部分。所以,冑用氣體循 墩官路,在基板轉移室中的淨化氣體便能夠經過基板轉移 室而循環。 根據本發明的另一個觀點,係為藉由提供淨化氣體至 基板轉移室之中並且經由室循環其淨化氣體之一種處理基 方法然後則將容納著複數個基板的容器承載至位於 二轉移至之外部的負料上。使用—配置於基板轉移裝 的自動機械裳置,將容器中的基板轉移至基板轉移室 :。“匕’將基板轉移到至少一個處理室,於該處理室 則缠孩只土板上執订一種預定的處理。所處理的基板之後 只J得移至一交哭、i "中。將淨化氣體供應至基板轉移室之中 載= 至該處理室中之時間至所處™ 守間而重新循環經由該處理室,。 16 1251258 根據本發明,將諸如氮氣的淨化氣體供應至基板轉移 模組的基板轉移室,用以將基板從容器轉移至一個或者多 個的基板處理室。該淨化氣體同樣也通過基板轉移室而重 新循%,藉以從基板轉移室移除水氣以及包含臭氧的各種 空氣傳播分子污染(AMC )。因此,會隨著更多已處理的 晶圓正受到處理並且承載於容器之中,而能夠當晶圓於容 器中待命時避免於所處理的晶圓上形成凝結現象。 同樣的是,在基板承載入容器或者從容器卸載期間中 ,能夠以來自基板轉移室的淨化氣體來填充基板轉移模组 的負載埠所支撑的容器。另—方面,目而可避免污染物質 流入容器之中。特別的i,在容器轉移至另一個農置用以 於晶圓上執行下-個處理之期間+,能夠避免在周遭環境 中的濕氣以及污染物質流入該容器。 【實施方式】 將參照圖式詳細說明本發明的較佳實施例。於所有圖 式中’相同的元件符號表示同樣的部件。 首先參照圖5,用來蚀刻3〇〇mm晶圓的乾式 100包含一基板轉移模組108、低真空負載室此與工奶 、以及一基板處理區段1 〇 2。 •η丨〇』/又吳二^埋室 12 8 128b與128c、-轉移室124、以及—配置於轉移室u 的轉移自動機械裝4 126。例如,藉由轉移自動: 126,將具有300職直徑的晶圓m轉移至負載室_ 17 1251258 122b以及處理室128a、mb _、128b與中,於其晶圓上C之間。在處理室 處理之預定處理。 ,進行諸如乾式㈣ 此時參照圖5與6,基板韓銘# , 移室nn姑 “反轉移輻組⑽包含一基板轉 移至11 〇、一裝設於基板 , 至110中的基板轉移裝置 4、设置在基板轉移室丨丨(J卜 5. 1Πβ 丨丨用以支撐晶圓104的容 為106之至少一個的負載埠 千iiZa與U2b、以及一將外部 工乳引進基板轉移室11G之中的過濾器單元12〇。
較佳的是,容器1〇6為一種F 裡Γυυρ,而基板轉移裝置 則為-種自動機械裝置,其包含用來支撑晶圓ι〇4的 :動機械手臂116以及用來驅動自動機械手臂ιΐ6而用以 轉移晶圓m的手臂驅動部/分118。過遽器單元12〇為一 種風扇過濾、器單元(FFU) ’其中將—風扇與一過滤器彼 此整合在一起。 立基板處理裝置同樣也包含一連接到基板轉移模組^ 〇8 八P邛刀的污染物控制系統j 3 〇。污染物控制系統1 3 〇包 ^ 氣體供應入口 1 3 2,用以提供基板轉移室丨丨0淨化 虱體146a以便淨化基板轉移室11〇内部;以及一之氣體 %%官路138’用以將淨化氣體通過基板轉移室ι1〇而循 環 、/ 产 又。淨化氣體能夠是一種惰性的氣體或者乾燥的空氣(已 、、&將濕度移除的空氣)。較佳的是,淨化氣體為一氮氣( 2 ) °污染物控制系統1 3 0同樣也包含一連接到氣體供應 入D 1 32的氣體線路1 34、以及一用來控制經過氣體線路 134而至氣體供應入口 132的淨化氣體流動速率之質量流 18 1251258 I 控制器(MFC) 136。 ~氣體循環管路138延伸出基板轉移室11〇之一側而位 土板轉移至丨1 〇的下部部分以及上部部分之間,致使通 過氣體循環管路138重新循環而回到基板轉移室110之中 的淨化氣體會在基板轉移室110之内形成一種層流之流動 。同樣的是’氣體循環管路138冑接到風扇過滤器單元 致使重新循5哀回到基板轉移室丨丨〇中之前便過濾該 淨化空氣。 …此時,當藉由淨化氣體將基板轉移室11〇之内的環境% :化時’其處理便會耗費很多的淨化氣體,進而產生淨化 氣體耗盡相關的問題。因此,較佳的是’利用淨化的氣體 僅淨化基板轉移室11〇中環境總體積的一部份,且經由淨 化行為自然的洩漏,重新循環回到基板轉移室110之中的 ,化氣體便會耗盡。在圖6 +,元件符號146a表示經由 氣體么、應入口 13 2供應至基板轉移室110的淨化氣體,元 件:號146b表示經由氣體循環管路138提供回到基板轉 移室11〇的淨化氣體,而元件符號146c則是表示因其本鲁 身的壓力所造成從基板轉移室11〇自然洩漏的淨化氣體。 以下將更為詳細地說明上述的基板處理裝置之操作。 首先,在進行諸如一種乾式蝕刻處理的預定處理之前 ^經由風扇過渡器單元12〇,將清潔的空氣引進基板轉移 室no之中。因此,基板轉移室110的内部維持與室ιι〇 外部的清潔空氣相同之溫度與濕度,例如,在大@ 2代左 右的溫度以及在大約45%左右的濕度。 19 1251258 接著’經由氣體供應入口 132,將較佳為氮氣(〜) 的淨化氣體146a供應至基板轉移室11〇之中,藉以淨化 含有濕氣或者其他潛在的污染物之基板轉移室η:。藉由 風扇過濾器單元120’將基板轉移室11〇之内的淨化氣體 導至氣體循環管路138 <中,並且經之而循環回到基板轉 移室110°持續地進行藉由污染物控制系統13Q所實施的 =化處理,直到諸如所有晶1G4的乾式㈣預定的處理 實行以及將最後的晶圓轉移至F〇Up 1〇6之中為止。 在淨化處理期間中,將包含一組晶圓的FOUP 106,亦 即25個的晶圓1〇4,承載於基板轉移模組ι〇8的第一個負 載阜112a之上。其後,將面對著基板轉移模組^⑽的基 板轉移室no之刚p 106前端出入口 U2a開啟。藉由配 置於基板轉移室之内的基板轉移裝置 的第一個晶圓104轉移至基板轉移室 114,將 FOUP 106 中 11 0。之後則開啟位 於基板轉移室110以及第一個負載室l22a之間的閉閥 152a。藉由基板轉移裝置1第一個晶圓承載於第一個負 載室122a之中,其中則維持著大約1()_3陶爾左右的低真 空狀態。 之後則將位於基板轉移室110以及第一個負載室122a 之間的閘閥152a關閉,並且將位於基板處理區段i 〇2的 轉移至124以及第一個負載室122a之間的閘閥15“開啟 於其後’藉由配置於轉移冑i 24之内的轉移自動機械裝 置126,將第一個晶圓轉移至轉移室124之中。再者,將 位於轉移室124以及個別的處理室128a、12讣與12化之 20 1251258 間的閘閱156a、156b與156c開啟。之後則藉由轉移自動 機械裝置126將第一個晶圓轉移至個別的處理室128&、 128b與128c。同時,維持處理室128a、12肋與128。於 ΙΟ — 6陶爾之高度真空狀態。 ' 於其後則將閘閥l56a、156b或156c關閉’並且在處 理室128a、128b或128c之内,使第一個晶圓接受預定的 處理’諸如一種乾式餘刻處理。 於其中處理第一個晶圓之後,將位於轉移室丨24以及 個別的處理室128a、128]3或128c之間的閘閥156a、 或156c開啟。之後則使用轉移自動機械裝置i26將第一 個晶圓轉移至轉移室1 2 4之中。 將位於轉移室124以及個別的處理室128a、i28b或 128c之間的閘閥156a、156b或156c關閉。其後將位於轉 移室124以及第二個負載室122b之間的閘閥l54b開啟。 於其後則使用轉移自動機械裝置126將第一個晶圓轉移至 第二個負載室。 接著,將位於轉移室124以及第二個負載室122b之間 的閘閥1 54b關閉,並且將位於基板轉移室i丨〇以及第二 個負載至122b之間的閘閥152b開啟。之其後藉由基板轉 移裝置114將第一個晶圓轉移至基板轉移室11〇之中。接 著,基板轉移裝置i丨4會將第一個晶圓從基板轉移室^〇 轉移至第二個負載埠112b上的FOUP 106。在FOUP 106中 備女第一個晶圓大約5〇分鐘左右,直到所剩餘的晶圓接 受處理並且轉移至F〇up 1〇6之中為止。然而,值此期間 21 !251258 中’藉由氮氣氣體持續地淨化連接到FOUP 1 06的基板轉 移室11 0之内部,致使濕氣以及污染物從基板轉移室1 i 〇 移除。因此,淨化處理可避免第一個晶圓吸收濕氣以及其 他潛在的污染。當在F0UP 106中接收到所有已處理之曰 日日 圓’便將F0UP前端出入口關閉,並且不再供應淨化的氣 體進入基板轉移室110之中。之後則將F0UP 106從乾式 #4刻裝置移除。 根據本發明,如同以上所說明的,將F0UP 106的前端 出入口 150a與150b開啟,同時在晶圓1〇4的處理期間中 ’藉由負載埠112a與122b來支撐F0UP 106。因此,供廉 至基板轉移室110之中諸如氮氣(M的淨化氣體便會充 滿F0UP 1〇6。所以,當F0UP 106於其後轉移至另一個裝 置日守,充滿FOUP 1 06的淨化氣體便會避免濕氣以及空氣 傳播分子污染(AMC)的流入。在包含受處理晶圓的f〇卯 之範例中,在下一個處理之前,避免該晶圓吸收濕氣以及 空氣傳播分子污染(AMC)。 圖7為闡述藉由氮氣氣體淨化基板轉移室之時基板轉 移室以及F0UP内的濕度改變之座標圖。在座標圖中的區 域①相應於起初將淨化氣體引進基板轉移室之中的時間區 間。區域②相應於提供F〇up至基板轉移室外部的負載埠 上之時間區間。區域③相應於F〇up從負載埠移除之時間區 間:標緣圖A表示基板轉移室之相對濕度,而標纷圖 表不F0UP中的相對濕度。 、 多…、圖7,在氮氣(& )氣體供應至基板轉移室之中 22 1251258 的時間期間中,基板轉移室中的濕度會從大約25%左右降 低至4%左右。同樣的是,由於f〇UP前端出入口保持開啟 ’因此當在此一時間期間中晶圓接受處理時,氮氣氣體會 充滿FOUP。所以,在FOUP中的濕度會從大約30%左右減 少至大約6%左右。 在FOUP的前端出入口關閉以及FOUP從負載埠卸載之 後,便將FOUP放置於一潔淨室中,在此,對FOUP内的濕 度增加至FOUP外部潔淨室中空氣濕度之50%左右而言, 耗費大約一個小時又十分鐘的時間。所以,在兩處理之間 ’亦即在FOUP關閉的時間以及將FOUP轉移至另一個裝置 的時間之間,FOUP停留在潔淨室中之時間期間中,能夠避 免進入FOUP之中的濕度以及空氣傳播分子污染(AMC)之 流入。 圖8為根據本發明的基板處理裝置之基板轉移模組 1 08’第二實施例之側視圖。除了污染物控制系統之外,基 板轉移模組108,的第二實施例相同於第一實施例的1〇8。 所以,為了簡潔起見,將僅說明該污染物控制系統。 如同在第一實施例中的,污染物控制系統丨30包含一 用來挺供淨化氣體14 6 a ( —種諸如氮氣(N 2)的惰性氣體 或者一種乾燥的氣體)至基板轉移室丨丨〇之中的氣體供應 入口 132、一氣體供應線路134、一與氣體供應線路134 相結合的MFC 1 36、以及一經由基板轉移室11 〇用來循環 淨化氣體之氣體循環管路1 38。 然而’此一實施例之污染物控制系統1 3〇同樣也包含 23 1251258 用來核測基板轉移室丨〗〇内部的溫度與濕度之感測器 140 用來控制MFC 1 3 6以便調節供應至基板轉移室11 〇 中的淨化氣體146a數量之控制器144(CNR)、以及一用 來記錄感測器14〇所檢測到的溫度與濕度並且將此一資料 傳C至控制器144 ( CNR )之資料接收部分142 ( drp )。 再一次’藉由透過污染物控制系统13〇 #氣體供應入 口 132將淨化氣體146a供應至基板轉移室ιι〇之中,用 :淨化基板轉移室110中的水份或其他污染物質。在此一 :門’月間巾將基板轉移室! j 〇的淨化氣體導入氣體循環 管路138之中,而回到基板轉移室11〇之内。 士此外,當將淨化氣體146a供應至基板轉移室11〇之中 時,藉由感測器140即時地測量基板轉移室11〇中的溫度 與濕度。藉由資料接收部分142,將量測結果傳送至控制 器144。控制n 144之後則根據從資料接收部分142所接 收到的資料來控制MFC 1 36之操作。 例如,操作者可以將濕度設定低力1%的可允許數值 (在大約1_至大'約500卿左右的濕氣濃度)。在此一 範例中,如果在基板轉移室U。中所量測到的濕氣濃度超 匕可允許的數值’則控制器144便會控制㈣136用以增 加供應至基板轉移t 11G之中的淨化氣體數量。相反地, 當所量測到的濕氣濃度低於操作者所設定的可允許數值, 2器' 144便會控制蒙136用以減少供應至基板轉移室 11 〇之中的淨化氣體數量。 將淨化氣體供應並 如同以上所說明的,根據本發明 24 1251258 且循%經過基板轉移模組之整個基板轉移室,以便將基板 從一谷裔轉移至要於其中處理基板之基板處理區段。所以 ’濕度以及包含臭氧的空氣傳播分子污染(AMC )之數量 係在基板轉移室中受到控制。因此,當在處理晶圓之後該 晶圓於容器中待命之際,能夠避免因晶圓上凝結現象所造 成的粒子之形成。 此外,支撐在基板轉移模組的負載埠上之容器係充滿 著淨化氣體。所以,當將該容器隨著已處理的晶圓轉移至 另一個裝置時,可避免濕氣以及外部污染物質流入容器之 中。因此,在兩處理之間同樣也可避免晶圓受到污染。 再者,藉由即時地監視基板轉移室中的溫度與濕度以 及藉由控制供應至基板轉移室之中的淨化氣體數量,便能 夠自動地控制基板轉移室中的濕度至所需要的濃度。所以 ,此進一步地增強在用來轉移晶圓的容器之内部避免晶圓 受到污染之能力。 最後’儘管已經‘明了本發明之較佳實施例,然所要 了解的疋’本發明係不受限於這些實施例,於本發明實際 精神與範疇之内,熟知本項技術者能夠從事各種的改變以 及修改。 【圖式簡單說明】 (一)圖式部分 藉由參照圖式與詳細說明,將會更瞭解本發明的目的 及優點。 25 1251258 圖1為用來蝕刻具有200mm直徑的晶圓之習知乾式蝕 刻裝置之平面圖; 圖2為用來钱刻具有3 〇 〇mm直徑的晶圓之習知乾式敍 刻裝置之平面圖; 圖3為圖2中所表示的裝置之基板轉移模組之側視圖 圖4為基板乾式蝕刻之後同時暴露其基板,基板上之 破結粒子數目對時間的座標圖。 圖5為根據本發明的基板處理裝置之一實施例之平面 圖; 圖6為圖5中所表示的基板處理裝置之基板轉移模組 之測視圖; 圖7為根據本發明當基板轉移室被淨化,基板轉移室 與FOUP内的濕度改變對時間之座標圖; 圖8為根據本發明的基板處理裝置之基板轉移模組之 另一個實施例之側視圖。 (二) 元件代表符號 12 晶圓卡匣 14a 低真空負载室 14b 低真空負载室 15 轉移室 16 自動機械裝置 18a 高度真空處理 1251258 18b 高度真空處理室 18c 高度真空處理室 20 晶圓 50 基板轉移模組 52 前端開口一體成形之箱體(FOUP) 54 基板轉移室 56 基板轉移自動機械裝置 58a 負載埠 58b 負載埠 59 風扇過濾器單元(FFU) 60a 低真空負載室 60b 低真空負載室 60c 低真空負載室 62 晶圓 63 轉移室 64 轉移自動機械裝置 65 基板處理區段 66a 處理室 66b 處理室 66c 處理室 75 潔淨室過濾器 80 清潔空氣 100 乾式蝕刻裝置 10 2 基板處理區段 27 1251258 104 晶圓 106 支撐晶圓之容器 10 8 基板轉移模組 108’ 基板轉移模組 110 基板轉移室 112a 低真空負載室 112b 低真空負載室
114 基板轉移裝置 116 自動機械手臂 118 手臂驅動部分 120 過濾器單元 122a負載室 122b 負載室 124 轉移室 126 轉移自動機械裝置
128a 高度真空處理室 128b 高度真空處理室 128c 高度真空處理室 130 污染物控制系統 132 氣體供應入口 134 氣體線路 136 質量流量控制器(MFC) 138 氣體循環管路 140 感測器 28 1251258 142 資料接收部分(DRP) 144 控制器(CNR) 146a 淨化氣體 146b 返回基板轉移室之淨化氣體 146c 自然沒漏的淨化氣體 150a FOUP之前端出入口 150b FOUP之前端出入口 152a 閘閥 152b 閘閥 154a 閘閥 154b 閘閥 156a 閘閥 156b 閘閥 156c 閘閥 29

Claims (1)

1251258 拾、申請專利範圍: 1 · 一種基板處理裝置,包含·· 一用以容納複數個基板之容器; 一基板處理區段,其包含基板要 签攸要於其中党處理的至少 一個之處理室; “ -連接到該基板處理區段的基板轉移模組,該基板轉 移餘包含··一基板轉移室;一負載埠,配置於該基板轉 移至外部並且用以支撐位於該基板轉移室外部而暴露於處 虞置外。卩周遭環境的該容器;以及一自動機械裝置,配 置於該基板轉移室之内並且可用來轉移晶圓於該負載埠所 支撐的容器與該基板轉移室之間;以及 一污染物控制系統,其包含··一氣體入口埠,連接到 亥基板轉移至,而淨化氣體會經之供應至基板轉移室之中 ’以及一氣體循環管路,延伸出該基板轉移室外部並且連 、者°亥轉移至長度彼此相間隔的該基板轉移室個別部 刀’致使該基板轉移室中的淨化氣體能夠經由該氣體循環 &路而重新循環通過基板轉移室。 2·如申請專利範圍第1項所述的基板處理裝置,其中 該自動機械裝置具有一適用以一次轉移一個晶圓之機械手 臂。 3.如申請專利範圍第1項所述的基板處理裝置,其中 該谷器為一種FOUP (前端開口一體成形之箱體)。 4 ·如申請專利範圍第1項所述的基板處理裝置,進一 ν ^ δ 連接著該基板轉移模組以及該基板處理區段之負 30 1251258 載室。 5 ·如申請專利範圍第1項所述的基板處理裝置,其中 該污染物控制系統包含一惰性氣體來源、以及一連接該惰 性氣體來源氣體至該氣體供應入口之氣體供應線路。 6·如申請專利範圍第5項所述的基板處理裝置,其中 該惰性氣體包含氮氣(n2)。 7 ·如申請專利範圍第1項所述的基板處理裝置,其中 該污染物控制系統進一步地包含:一氣體供應線路,連接 到該氣體供應入口; 一質量流量控制器,連接到該氣體供 應線路,用以控制淨化氣體經由該氣體供應線路與該氣體 供應入口而供應至基板轉移室中的速率;一感測器,用來 檢測基板轉移室中的溫度與濕度;以及一控制器,連接到 該感測器與該質量流量控制器,致使根據該感測器所產生 的資料用以運作該質量流量控制器。 8·如申請專利範圍第1項所述的基板處理裝置,其中 的氣體循環管路從基板轉移室下方部分至上方部分延伸於 該基板轉移室之一側,致使經由氣體循環管路而重新循環 通過基板轉移室的淨化氣體會在基板轉移室中形成一種層 流之流動。 9·如申請專利範圍第1項所述的基板處理裝置,其中 該基板轉移模組進一步地包含一具有一風扇與一過濾器的 過濾器單元’且該氣體循環管路係連接到該過濾器單元, 致使流通於其間的淨化氣體會經由該過濾器而供應回到該 基板轉移室。 31 1251258 ι〇· —種處理基板之方法,包含·· r曹二、淨化亂體至基板轉移室之中,並且將淨化氣 體重新循壞通過該基板轉移室; ’、 —⑴承載一容納複數個基板之容器至—配置於基板 移室外部的負載埠之上; (C)使用配置於基板轉移室之内的自動機械裝置,將 基板從負載埠上的容器轉移至基板轉移室之中; —⑷將基板從基板轉移室轉移至至少一個的基板處理 (e) (f ) 容器之中; 在忒至少一個的基板處理室之内處理該基板; 將已處理的基板從該至少一個的處理室轉移至一 以及 其中在步驟(b)至(f)的期間中,持續執行步驟(a )的該提供淨化氣體至基板轉移室之中以及將該淨化氣體 重新循環通過基板轉移室的動作。 11 ·如申請專利範圍第1 0項所述的處理基板之方法, 其中步驟(C )與步驟(d )包含一個接著一個地轉移基板 之動作。 1 2·如申請專利範圍第n項所述的處理基板之方法, 進一步地包含: (g) —旦來自配置於負載埠上的容器之所有基板接受 處理而且貫行步驟(f ),便將基板被轉移至其中的容器 卸載。 13·如申請專利範圍第12項所述的處理基板之方法, 32 1251258 其中在步驟(b)至f 、 的該提供淨化氣體至持續執行步驟⑺ 循環通過基板轉移室的動作。至之中與該淨化氣體再重新 1 4 ·如申請專利範圍第 其中該淨化氣體包含-種_氣項;^的處縣板之方法, 1 5 ·如申請專利範圍第 其中該惰性氣體包含氮氣^項所述的處理基板之方法, 進一 圍第10項所述的處理基板之方法, 進步地包含在步驟(a) 中的、、晶疳夕知Λ» 刈間中即時地測量基板轉移室 中的濕度之動作、當所量 ^ m 2, ^ s ]的、度超過所給定的數值時 立曰加供應至基板轉移室 ψ ^邮曰、, 中的淨化氣體數量之動作、以及 s所置測到的濕度低於所給 ^^ 的數值日守減少供應至基板轉 移至之中的淨化氣體數量之動作 π.如申請專利範圍第1G項所述的處理基板之方法, 進-步地包含在基板第一次轉移至容器之中時,以來自基 板轉移室的淨化氣體充滿在步驟⑷基板要轉移至其中 的容器之動作’致使容器中的基板由淨化氣體所環繞,同 時在步驟(f)轉移該基板。 18· —種基板處理裝置,包含: 一用以容納複數個基板之容器; 一基板處理區段,包含基板要於其中受處理的至少一 個處理室; 一連接到該基板處理區段的基板轉移模組,該基板轉 移模組包含:一基板轉移室;一負載埠,配置於該基板轉 33 1251258 移室外部並且用以支撐位於該基板轉移室外部而暴露於處 理裝置外部周遭環境的該容器;以及一基板轉移機構,配 置於該基板轉移室之内並且可用來轉移晶圓於該負載埠所 支撐的容器與該基板轉移室之間;以及 一污染物控制系統,其包含一氣體入口埠,連接到該 基板轉移室,淨化氣體則經之供應至基板轉移室之中;以 及一氣體循環管路,延伸出該基板轉移室外部並且連接到 順著基板轉移室長度彼此相間隔的該基板轉移室個別部分 ’致使基板轉移室中的淨化氣體能夠經由該氣體循環管路 而再循環通過基板轉移室, 其中由該負載埠所支撐之該容器之一内部係填充有供 應至該基板轉移室之淨化氣體,用以防止污染物質流入該 容器。 19.如申請專利範圍第18項所述的基板處理裝置,其 中忒基板轉移機構包含一適用以一次轉移一個晶圓之機械 手臂。 20·如申請專利範圍第18項所述的基板處理裝置,其 中該污染物控制系統進一步地包含:一氣體供應線路,連 接到該氣體供應入口; 一質量流量控制器,連接到該氣體 供應線路,用以控制淨化氣體經由該氣體供應線路與該氣 體供應入口而供應至基板轉移室中的速率;一感測器,用 來檢測基板轉移室中的溫度與濕度;以及一控制器,連接 到該感測器與該質量流量控制器,致使根據該感測器所產 生的資料用以運作該質量流量控制器。 34
TW092130812A 2002-11-29 2003-11-04 Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module TWI251258B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0075458A KR100486690B1 (ko) 2002-11-29 2002-11-29 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법

Publications (2)

Publication Number Publication Date
TW200410305A TW200410305A (en) 2004-06-16
TWI251258B true TWI251258B (en) 2006-03-11

Family

ID=32388283

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092130812A TWI251258B (en) 2002-11-29 2003-11-04 Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module

Country Status (5)

Country Link
US (1) US6996453B2 (zh)
JP (1) JP4553574B2 (zh)
KR (1) KR100486690B1 (zh)
DE (1) DE10353326B4 (zh)
TW (1) TWI251258B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI562425B (en) * 2011-12-29 2016-12-11 Lig Adp Co Ltd Encapsulating apparatus and method for organic light emitting device

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4344593B2 (ja) * 2002-12-02 2009-10-14 ローツェ株式会社 ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
KR100505061B1 (ko) 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
JP2005167083A (ja) * 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
US8300666B2 (en) * 2004-10-07 2012-10-30 Cisco Technology, Inc. Inline power-based common mode communications in a wired data telecommunications network
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7096752B1 (en) * 2004-11-02 2006-08-29 Kla-Tencor Technologies Corporation Environmental damage reduction
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US8118535B2 (en) * 2005-05-18 2012-02-21 International Business Machines Corporation Pod swapping internal to tool run time
KR100706250B1 (ko) * 2005-07-07 2007-04-12 삼성전자주식회사 반도체 소자 제조 장치 및 방법
KR101224454B1 (ko) * 2005-11-01 2013-01-22 엘지디스플레이 주식회사 액정 표시 장치용 리프터 장비
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP4278676B2 (ja) * 2005-11-30 2009-06-17 Tdk株式会社 密閉容器の蓋開閉システム
JP2008024429A (ja) * 2006-07-20 2008-02-07 Toshiba Corp 電子装置の製造方法
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
US8021513B2 (en) * 2006-08-23 2011-09-20 Tokyo Electron Limited Substrate carrying apparatus and substrate carrying method
JP4961893B2 (ja) * 2006-08-23 2012-06-27 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
US7921307B2 (en) * 2007-03-27 2011-04-05 Cisco Technology, Inc. Methods and apparatus providing advanced classification for power over Ethernet
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
JP4251580B1 (ja) * 2008-01-08 2009-04-08 Tdk株式会社 被収容物搬送システム
JP5190279B2 (ja) * 2008-02-19 2013-04-24 東京エレクトロン株式会社 基板処理装置
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US8186927B2 (en) * 2008-05-27 2012-05-29 Tdk Corporation Contained object transfer system
JP4692584B2 (ja) * 2008-07-03 2011-06-01 村田機械株式会社 パージ装置
JP5268659B2 (ja) * 2009-01-07 2013-08-21 東京エレクトロン株式会社 基板収納方法及び記憶媒体
JP2010165943A (ja) * 2009-01-16 2010-07-29 Renesas Electronics Corp 半導体装置の製造方法およびウェハ処理システム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
CN102751392A (zh) * 2011-04-19 2012-10-24 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理装置和晶片处理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101147191B1 (ko) * 2011-11-25 2012-05-25 주식회사 엘에스테크 반도체 공정설비에 사용되는 가스 절감장치
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6024980B2 (ja) * 2012-10-31 2016-11-16 Tdk株式会社 ロードポートユニット及びefemシステム
US9136149B2 (en) 2012-11-16 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Loading port, system for etching and cleaning wafers and method of use
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI684229B (zh) * 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
CN105453246A (zh) * 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
WO2015048470A1 (en) * 2013-09-30 2015-04-02 Applied Materials, Inc Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
TWI749397B (zh) 2013-12-13 2021-12-11 日商昕芙旎雅股份有限公司 設備前端模組(efem)及半導體製造裝置
KR101878084B1 (ko) * 2013-12-26 2018-07-12 카티바, 인크. 전자 장치의 열 처리를 위한 장치 및 기술
CN107611287A (zh) * 2014-01-21 2018-01-19 科迪华公司 用于电子装置封装的设备和技术
JP6291878B2 (ja) 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6287515B2 (ja) 2014-04-14 2018-03-07 Tdk株式会社 Efemシステム及び蓋開閉方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6500498B2 (ja) * 2015-02-27 2019-04-17 シンフォニアテクノロジー株式会社 搬送室及び搬送室のケミカルフィルタの湿度管理方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102487805B1 (ko) * 2015-04-28 2023-01-12 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6564642B2 (ja) 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
WO2017038269A1 (ja) * 2015-08-31 2017-03-09 村田機械株式会社 パージ装置、パージストッカ、及びパージ方法
TWI567856B (zh) 2015-09-08 2017-01-21 古震維 具有吹淨功能的晶圓傳送裝置
US20180286726A1 (en) * 2015-10-05 2018-10-04 Brooks Ccs Gmbh Humidity control in semiconductor systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6555091B2 (ja) * 2015-11-10 2019-08-07 シンフォニアテクノロジー株式会社 ロボット搬送装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10566216B2 (en) * 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102109671B1 (ko) * 2017-09-20 2020-05-18 주식회사 대한 반도체 제조 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI630153B (zh) * 2017-11-07 2018-07-21 京鼎精密科技股份有限公司 晶圓裝卸及充氣系統
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7358044B2 (ja) * 2018-02-09 2023-10-10 東京エレクトロン株式会社 基板処理装置
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP7012558B2 (ja) * 2018-02-26 2022-01-28 東京エレクトロン株式会社 検査装置及び検査装置の動作方法
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102110308B1 (ko) * 2018-04-23 2020-05-14 코스텍시스템(주) 웨이퍼 이송 장치
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
KR102277984B1 (ko) * 2018-10-30 2021-07-15 세메스 주식회사 기판 처리 장치
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN113166935A (zh) * 2018-11-30 2021-07-23 朗姆研究公司 利用间歇调节性清扫的产能提高
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102212996B1 (ko) 2019-01-02 2021-02-08 피에스케이홀딩스 (주) 기판 처리 장치 및 기판 처리 방법
JP7163199B2 (ja) * 2019-01-08 2022-10-31 東京エレクトロン株式会社 基板処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6777869B2 (ja) * 2019-03-11 2020-10-28 シンフォニアテクノロジー株式会社 Efem装置
JP7307575B2 (ja) 2019-03-28 2023-07-12 株式会社Screenホールディングス 基板処理装置および基板処理方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
TWI737996B (zh) * 2019-05-16 2021-09-01 華景電通股份有限公司 晶圓載具監控系統及其監控方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
CN111952226B (zh) * 2019-05-16 2024-03-26 华景电通股份有限公司 晶圆载具监控系统及其监控方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7181476B2 (ja) * 2020-10-07 2022-12-01 シンフォニアテクノロジー株式会社 Efem装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
CN112635360A (zh) * 2020-12-16 2021-04-09 华虹半导体(无锡)有限公司 一种降低晶圆上形成凝结物的方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230124071A (ko) * 2020-12-30 2023-08-24 엔테그리스, 아이엔씨. 컨테이너에서의 퍼지 유량들의 원격 최적화
JP7154325B2 (ja) * 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TWI764851B (zh) * 2021-02-05 2022-05-11 矽碁科技股份有限公司 微型化半導體製程系統
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7430677B2 (ja) * 2021-09-21 2024-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0756879B2 (ja) * 1988-03-31 1995-06-14 日鉄セミコンダクター株式会社 半導体の無塵化製造装置
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5303482A (en) * 1991-01-29 1994-04-19 Shinko Electric Co., Ltd. Wafer airtight keeping unit and keeping facility thereof
JPH04271139A (ja) * 1991-02-27 1992-09-28 Fuji Electric Co Ltd 半導体製造装置
JPH0529437A (ja) * 1991-10-21 1993-02-05 Tokyo Electron Ltd 処理装置
JP2807150B2 (ja) * 1992-08-31 1998-10-08 松下電器産業株式会社 環境制御装置
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
JP3120395B2 (ja) * 1993-03-10 2000-12-25 東京エレクトロン株式会社 処理装置
US5474410A (en) * 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JPH06340304A (ja) * 1993-06-01 1994-12-13 Tokyo Electron Ltd 筐体の収納棚及び筐体の搬送方法並びに洗浄装置
JPH0846012A (ja) * 1994-05-27 1996-02-16 Kokusai Electric Co Ltd 半導体製造装置
TW315504B (zh) * 1995-03-20 1997-09-11 Tokyo Electron Co Ltd
JP3550627B2 (ja) * 1995-09-12 2004-08-04 株式会社ニコン 露光装置
US5963336A (en) 1995-10-10 1999-10-05 American Air Liquide Inc. Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use
JPH09153533A (ja) * 1995-12-01 1997-06-10 Mitsubishi Electric Corp 半導体ウエハ保管システムおよびそのシステムを使用した半導体装置の製造方式
TW333658B (en) * 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JP3635517B2 (ja) * 1997-10-27 2005-04-06 大日本スクリーン製造株式会社 クリーンルーム内の基板保管装置
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100283425B1 (ko) * 1998-09-24 2001-04-02 윤종용 반도체소자의금속배선형성공정및그시스템
TW418429B (en) * 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
EP1271605A4 (en) * 2000-11-02 2009-09-02 Ebara Corp ELECTRON BEAM APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE COMPRISING SAID APPARATUS

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI562425B (en) * 2011-12-29 2016-12-11 Lig Adp Co Ltd Encapsulating apparatus and method for organic light emitting device

Also Published As

Publication number Publication date
JP2004311940A (ja) 2004-11-04
DE10353326B4 (de) 2007-09-06
DE10353326A1 (de) 2004-06-24
US6996453B2 (en) 2006-02-07
KR20040047303A (ko) 2004-06-05
KR100486690B1 (ko) 2005-05-03
US20040105738A1 (en) 2004-06-03
TW200410305A (en) 2004-06-16
JP4553574B2 (ja) 2010-09-29

Similar Documents

Publication Publication Date Title
TWI251258B (en) Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module
JP3880343B2 (ja) ロードポート、基板処理装置および雰囲気置換方法
US7065898B2 (en) Module for transferring a substrate
JP3944368B2 (ja) 基板処理装置及び基板処理方法
EP0833375B1 (en) Apparatus for and method of cleaning objects to be processed
US6491045B2 (en) Apparatus for and method of cleaning object to be processed
US11581181B2 (en) Orientation chamber of substrate processing system with purging function
US20120051872A1 (en) Integrated apparatus to assure wafer quality and manufacturability
JP2001284433A (ja) 基板移載装置及び基板移載方法
US6467976B2 (en) Coating and developing system
JP2007019500A (ja) 半導体素子製造装置及び方法
JP4384519B2 (ja) ウェーハ処理装置及び方法
JP3998386B2 (ja) 液晶表示装置の製造装置および液晶表示装置の製造方法
JP2006270032A (ja) 基板の表面処理方法、基板の洗浄方法、及びプログラム
KR20040064326A (ko) 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치
TWI809652B (zh) 基板處理方法及基板處理裝置
US20060113499A1 (en) System for ultraviolet atmospheric seed layer remediation
JPH07335602A (ja) 基板の表面処理方法及び表面処理装置
JP2005310990A (ja) 半導体装置の製造方法および半導体製造装置
JP2003142552A (ja) 基板処理装置
JP2003100838A (ja) 基板処理装置および基板処理方法
JP4869495B2 (ja) 半導体装置の製造方法
JP4322411B2 (ja) 半導体製造装置
CN110660706B (zh) 定向腔室及处理基板的方法
JP2004265908A (ja) 基板乾燥装置及び基板乾燥方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees