JP4553574B2 - 基板移送モジュールの汚染を制御することができる基板処理方法 - Google Patents

基板移送モジュールの汚染を制御することができる基板処理方法 Download PDF

Info

Publication number
JP4553574B2
JP4553574B2 JP2003397403A JP2003397403A JP4553574B2 JP 4553574 B2 JP4553574 B2 JP 4553574B2 JP 2003397403 A JP2003397403 A JP 2003397403A JP 2003397403 A JP2003397403 A JP 2003397403A JP 4553574 B2 JP4553574 B2 JP 4553574B2
Authority
JP
Japan
Prior art keywords
substrate
substrate transfer
transfer chamber
chamber
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003397403A
Other languages
English (en)
Other versions
JP2004311940A (ja
Inventor
正 性 黄
赫 基 金
起 斗 金
壽 雄 李
ヨ ハン 安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2004311940A publication Critical patent/JP2004311940A/ja
Application granted granted Critical
Publication of JP4553574B2 publication Critical patent/JP4553574B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Description

本発明は基板処理装置及び方法に関するものであり、より詳しくは、容器(container)内に収容されている基板を、さまざまな工程を実施する基板処理部に移送するための基板移送モジュール(substrate transfer module)内部の汚染を制御することができる基板処理装置及び方法に関するものである。
半導体製造工程中のドライエッチング工程は、リソグラフィ工程を通じて形成されたフォトレジストパターンをエッチングマスクとして施されたウェーハをチャンバ内に載置して、これにエッチングガスを利用することで、ウェーハ上にパターンを形成する工程であり、通常、高真空状態で実行される。
しかし、クリーンルームの常圧状態において、チャンバ内部を高真空状態の雰囲気にするには相当な時間がかかるために、一般にドライエッチング設備の入/出力ポート(port)間にバッファの役割をする低真空ロードロックチャンバ(loadlock chamber)を配置し、この部分にウェーハを待機させることにより単位時間当りに生産量を向上させる方法を使用している。
図1は従来の200mm直径ウェーハ用多重チャンバシステムを有するドライエッチング設備の平面図である(たとえば特許文献1、および2参照)。
図1に示すように、従来の200mmドライエッチング設備は低真空ロードロックチャンバ14a、14b及び高真空工程チャンバ18a、18b、18cを含む。

このようなドライエッチング設備を用いたエッチング工程の実施は、まず、通常25枚のウェーハ(すなわち、半導体基板)20が収容されたカセット12を約10−3torr(133.3220×10−3Pa)の低真空状態に維持される第1ロードロックチャンバ14aにローディングする。その後、移送チャンバ15の移送ロボット16を通じて前記第1ロードロックチャンバ14a内のウェーハ20を1枚ずつ対応される高真空工程チャンバ18a、18b、18cに移送する。全ての高真空工程チャンバ18a、18b、18cは約10−6torr(133.3220×10−6Pa)の高真空状態に維持されている。
前記高真空工程チャンバ18a、18b、18cでドライエッチング工程が完了されたウェーハ20は移送チャンバ15の移送ロボット16を通じて第2ロードロックチャンバ14bに移送される。
このように、上述した各段階を経てエッチング工程が完了された全てウェーハ20が第2ロードロックチャンバ14b内のカセット12に入って来ると、前記第2ロードロックチャンバ14bを開いてウェーハカセット12をドライエッチング設備から除去する。
一方、半導体装置の生産性を向上させ、製造原価を節減するためにウェーハの大口径化を必ず必要とする。従って、最近、300mm直径の半導体ウェーハが使用され、これにより半導体製造設備及び工程設計なども発展している。
300mm直径のウェーハは、複数個のスロット(slot)が備えられたキャリア(carrier)と、ウェーハの重みによってキャリアに荷重がかかった状態でウェーハを移送するキャリアボックスのような移送道具を統合した前開き統合型ポッド(Front Opening Unified Pod;以下、“FOUP”と称する)のようなウェーハ容器内に収容する。
しかし、前記FOUPは体積が大きいため、低真空ロードロックチャンバにローディングする場合、常圧から低真空状態を形成し、再度低真空状態から常圧に加圧(pressurization)させるための時間が長くなって、単位時間当りの生産量を低下させることになる。従って、300mmウェーハ用工程設備はFOUPとロードロックチャンバとの間にEFEM(Equipment Front End Module)のような別途の基板移送モジュールを置いて、FOUPを基板移送モジュールのロードポート(load port)上に積載し、ウェーハを1枚ずつ基板移送モジュールを通じてロードロックチャンバに移送する方式を採択している。
図2は従来の300mmウェーハ用多重チャンバシステムを有するドライエッチング設備の平面図である(たとえば特許文献3参照)。
図2に示すように、従来の300mmのドライエッチング設備は基板移送モジュール50、低真空ロードロックチャンバ60a、60b及び基板処理部65により構成される。
基板処理部65はウェーハ62上に設定された工程を実施するための複数個の高真空工程チャンバ66a、66b、66c、及びロードロックチャンバ60a、60bと高真空工程チャンバ66a、66b、66cとの間でウェーハ62を移送するための移送チャンバ63を含む。
基板移送モジュール50は図3に示すように、ウェーハ62が収容されているFOUP52を支持するためのロードポート58a、58b、外部空気を流入するためのフィルターユニット59及びその内部に基板移送ロボット56が配置される基板移送チャンバ54を含む。
図2及び図3に示すように、1ロット(lot)、即ち、25枚のウェーハ62が収容されたFOUP52を基板移送モジュール50の第1ロードポート58a上に置いた後、基板移送チャンバ54と向き合っているFOUP52の正面ドア(Front door)(図示せず)をオープンさせる。
基板移送モジュール50のフィルターユニット59はファン(fan)とフィルターが一体化されたファンフィルターユニット(fan filter unit;FFU)として、クリーンルームのフィルター75を通じて濾過された清浄化空気80を基板移送チャンバ54内部にダウンフロー(down flow)させる役割をする。従って、基板移送チャンバ54はフィルターユニット59からダウンフローされた清浄化空気80の条件(温度23℃、湿度45%)と同一である常温、常圧に維持される。従って、FOUP52はオープンされた正面ドアを通じて基板移送チャンバ54と連結される状態であるので、基板移送チャンバ54の清浄化空気80がFOUP52内部に流入されてFOUP52の内部も基板移送チャンバ54と同一に 常温、常圧に維持される。
このようにFOUP52の正面ドアをオープンした状態で、一ロットのウェーハ62のうち、第一ウェーハを基板移送チャンバ54の基板移送ロボット56を通じて約10−3torrの低真空状態に維持される第1ロードロックチャンバ60aにローディングされる。その後、移送チャンバ63の基板移送ロボット64を通じて第1ロードロックチャンバ60a内のウェーハ62を対応される工程チャンバ66a、66b、66cに移送する。全て工程チャンバ66a、66b、66cは約10−6torrの高真空状態に維持される。
工程チャンバ66a、66b、66cでドライエッチング工程が完了された第一ウェーハ62は移送チャンバ63の移送ロボット64を通じて第2ロードロックチャンバ60bに移送される。続けて、第一ウェーハ62は基板移送チャンバ54の基板移送ロボット56を通じて第2ロードポート58b上に置かれているFOUP52に移送された後、残余ウェーハに対する工程が完了されるまでFOUP52内で50分程度待機することになる。
このような段階を経てエッチング工程が完了された全てのウェーハがFOUP52内に入って来ると、FOUP52の正面ドアを閉じてFOUP52をドライエッチング設備から除去する。
上述したように、25枚のウェーハが収容されたカセットを低真空ロードロックチャンバに直接ローディングして、カセットが外部の清浄化空気から完全に遮断される200mmドライエッチング設備に比べて、従来の300mmドライエッチング設備では25枚のウェーハが収容されているFOUP52からウェーハ62を1枚ずつ基板移送モジュール50を通じて第1ロードロックチャンバ60aに移送する。従って、ドライエッチング工程が完了されたウェーハ62はFOUP52の正面ドアがオープンされた状態で基板移送モジュール50の第2ロードポート58b上に置かれたFOUP52内で基板移送チャンバ54を通じて清浄化空気80と同一である温度及び湿度条件で待機することになるので、ウェーハ停滞時間が発生することになる。
次の表1は従来の200mmドライエッチング設備と300mmドライエッチング設備のウェーハ流れを比較して示したものである。
Figure 0004553574
前記表1から分かるように、従来の300mmドライエッチング設備では基板移送モジュール(EFEM)と連結されて常温・常圧で待機するFOUP内のウェーハが1枚ずつロードロックチャンバに移送される。従って、ドライエッチング工程が完了されたウェーハはFOUPの正面ドアが開いた状態でFOUP内で待機することになり、工程を先に進行したウェーハであるほどFOUP内での停滞時間が長くなる。
FOUP内での停滞時間の間にウェーハは、基板移送モジュールを通じて清浄化空気の温度及び湿度と同一である雰囲気に露出されているので、FOUP内のウェーハは清浄化空気中の湿気(HO)及びオゾン(O)のような各種空気中分子状汚染物質(airborne molecular contamination;AMC)に露出される。ここで、ウェーハの表面に残留しているエッチングガスが空気中の湿気と反応して凝縮(condensation)される現象が発生し、凝縮されたエッチングガスが微粒子で形状化されて隣接パターン間のブリッジ(bridge)を誘発することになる。
図4はドライエッチング後の基板の停滞時間による凝縮粒子数量を示したグラフであり、ドライエッチング工程を完了した後、後続の検査(inspection)設備にウェーハ容器であるFOUPを移動させるまでの停滞時間によりウェーハ上に発生した凝縮粒子の数量を比較した結果を示す。このグラフで、水平軸は停滞時間を示し、垂直軸はウェーハ当りに凝縮粒子数を示す。
図4に示すように、停滞時間が100分程度過ぎた後、ウェーハ上で凝縮粒子の数が急激に増加することが示された。特に、パターンが微細化されるほどオゾンによる自然酸化膜の成長による抵抗増加、工程と工程との間の停滞時間による湿気またはAMCなどの吸着によるゲート酸化膜の劣化など半導体素子に及ぼす影響が加重される。
また、図4の測定結果から、常温、常圧条件においてFOUP内で待機することになる第一ウェーハで、このような凝縮現象が最も激しく発生することが分かる。このような現象は従来の200mmドライエッチング設備でも発生したが、ドライエッチング設備からトラック−アウトした後、後続の洗浄工程までの停滞時間を管理することにより、この問題を解決することができた。
逆に、従来の300mmドライエッチング設備ではウェーハが基板移送モジュールにローディングされる時から停滞時間が発生するために、第一ウェーハの場合、ドライエッチング設備からトラック−アウトさせる前に50分程度の停滞時間が発生することにより、トラック−アウト以前にもう凝縮現象が示されることになる。
このように、ウェーハを収容しているFOUPが常温、常圧の空気により汚染されることを減少させるために、FOUPを直接低真空ロードロックチャンバにローディングする300mm工程設備が開発された。しかし、これはFOUPの大きさによる空間の増加により真空形成時間が長くなったことにより、単位時間当りの生産量を大きく低下させるという問題があった。従って、基板移送モジュールを通じてFOUP内のウェーハを1枚ずつロードロックチャンバに移送する300mm工程設備を使用することが一般の趨勢である。
特開平11−288990号公報 特開平13−35899号公報 韓国公開特許第2003−84032号
本発明の他の目的は、容器内に収容されている基板を、さまざまな工程を実施する基板処理部に移送するための基板移送モジュール内部の汚染を制御することができる基板処理方法を提供することにある。
発明による基板処理方法は、基板移送チャンバ内に浄化ガスを提供し、前記浄化ガスを前記基板移送チャンバに再循環させる段階(a)と、基板処理部における処理が実施される処理前の複数個の基板を収容した一の容器及び前記処理が実施された処理後の複数個の基板を収容する他の容器を前記基板移送チャンバの外部に配置されたロードポート上にローディングさせるとともに前記容器前記移送チャンバに連通させる段階(b)と、前記基板移送チャンバ内のロボットを用いて前記ロードポート上の前記一の容から前記基板移送チャンバ内に前記処理前の基板を一枚ずつ移送し、前記基板移送チャンバと前記基板処理部との間に配置され前記処理前の基板を収容する第1ロードロックチャンバへ前記処理前の基板を一枚ずつ移送する段階(c)と、前記第1ロードロックチャンバから少なくとも一つの工程チャンバを含む前記基板処理部に前記処理前の基板を一枚ずつ移送する段階(d)と、前記工程チャンバ内で前記処理前の基板を処理する段階(e)と、前記処理後の基板を、前記基板処理部から前記基板移送チャンバと前記基板処理部との間に配置され前記処理後の基板を収容する第2ロードロックチャンバへ送する段階(f)と、前記基板移送チャンバ内の前記ロボットを用いて前記第2ロードロックチャンバから前記基板移送チャンバ内に前記処理後の基板を一枚ずつ移送し、前記基板移送チャンバ内から前記他の容器内へ前記処理後の基板を一枚ずつ移送する段階(g)と、を含み、前記(a)段階を前記(b)段階乃至前記(g)段階の間に続けて進行することによって、前記容器内前記基板移送チャンバに供給された前記浄化ガスを継続的に充填して汚染物質の流入を防止し、前記(g)段階において前記処理後の基板が前記他の容器内へ初めて移送される時、前記他の容器内前記浄化ガスを充填して、前記他の容器に入った前記処理後の基板が前記浄化ガスによって取り囲まれ、前記(a)段階を進行する間、前記基板移送チャンバ内の湿度を測定して、前記測定された湿度が設定値以上である場合、前記基板移送チャンバ内に供給される前記浄化ガスの流量を急増させ、前記測定された湿度が設定値以下である場合に、前記基板移送チャンバ内に供給される前記浄化ガスの流量を減少させて前記他の容器内へ初めて移送された処理が実施された前記基板に湿気及び汚染物質が吸着するのを防ぐ。
本発明によると、容器内に収容されている基板に対して各種工程における処理を実施する基板処理部に移送するための基板移送モジュールの基板移送チャンバ内部に窒素ガスのような浄化ガスを供給及び再循環させて、基板移送チャンバ内部の湿気及びオゾンを含む各種空気中の分子状汚染物質(AMC)を制御する。従って、工程が完了された基板が容器内で待機する間に湿気及び汚染物質と反応して凝縮粒子を形成することを防止することができる。また、基板移送モジュールのロードポート上に支持されている容器の内部が基板移送チャンバに供給された浄化ガスに充填されるので、後続工程を進行するために容器を他の設備に移送する時、容器の外部大気中の湿気及び外部汚染物質が流入されることを遮断することにより、工程と工程との間の停滞時間の間に汚染吸着及び凝縮現象を防止することができる。また、基板移送チャンバ内部の温度及び湿度を実時間にモニタリングして浄化ガスの供給量を自動に調節することにより、基板移送チャンバの内部湿度を使用者が所望である濃度に制御することができる。
以下、図面を参照して本発明の望ましい一実施形態をより詳細に説明する。
(第1実施形態)
図5は本発明の第1実施形態による基板処理装置の平面図である。
図5に示すように、本発明の300mmドライエッチング設備は基板移送モジュール108、低真空ロードロックチャンバ122a、122b、及び基板処理部102により構成される。
基板処理部102は半導体基板、例えば、直径300mmのウェーハ104上に設定された工程、例えば、ドライエッチング工程を実施するための複数個の高真空工程チャンバ128a、128b、128c、及び移送チャンバ124を含む。移送チャンバ124内の移送ロボット126によりウェーハ104が低真空ロードロックチャンバ122a、122bと高真空工程チャンバ128a、128b、128cとの間で移送される。
基板移送モジュール108の側面図が図6に図示されている。
図5及び図6に示すように、基板移送モジュール108はその内部に基板移送手段114が配置される基板移送チャンバ110、基板移送チャンバ110の外部に配置され、ウェーハ104が収容されている容器106を支持するためのロードポート112a、112b、及び基板移送チャンバ110内に外部空気を流入させるためのフィルターユニット120を含む。なお、図においては、ロードポートを2つ示したが、これは少なくとも一つあればよい。
望ましくは、容器106はFOUPである。また、基板移送手段114はウェーハ104を支持するロボットアーム116、及びロボットアーム116を駆動させてウェーハ104を移動させるためのアーム駆動部118からなるロボットである。そして、ロボットアーム116によって基板が1枚ずつ容器106から取り出されて、基板処理部102へ移送される。
フィルターユニット120は、外部空気を流入させるためのファンと、流入させた外部空気を浄化するフィルターが一体化されたファン・フィルターユニット(FFU)として、清浄化空気を基板移送チャンバ110の内部にダウンフローさせる役割をする。
本発明の基板処理装置は、基板移送モジュール108の外部に汚染制御部130を備える。汚染制御部130は基板移送チャンバ110内に浄化ガス146aを供給して基板移送チャンバ110の内部を浄化するガス供給部132、及び内部の浄化ガスを再循環させて基板移送チャンバ110内に供給するためのガス循環管138を含む。
浄化ガスは不活性ガスまたは湿気が除去されたドライ空気うちのいずれか一つを使用し、望ましくは窒素(N)ガスを使用する。
ガス供給部132には浄化ガスを供給するためのガスライン134と浄化ガスの流量を制御するための質量流量計(mass flow controller;MFC)136が連結されている。
ガス循環管138を通じて再循環されて基板移送チャンバ110内に供給される浄化ガス146bが基板移送チャンバ110の内部で層流(laminar flow)を形成するように、ガス循環管138は基板移送チャンバ110の一側面下部で最上部面に延びて設けられている。ガス循環管138を通じて再循環される浄化ガス146bはフィルターユニット120を通じて濾過されて基板移送チャンバ110内に供給される。
基板移送チャンバ110内部の大気(ambient)を浄化ガスにより全て浄化する場合、浄化ガスの多量消耗による原価上昇問題と浄化ガスの排気問題が台頭される。従って、基板移送チャンバ110の全体体積の一定量のみを浄化ガスにより浄化し、内部の浄化ガスをガス循環管138を通じて再循環させて基板移送チャンバ110に供給し、加圧(pressurizing)により浄化ガスの自然漏洩を誘発することが望ましい。ここで、参照符号146aはガス供給部132を通じて基板移送チャンバ110に供給される浄化ガスを示す。参照符号146bはガス循環管138を通じて再循環されて基板移送チャンバ110に供給される浄化ガスを示す。参照符号146cは加圧により漏洩される浄化ガスを示す。
以下、上述した構造の基板処理装置の動作を説明する。
ロードポート112a、112b及び基板移送チャンバ110を含む基板移送モジュール108は、フィルターユニット120を通じて清浄化空気が基板移送チャンバ110の内部に流入されるために、設定された工程、例えば、ドライエッチング工程を実施する以前に基板移送チャンバ110の内部は清浄化空気の温度及び湿度と同一の条件、例えば、約23℃の温度及び約45%の湿度の常温及び常圧に維持される。
基板移送モジュール108に連結された汚染制御部130のガス供給部132を通じて基板移送チャンバ110に不活性ガスまたはドライエッチング工程にも用いられる浄化ガス146a、望ましくは窒素(N)ガスを供給することにより、基板移送チャンバ110内部の湿気または汚染物質を浄化する。これと同時に、基板移送チャンバ110内部の浄化ガスをガス循環管138を通じて再循環させて基板移送チャンバ110に供給する。
汚染制御部130による浄化工程は全てウェーハ104に対して設定された工程、例えば、ドライエッチング工程が完了されて最終ウェーハがFOUP106内に移送されるまで持続的に進行される。
浄化工程を進行する間に、一ロット、即ち、25枚のウェーハ104が収容されたFOUP106を基板移送モジュール108のロードポート112a、112b、例えば、第1ロードポート112aにローディングする。その後、基板移送モジュール108の基板移送チャンバ110と向き合っているFOUP106の正面ドア150aを開く。
このように、FOUP106の正面ドア150aを開いて、浄化工程を持続的に進行する状態で、基板移送チャンバ110の基板移送手段114によりFOUP106内に収容されているウェーハ104のうち1枚のウェーハ、例えば第一ウェーハを基板移送チャンバ110内に移動させる。その後、基板移送チャンバ110と低真空ロードロックチャンバ122a、122b、例えば、第1ロードロックチャンバ122a間のゲートバルブ152aを開いて、基板移送チャンバ110の基板移送手段114を通じて第一ウェーハを約10−3torrの低真空状態に維持されている第1ロードロックチャンバ122aにローディングする。
基板移送チャンバ110と第1ロードロックチャンバ122aとの間のゲートバルブ152aを遮断し、基板処理部102の移送チャンバ124と第1ロードロックチャンバ122aとの間のゲートバルブ154aをオープンさせた後、移送チャンバ124の移送ロボット126を通じて第一ウェーハを移送チャンバ124に移動させる。
移送チャンバ124と第1ロードロックチャンバ122aとの間のゲートバルブ154aを遮断した後、移送チャンバ124と高真空工程チャンバ128a、128b、128cとの間のゲートバルブ156a、156b、156cをオープンし、移送ロボット126を通じて第一ウェーハを高真空工程チャンバ128a、128b、128cに移動させる。ここで、高真空工程チャンバ128a、128b、128cは約10−6torrの高真空状態に維持される。
移送チャンバ124と高真空工程チャンバ128a、128b、128cとの間のゲートバルブ156a、156b、156cを遮断した後、高真空工程チャンバ128a、128b、128cで第一ウェーハに設定された工程、例えば、ドライエッチング工程を実施する。
前記工程が完了されると、移送チャンバ124と高真空工程チャンバ128a、128b、128cとの間のゲートバルブ156a、156b、156cを開き、第一ウェーハを移送ロボット126を通じて移送チャンバ124に移動させる。
移送チャンバ124と高真空工程チャンバ128a、128b、128cとの間のゲートバルブ156a、156b、156cを遮断した後、移送チャンバ124と低真空ロードロックチャンバ122a、122b、例えば、第2ロードロックチャンバ122b間のゲートバルブ154bを開き、移送ロボット126を通じて第一ウェーハを第2ロードロックチャンバ122bに移動させる。
移送チャンバ124と第1ロードロックチャンバ122aとの間のゲートバルブ154bを遮断した後、基板移送チャンバ110と第2ロードロックチャンバ122bとの間のゲートバルブ152bを開き、第一ウェーハを基板移送手段114を通じて基板移送チャンバ110に移動させる。続けて、基板移送手段114を駆動させて第一ウェーハを基板移送チャンバ110からロードポート112a、112b、例えば、第2ロードポート112bのFOUP106内部に移動させる。
第一ウェーハはその他のウェーハに対する工程が完了されるまでFOUP106内で50分程度待機することになるが、FOUP106と連結されている基板移送チャンバ110の内部が持続的に窒素ガスにより浄化されて湿気及び汚染物質が除去されるので、停滞時間の間に第一ウェーハの表面に湿気及び汚染物質が吸着されることを防止することができる。
前記のような段階を経てエッチング工程が完了された全てウェーハがFOUP106内に入って来ると、FOUP106の正面ドアを遮断し、基板移送チャンバ110への浄化ガス供給を中断する。その後、FOUP106をドライエッチング設備から除去する。FOUP106は全てウェーハ104に対する工程が進行する間に正面ドア150a、150bがオープンされている状態にロードポート112a、112b上で待機しているために、基板移送チャンバ110に供給される窒素(N)浄化ガスがFOUP106の内部に自然に充填される。従って、FOUP106の正面ドアを遮断した後、後続工程設備にFOUP106を移動させる時、FOUP106の内部に充填されている浄化ガスが外部大気中の湿気及び汚染物質(AMC)の流入を遮断することにより、工程と工程との間の停滞時間の間にウェーハ104の表面に湿気及び汚染物質が吸着されて凝縮粒子を形成することを防止することができる。
図7は窒素ガスにより基板移送チャンバを浄化するにおいて、浄化時間による基板移送チャンバ及びFOUPの内部湿度変化を示したグラフである。このグラフにおいて、水平軸は時間を示し、垂直軸は相対湿度(%)を示す。
図7で、(1)領域は基板移送チャンバ内で浄化ガス、例えば、窒素(N)ガスにより浄化を始める段階を示す。(2)領域は窒素(N)ガスにより浄化された基板移送チャンバの外部に配置されたロードポート上に一ロットのウェーハが収容されているFOUPをローディングする段階を示す。(3)領域はロードポートからFOUPをアンローディングする段階を示す。Aは基板移送チャンバ内部の相対湿度を示し、BはFOUP内部の相対湿度を示す。
図7に示すように、基板移送チャンバ内に窒素(N)ガスを供給して浄化を実施する間に基板移送チャンバ内部の湿度は約25%から約4%に減少した。ロードポート上にローディングされたFOUPはオープンされた正面ドアを通じて基板移送チャンバ内部の大気が続けて流入されるために、全てウェーハに対する工程が進行する間にFOUPの内部に窒素ガスが自然に充填されて湿度が約30%から約6%に減少した。
また、FOUPの正面ドアを遮断し、ロードポートからFOUPをアンローディングした後、清浄室内でFOUPが停滞される時にもFOUPの内部湿度が外部湿度の50%まで増加するに1時間10分程度が所要されることを確認することができた。これは基板移送チャンバのみ窒素(N)ガスにより浄化してもロードポート上にローディングされている間にFOUPの内部に窒素(N)ガスが自然に充填されるために、FOUPに対しても窒素浄化効果が1時間10分程度持続されることを意味する。従って、工程と工程との間で清浄室内にFOUPが停滞される時にも外部大気中の湿気及び汚染物質(AMC)がFOUPの内部に流入されることを遮断することができることが分かる。
(第2実施形態)
図8は本発明の第2実施形態による基板処理装置の基板移送モジュールを示す側面図である。
図8に示すように、第2実施形態による基板処理装置は基板移送モジュール108の汚染制御部を除いて上述した第1実施形態と同一である。
基板移送モジュール108はその内部に基板移送手段、望ましくは基板移送ロボット114が配置される基板移送チャンバ110、基板移送チャンバ110の外部に配置され、ウェーハ104が収容されている容器、望ましくはFOUP106を支持するための少なくとも一つのロードポート112a、112b及び基板移送チャンバ110内に外部空気を流入させるためのフィルターユニット120とを含む。
基板移送モジュール108の外部には汚染制御部130が配置される。汚染制御部130は基板移送チャンバ110に窒素(N)ガスのような不活性ガスまたはドライ空気からなる浄化ガス146aを供給して基板移送チャンバ110の内部を浄化するガス供給部132及び内部の浄化ガスを再循環させて基板移送チャンバ110に供給するためのガス循環管138とを含む。
また、汚染制御部130は基板移送チャンバ110内部の温度または湿度を検出するセンサー140、センサー140により検出された基板移送チャンバ110の温度及び湿度データを記録し、データを制御部144に伝達するデータ受信部142及び浄化ガス146aの供給量を制御するようにMFC136を制御する制御部144とを含む。
具体的に、汚染制御部130のガス供給部132を通じて基板移送チャンバ110に浄化ガス146aを供給して基板移送チャンバ110内部の湿気または汚染物質を浄化する。浄化ガス146aの供給が進行される間に、基板移送チャンバ110内部の浄化ガスはガス循環管138を通じて再循環されて基板移送チャンバ110に供給される。
また、浄化ガス146aの供給を進行する間に、センサー140を通じて基板移送チャンバ110内部の温度及び湿度を実時間に測定する。測定されたデータはデータ受信部152を通じて制御部144に伝達される。例えば、使用者が定めた湿度設定値が約1%未満であり、湿度が約1000ppm〜500ppmである時、基板移送チャンバ110内部の測定された湿度が設定値を超過すると、制御部144は浄化ガスの流量を調節する質量流量計(MFC)136を制御して浄化ガスの供給量を増加させる。また、測定された湿度が設定値より低いと、制御部144は質量流量計(MFC)136を制御して浄化ガスの供給量を減少させる。
このように、本発明の第2実施形態による基板移送モジュール108は基板移送チャンバ110内部の温度または湿度を実時間に測定して浄化ガスの供給量を自動に調節することにより、基板移送チャンバ110の内部空気の湿度を使用者が所望である濃度に制御することができる。
以上、本発明を実施形態によって詳細に説明したが、本発明はこれに限定されず、本発明が属する技術分野において通常の知識を有するものであれば本発明の思想と精神を離れることなく、本発明を修正または変更できるのであろう。
従来の200mmウェーハ用ドライエッチング設備の平面図である。 従来の300mmウェーハ用ドライエッチング設備の平面図である。 図2の設備において、基板移送モジュールを示す側面図である。 ドライエッチング後基板の停滞時間による凝縮粒子数量を示すグラフである。 本発明の第1実施形態による基板処理装置の平面図である。 図5の基板処理装置において、基板移送モジュールを示す側面図である。 浄化時間による基板移送チャンバ及びFOUPの内部湿度変化を示すグラフである。 本発明の第2実施形態による基板処理装置の基板移送モジュールを示す側面図である。
符号の説明
100 基板処理装置
102 基板処理部
104 基板
108 基板移送モジュール
110 基板移送チャンバ
112a、112b ロードポート
114 基板移送手段
116 ロボットアーム
120 フィルターユニット
122a、122b ロードロックチャンバ
124 移送チャンバ
126 移送ロボット
130 汚染制御部
132 ガス供給部
134 ガスライン
138 ガス循環管
140 センサー
142 データ受信部
144 制御部

Claims (4)

  1. 基板移送チャンバ内に浄化ガスを提供し、前記浄化ガスを前記基板移送チャンバに再循環させる段階(a)と、
    基板処理部における処理が実施される処理前の複数個の基板を収容した一の容器及び前記処理が実施された処理後の複数個の基板を収容する他の容器を前記基板移送チャンバの外部に配置されたロードポート上にローディングさせるとともに前記各容器を前記移送チャンバに連通させる段階(b)と、
    前記基板移送チャンバ内のロボットを用いて前記ロードポート上の前記一の容器から前記基板移送チャンバ内に前記処理前の基板を一枚ずつ移送し、前記基板移送チャンバと前記基板処理部との間に配置され前記処理前の基板を収容する第1ロードロックチャンバへ前記処理前の基板を一枚ずつ移送する段階(c)と、
    前記第1ロードロックチャンバから少なくとも一つの工程チャンバを含む前記基板処理部に前記処理前の基板を一枚ずつ移送する段階(d)と、
    前記工程チャンバ内で前記処理前の基板を処理する段階(e)と、
    前記処理後の基板を、前記基板処理部から前記基板移送チャンバと前記基板処理部との間に配置され前記処理後の基板を収容する第2ロードロックチャンバへ移送する段階(f)と、
    前記基板移送チャンバ内の前記ロボットを用いて前記第2ロードロックチャンバから前記基板移送チャンバ内に前記処理後の基板を一枚ずつ移送し、前記基板移送チャンバ内から前記他の容器内へ前記処理後の基板を一枚ずつ移送する段階(g)と、を含み、
    前記(a)段階を前記(b)段階乃至前記(g)段階の間に続けて進行することによって、前記各容器内に前記基板移送チャンバに供給された前記浄化ガスを継続的に充填して汚染物質の流入を防止し、
    前記(g)段階において前記処理後の基板が前記他の容器内へ初めて移送される時、前記他の容器内部には前記浄化ガスを充填して、前記他の容器に入った前記処理後の基板が前記浄化ガスによって取り囲まれ、
    前記(a)段階を進行する間、前記基板移送チャンバ内の湿度を測定して、前記測定された湿度が設定値以上である場合、前記基板移送チャンバ内に供給される前記浄化ガスの流量を急増させ、前記測定された湿度が設定値以下である場合に、前記基板移送チャンバ内に供給される前記浄化ガスの流量を減少させて前記他の容器内へ初めて移送された処理が実施された前記基板に湿気及び汚染物質が吸着するのを防ぐことを特徴とする基板処理方法。
  2. 前記(g)段階後、
    前記ロードポート上に配置された前記容器から前記基板をアンローディングさせる段階(h)をさらに含むことを特徴とする請求項1に記載の基板処理方法。
  3. 前記浄化ガスは、不活性ガスまたはドライ空気であることを特徴とする請求項1または請求項2に記載の基板処理方法。
  4. 前記不活性ガスは、窒素(N )ガスを含むことを特徴とする請求項3に記載の基板処理方法。
JP2003397403A 2002-11-29 2003-11-27 基板移送モジュールの汚染を制御することができる基板処理方法 Expired - Fee Related JP4553574B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0075458A KR100486690B1 (ko) 2002-11-29 2002-11-29 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법

Publications (2)

Publication Number Publication Date
JP2004311940A JP2004311940A (ja) 2004-11-04
JP4553574B2 true JP4553574B2 (ja) 2010-09-29

Family

ID=32388283

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003397403A Expired - Fee Related JP4553574B2 (ja) 2002-11-29 2003-11-27 基板移送モジュールの汚染を制御することができる基板処理方法

Country Status (5)

Country Link
US (1) US6996453B2 (ja)
JP (1) JP4553574B2 (ja)
KR (1) KR100486690B1 (ja)
DE (1) DE10353326B4 (ja)
TW (1) TWI251258B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014112631A (ja) * 2012-10-31 2014-06-19 Tdk Corp ロードポートユニット及びefemシステム

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4344593B2 (ja) * 2002-12-02 2009-10-14 ローツェ株式会社 ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
KR100505061B1 (ko) 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
JP2005167083A (ja) * 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
US8300666B2 (en) * 2004-10-07 2012-10-30 Cisco Technology, Inc. Inline power-based common mode communications in a wired data telecommunications network
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7096752B1 (en) * 2004-11-02 2006-08-29 Kla-Tencor Technologies Corporation Environmental damage reduction
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US8118535B2 (en) * 2005-05-18 2012-02-21 International Business Machines Corporation Pod swapping internal to tool run time
KR100706250B1 (ko) * 2005-07-07 2007-04-12 삼성전자주식회사 반도체 소자 제조 장치 및 방법
KR101224454B1 (ko) * 2005-11-01 2013-01-22 엘지디스플레이 주식회사 액정 표시 장치용 리프터 장비
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP4278676B2 (ja) * 2005-11-30 2009-06-17 Tdk株式会社 密閉容器の蓋開閉システム
JP2008024429A (ja) * 2006-07-20 2008-02-07 Toshiba Corp 電子装置の製造方法
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
JP4961893B2 (ja) * 2006-08-23 2012-06-27 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
US8021513B2 (en) * 2006-08-23 2011-09-20 Tokyo Electron Limited Substrate carrying apparatus and substrate carrying method
US7921307B2 (en) * 2007-03-27 2011-04-05 Cisco Technology, Inc. Methods and apparatus providing advanced classification for power over Ethernet
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
JP4251580B1 (ja) * 2008-01-08 2009-04-08 Tdk株式会社 被収容物搬送システム
JP5190279B2 (ja) * 2008-02-19 2013-04-24 東京エレクトロン株式会社 基板処理装置
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US8186927B2 (en) * 2008-05-27 2012-05-29 Tdk Corporation Contained object transfer system
JP4692584B2 (ja) * 2008-07-03 2011-06-01 村田機械株式会社 パージ装置
JP5268659B2 (ja) * 2009-01-07 2013-08-21 東京エレクトロン株式会社 基板収納方法及び記憶媒体
JP2010165943A (ja) 2009-01-16 2010-07-29 Renesas Electronics Corp 半導体装置の製造方法およびウェハ処理システム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
CN102751392A (zh) * 2011-04-19 2012-10-24 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理装置和晶片处理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101147191B1 (ko) * 2011-11-25 2012-05-25 주식회사 엘에스테크 반도체 공정설비에 사용되는 가스 절감장치
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
CN103187542B (zh) * 2011-12-29 2016-09-07 丽佳达普株式会社 有机发光元件封装装置以及有机发光元件封装方法
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9136149B2 (en) * 2012-11-16 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Loading port, system for etching and cleaning wafers and method of use
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI623994B (zh) * 2013-07-08 2018-05-11 布魯克斯自動機械公司 具有即時基板定心的處理裝置
KR20220120714A (ko) * 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
WO2015048470A1 (en) * 2013-09-30 2015-04-02 Applied Materials, Inc Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
TW202349607A (zh) 2013-12-13 2023-12-16 日商昕芙旎雅股份有限公司 搬運機械手臂
WO2015100375A1 (en) * 2013-12-26 2015-07-02 Kateeva, Inc. Thermal treatment of electronic devices
KR102050152B1 (ko) * 2014-01-21 2020-01-08 카티바, 인크. 전자 장치 인캡슐레이션을 위한 기기 및 기술
JP6291878B2 (ja) 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6287515B2 (ja) 2014-04-14 2018-03-07 Tdk株式会社 Efemシステム及び蓋開閉方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6500498B2 (ja) * 2015-02-27 2019-04-17 シンフォニアテクノロジー株式会社 搬送室及び搬送室のケミカルフィルタの湿度管理方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102487805B1 (ko) * 2015-04-28 2023-01-12 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6564642B2 (ja) 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
US10818529B2 (en) * 2015-08-31 2020-10-27 Murata Machinery, Ltd. Purge device, purge stocker, and purge method
TWI567856B (zh) 2015-09-08 2017-01-21 古震維 具有吹淨功能的晶圓傳送裝置
EP3360156B1 (en) * 2015-10-05 2023-08-30 Brooks Automation (Germany) GmbH Humidity control in semiconductor systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6555091B2 (ja) * 2015-11-10 2019-08-07 シンフォニアテクノロジー株式会社 ロボット搬送装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10566216B2 (en) * 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102109671B1 (ko) * 2017-09-20 2020-05-18 주식회사 대한 반도체 제조 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI630153B (zh) * 2017-11-07 2018-07-21 京鼎精密科技股份有限公司 晶圓裝卸及充氣系統
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7358044B2 (ja) * 2018-02-09 2023-10-10 東京エレクトロン株式会社 基板処理装置
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP7012558B2 (ja) * 2018-02-26 2022-01-28 東京エレクトロン株式会社 検査装置及び検査装置の動作方法
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102110308B1 (ko) * 2018-04-23 2020-05-14 코스텍시스템(주) 웨이퍼 이송 장치
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
KR102277984B1 (ko) * 2018-10-30 2021-07-15 세메스 주식회사 기판 처리 장치
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR20210087545A (ko) * 2018-11-30 2021-07-12 램 리써치 코포레이션 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102212996B1 (ko) * 2019-01-02 2021-02-08 피에스케이홀딩스 (주) 기판 처리 장치 및 기판 처리 방법
JP7163199B2 (ja) * 2019-01-08 2022-10-31 東京エレクトロン株式会社 基板処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6777869B2 (ja) * 2019-03-11 2020-10-28 シンフォニアテクノロジー株式会社 Efem装置
JP7307575B2 (ja) * 2019-03-28 2023-07-12 株式会社Screenホールディングス 基板処理装置および基板処理方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
TWI737996B (zh) * 2019-05-16 2021-09-01 華景電通股份有限公司 晶圓載具監控系統及其監控方法
CN111952226B (zh) * 2019-05-16 2024-03-26 华景电通股份有限公司 晶圆载具监控系统及其监控方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7181476B2 (ja) * 2020-10-07 2022-12-01 シンフォニアテクノロジー株式会社 Efem装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
CN112635360A (zh) * 2020-12-16 2021-04-09 华虹半导体(无锡)有限公司 一种降低晶圆上形成凝结物的方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116802783A (zh) * 2020-12-30 2023-09-22 恩特格里斯公司 容器中冲净流速的远程优化
JP7154325B2 (ja) * 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TWI764851B (zh) * 2021-02-05 2022-05-11 矽碁科技股份有限公司 微型化半導體製程系統
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7430677B2 (ja) * 2021-09-21 2024-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04271139A (ja) * 1991-02-27 1992-09-28 Fuji Electric Co Ltd 半導体製造装置
JPH0529437A (ja) * 1991-10-21 1993-02-05 Tokyo Electron Ltd 処理装置
JPH06177225A (ja) * 1992-08-31 1994-06-24 Matsushita Electric Ind Co Ltd 環境制御装置
JPH06340304A (ja) * 1993-06-01 1994-12-13 Tokyo Electron Ltd 筐体の収納棚及び筐体の搬送方法並びに洗浄装置
JPH0846012A (ja) * 1994-05-27 1996-02-16 Kokusai Electric Co Ltd 半導体製造装置
JPH0982624A (ja) * 1995-09-12 1997-03-28 Nikon Corp 露光装置
JPH09153533A (ja) * 1995-12-01 1997-06-10 Mitsubishi Electric Corp 半導体ウエハ保管システムおよびそのシステムを使用した半導体装置の製造方式
JPH1163604A (ja) * 1997-08-12 1999-03-05 Tokyo Electron Ltd 処理装置及び処理装置内の気体の制御方法
JPH11130210A (ja) * 1997-10-27 1999-05-18 Dainippon Screen Mfg Co Ltd クリーンルーム内の基板保管装置
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0756879B2 (ja) * 1988-03-31 1995-06-14 日鉄セミコンダクター株式会社 半導体の無塵化製造装置
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5303482A (en) * 1991-01-29 1994-04-19 Shinko Electric Co., Ltd. Wafer airtight keeping unit and keeping facility thereof
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
JP3120395B2 (ja) * 1993-03-10 2000-12-25 東京エレクトロン株式会社 処理装置
KR100261532B1 (ko) * 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
TW315504B (ja) * 1995-03-20 1997-09-11 Tokyo Electron Co Ltd
US5963336A (en) 1995-10-10 1999-10-05 American Air Liquide Inc. Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use
TW333658B (en) * 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100283425B1 (ko) * 1998-09-24 2001-04-02 윤종용 반도체소자의금속배선형성공정및그시스템
TW418429B (en) * 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04271139A (ja) * 1991-02-27 1992-09-28 Fuji Electric Co Ltd 半導体製造装置
JPH0529437A (ja) * 1991-10-21 1993-02-05 Tokyo Electron Ltd 処理装置
JPH06177225A (ja) * 1992-08-31 1994-06-24 Matsushita Electric Ind Co Ltd 環境制御装置
JPH06340304A (ja) * 1993-06-01 1994-12-13 Tokyo Electron Ltd 筐体の収納棚及び筐体の搬送方法並びに洗浄装置
JPH0846012A (ja) * 1994-05-27 1996-02-16 Kokusai Electric Co Ltd 半導体製造装置
JPH0982624A (ja) * 1995-09-12 1997-03-28 Nikon Corp 露光装置
JPH09153533A (ja) * 1995-12-01 1997-06-10 Mitsubishi Electric Corp 半導体ウエハ保管システムおよびそのシステムを使用した半導体装置の製造方式
JPH1163604A (ja) * 1997-08-12 1999-03-05 Tokyo Electron Ltd 処理装置及び処理装置内の気体の制御方法
JPH11130210A (ja) * 1997-10-27 1999-05-18 Dainippon Screen Mfg Co Ltd クリーンルーム内の基板保管装置
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014112631A (ja) * 2012-10-31 2014-06-19 Tdk Corp ロードポートユニット及びefemシステム

Also Published As

Publication number Publication date
US6996453B2 (en) 2006-02-07
TW200410305A (en) 2004-06-16
US20040105738A1 (en) 2004-06-03
DE10353326A1 (de) 2004-06-24
KR100486690B1 (ko) 2005-05-03
TWI251258B (en) 2006-03-11
JP2004311940A (ja) 2004-11-04
DE10353326B4 (de) 2007-09-06
KR20040047303A (ko) 2004-06-05

Similar Documents

Publication Publication Date Title
JP4553574B2 (ja) 基板移送モジュールの汚染を制御することができる基板処理方法
US7065898B2 (en) Module for transferring a substrate
TWI778553B (zh) 具有工廠介面腔室過濾器淨化的基板處理設備及方法
KR100453090B1 (ko) 처리장치및처리장치내의기체의제어방법
US5303671A (en) System for continuously washing and film-forming a semiconductor wafer
KR101524334B1 (ko) 액처리 장치, 액처리 방법 및 이 액처리 방법을 실행하기 위한 컴퓨터 프로그램이 기록된 기록 매체
US9272315B2 (en) Mechanisms for controlling gas flow in enclosure
JP5358366B2 (ja) 基板処理装置及び方法
KR100706250B1 (ko) 반도체 소자 제조 장치 및 방법
TWI821435B (zh) 側儲存艙、設備前端模組與操作設備前端模組的方法
JP2005051089A (ja) 基板処理装置および基板処理方法
JP3590328B2 (ja) 塗布現像処理方法及び塗布現像処理システム
US8794896B2 (en) Vacuum processing apparatus and zonal airflow generating unit
KR20040064326A (ko) 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치
JP3605692B2 (ja) 搬送処理方法及び搬送処理装置
JP2004119628A (ja) 基板処理装置
KR20080060781A (ko) 건식 식각 장치 및 방법
KR20200108467A (ko) 처리 장치, 배기 시스템, 반도체 장치의 제조 방법
JP2003142552A (ja) 基板処理装置
JP2004356295A (ja) 基板処理装置および基板処理方法
JP2004165331A (ja) 局所クリーン化搬送室および局所クリーン化処理装置
KR20230123435A (ko) 기판 처리 장치 및 기판 처리 방법
KR20230165338A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP2005175068A (ja) 基板処理装置
TW202312324A (zh) 設備前端模組

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091021

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100622

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100713

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130723

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees