KR102487805B1 - 기판 처리 장치 및 기판 처리 방법 - Google Patents

기판 처리 장치 및 기판 처리 방법 Download PDF

Info

Publication number
KR102487805B1
KR102487805B1 KR1020160046041A KR20160046041A KR102487805B1 KR 102487805 B1 KR102487805 B1 KR 102487805B1 KR 1020160046041 A KR1020160046041 A KR 1020160046041A KR 20160046041 A KR20160046041 A KR 20160046041A KR 102487805 B1 KR102487805 B1 KR 102487805B1
Authority
KR
South Korea
Prior art keywords
gas
gas injector
injector
purge
substrate
Prior art date
Application number
KR1020160046041A
Other languages
English (en)
Other versions
KR20160128219A (ko
Inventor
한태성
강대봉
곽재찬
김가람
김두영
서동원
이상두
이성광
조병하
천동석
황철주
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to US15/570,324 priority Critical patent/US20180130674A1/en
Priority to PCT/KR2016/004024 priority patent/WO2016175488A1/ko
Priority to CN201680024250.6A priority patent/CN107567509A/zh
Priority to TW105113078A priority patent/TWI694484B/zh
Publication of KR20160128219A publication Critical patent/KR20160128219A/ko
Application granted granted Critical
Publication of KR102487805B1 publication Critical patent/KR102487805B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

본 발명은 챔버; 상기 챔버 하부에 위치되고 적어도 하나의 기판이 안치되는 서셉터; 상기 서셉터 상부에 위치된 챔버리드; 상기 챔버리드에 설치되어 소스 가스를 분사하는 제 1 소스 가스 분사기; 상기 챔버리드에 설치되어 소스 가스를 분사하는 제 2 소스 가스 분사기; 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 1 퍼지 가스 분사기는 상기 제 1 및 제 2 소스 가스 분사기 사이에 설치된 것을 특징으로 하는 기판 처리 장치 및 기판 처리 방법을 제시한다.

Description

기판 처리 장치 및 기판 처리 방법{APPARATUS AND METHOD FOR PROCESSING SUBSTRATE}
본 발명은 기판 처리 장치에 관한 것으로, 기판 상에 박막을 형성하기 위하여 하나 이상 복수 개의 가스분사기를 이용하는 기판 처리장치에 관한 것이다. 본 발명의 기판 처리 장치를 이용하여 초미세 패턴이 형성된 기판 상에 균일하고, 치밀한 박막을 형성하는 기판 처리 방법에 관한 것이다.
일반적으로, 태양전지(Solar Cell), 반도체 소자, 평판 디스플레이 등을 제조하기 위해서는 기판 표면에 소정의 박막층, 박막 회로 패턴, 또는 광학적 패턴을 형성하여야 하며, 이를 위해서는 기판에 특정 물질의 박막을 증착하는 박막 증착 공정, 감광성 물질을 사용하여 박막을 선택적으로 노출시키는 포토 공정, 선택적으로 노출된 부분의 박막을 제거하여 패턴을 형성하는 식각 공정 등의 반도체 제조공정을 수행하게 된다.
이러한 반도체 제조 공정은 해당 공정을 위해 최적의 환경으로 설계된 기판처리 장치의 내부에서 진행되며, 최근에는 플라즈마를 이용하여 증착 또는 식각 공정을 수행하는 기판 처리 장치가 많이 사용되고 있다.
플라즈마를 이용한 기판 처리 장치에는 플라즈마를 이용하여 박막을 형성하는 PECVD(Plasma Enhanced Chemical Vapor Deposition) 장치, 및 박막을 식각하여 패터닝하는 플라즈마 식각 장치 등이 있다.
종래의 반도체 제조 공정 및 장비는 복잡하고 종횡비가 큰 패턴이 형성된 기판에서 패턴의 내부 및 상부에 잔류하는 소스 가스를 퍼지하지 못해 패턴의 내부 와 상부에 균일한 박막을 형성할 수 없어 패턴간의 균일하고, 내부 및 상부간의 스텝커버리지(Step coverage)가 균일하지 않았으며, 이로 인해 공정의 생산성까지 저하되는 문제점이 있었다.
본 발명은 기판 처리 장치 및 기판 처리 방법에 관한 것으로, 기판에 형성된초미세 패턴 상에 균일하고 치밀한 박막을 형성하기 위하여 이에 적합한 기판 처리 장치 및 기판 처리 방법을 제공하고자 한다.
본 발명에 따른 기판 처리 장치는 챔버; 상기 챔버 하부에 위치되고 적어도 하나의 기판이 안치되는 서셉터; 상기 서셉터 상부에 위치된 챔버리드; 상기 챔버리드에 설치되어 소스 가스를 분사하는 제 1 소스 가스 분사기; 상기 챔버리드에 설치되어 소스 가스를 분사하는 제 2 소스 가스 분사기; 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 1 퍼지 가스 분사기; 및 상기 제 1 및 제 2 소스 가스 분사기 사이에 상기 퍼지 가스 분사기가 설치될 수 있다.
본 발명에 따른 기판 처리 장치에 있어서, 상기 소스 가스는 Si 함유가스, Ti 함유 전구체, Zr, Al, Hf, 및 Ta 중 어느 하나를 포함할 수 있다.
본 발명에 따른 기판 처리 장치에 있어서, 상기 챔버리드에 설치된 상기 제 1 소스 가스 분사기, 상기 제 2 소스 가스 분사기, 상기 제 1 퍼지 가스 분사기는 상기 챔버리드의 중심부를 기준으로 외곽부 방향으로 방사상 설치될 수 있다.
본 발명에 따른 기판 처리 장치에 있어서, 상기 챔버리드에 설치된 상기 제 1 소스 가스 분사기와 상기 제 1 퍼지 가스 분사기의 중심부 간의 거리는 상기 제 1 소스 가스 분사기와 상기 제 1 퍼지 가스 분사기의 외곽부 간의 거리 보다 짧을 수 있다.
본 발명에 따른 기판 처리 장치는, 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 2 퍼지 가스 분사기와 제 3 퍼지 가스 분사기를 더 포함할 수 있다.
본 발명에 따른 기판 처리 장치에 있어서, 상기 제 1 퍼지 가스 분사기보다 제 2 퍼지가스 분사기 또는 제 3 퍼지가스 분사기 영역이 더 넓을 수 있다.
본 발명에 따른 기판 처리 장치에 있어서, 상기 제 1 퍼지 가스 분사기보다 제 2 퍼지가스 분사기 또는 제 3 퍼지가스 분사기의 가스분사유량이 더 많을 수 있다.
본 발명에 따른 기판 처리 장치는, 상기 챔버리드에 설치되어 반응 가스를 분사하는 복수개의 반응 가스 분사기를 더 포함할 수 있다.
본 발명에 따른 기판 처리 장치에 있어서, 상기 반응 가스는 질소 함유 가스 또는 산소 함유 가스를 포함할 수 있다.
본 발명에 따른 기판 처리 장치는, 상기 반응 가스 분사기에 플라즈마 전극을 포함할 수 있다.
본 발명에 따른 기판 처리 방법은, 챔버의 내부에 설치된 기판 지지부에 적어도 하나의 기판을 안착시키는 제 1 단계; 상기 기판의 상부에 설치된 제 1 소스 가스 분사기를 통해 소스 가스를 분사하는 제 2 단계; 상기 기판의 상부에 설치된 제 1 퍼지가스 분사기를 통해 퍼지 가스를 분사하는 제 3 단계; 상기 기판의 상부에 설치된 제 2 소스가스 분사기를 통해 소스 가스를 분사하는 제 4 단계;를 포함하며, 상기 기판은 상기 제 2 단계, 상기 제 3 단계, 상기 제 4 단계의 공정을 순차적으로 진행할 수 있다.
본 발명에 따른 기판 처리 방법에 있어서, 상기 소스 가스는 Si 함유가스, Ti 함유 전구체, Zr, Al, Hf, 및 Ta 중 어느 하나를 포함할 수 있다.
본 발명에 따른 기판 처리 방법은, 상기 챔버리드에 설치되어 반응 가스를 분사하는 복수개의 반응 가스를 분사하는 제 5단계를 더 포함할 수 있다.
본 발명에 따른 기판 처리 방법에 있어서, 상기 반응 가스는 질소 함유 가스 또는 산소 함유 가스를 포함할 수 있다.
본 발명에 따른 기판 처리 방법은, 상기 반응 가스 분사기에서 플라즈마를 생성 또는 라디칼 분사를 포함할 수 있다.
본 발명에 따른 기판 처리 방법은, 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 2 퍼지 가스 분사기와 제 3 퍼지 가스 분사기를 더 포함할 수 있다.
본 발명에 따른 기판 처리 방법에 있어서, 상기 제 1 퍼지 가스 분사기보다 제 2 퍼지가스 분사기 또는 제 3 퍼지가스 분사기 영역이 더 넓을 수 있다.
본 발명에 따른 기판 처리 방법에 있어서, 상기 제 1 퍼지 가스 분사기보다 제 2 퍼지가스 분사기 또는 제 3 퍼지가스 분사기의 가스분사유량이 더 많을 수 있다.
본 발명에 따른 기판 처리 장치는 챔버; 상기 챔버 하부에 위치되고 적어도 하나의 기판이 안치되는 서셉터; 상기 서셉터 상부에 위치된 챔버리드; 상기 챔버리드에 설치되어 소스 가스를 분사하는 제 1 소스 가스 분사기; 상기 챔버리드에 설치되어 소스 가스를 분사하는 제 2 소스 가스 분사기; 상기 제 1 소스 가스 분사기와 제 2 소스 가스 분사기의 사이에서 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 1 퍼지 가스 분사기; 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 2 퍼지 가스 분사기; 상기 챔버리드에 설치되어 반응 가스를 분사하는 제 1 반응 가스 분사기; 상기 챔버리드에 설치되어 반응 가스를 분사하는 제 2 반응 가스 분사기; 및 상기 제 1 반응 가스 분사기와 상기 제 2 반응 가스 분사기의 사이에서 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 3 퍼지 가스 분사기를 포함할 수 있다.
본 발명에 따른 기판 처리 방법은 챔버의 내부에 설치된 기판 지지부에 적어도 하나의 기판을 안착시키는 단계; 상기 기판의 상부에 설치된 제 1 소스 가스 분사기를 통해 소스 가스를 분사하는 단계; 상기 기판의 상부에 설치된 제 1 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계; 상기 기판의 상부에 설치된 제 2 소스 가스 분사기를 통해 소스 가스를 분사하는 단계; 상기 기판의 상부에 설치된 제 2 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계; 상기 기판의 상부에 설치된 제 1 반응 가스 분사기를 통해 반응 가스를 분사하는 단계; 상기 기판의 상부에 설치된 제 3 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계; 및 상기 기판의 상부에 설치된 제 2 반응 가스 분사기를 통해 반응 가스를 분사하는 단계를 포함할 수 있다. 상기 제 1 소스 가스 분사기를 통해 소스 가스를 분사하는 단계, 상기 제 1 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계, 및 상기 제 2 소스 가스 분사기를 통해 소스 가스를 분사하는 단계는 상기 기판에 대해 순차적으로 진행될 수 있다. 상기 제 1 반응 가스 분사기를 통해 반응 가스를 분사하는 단계, 상기 제 3 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계, 및 상기 제 2 반응 가스 분사기를 통해 반응 가스를 분사하는 단계는 상기 기판에 대해 순차적으로 진행될 수 있다.
본 발명의 기판 처리 장치는 복수 개의 소스 가스 분사기 또는 복수 개의 반응 가스 분사기를 포함하여, 복잡하고 종횡비가 큰 패턴이 형성된 기판에서 패턴의 내부 및 상부에 균일한 박막을 형성할 수 있다.
또한, 본 발명의 기판 처리 장치는 복수 개의 퍼지 가스 분사기를 포함하여, 복잡하고 종횡비가 큰 패턴이 형성된 기판에서 패턴의 내부 및 상부에 잔류하는 소스 가스를 적절하게 퍼지(제거)하여, 패턴의 내부 및 상부에 균일한 박막을 형성할 수 있다.
또한, 본 발명의 기판 처리 장치는 복수 개의 소스 가스 분사기 또는 복수 개의 반응 가스 분사기를 포함하여, 기판 표면에 소스 가스의 흡착이 충분히 이뤄지도록 하거나, 또는 기판 표면에서 소스 가스와 반응 가스의 반응이 충분히 이뤄지도록 함으로써, 증착되는 박막의 막질을 향상시킬 수 있다.
또한, 본 발명의 기판 처리 장치는 가스 분사기에 플라즈마 전극이 형성되거나 가스 분사기를 통하여 활성화된 라디칼을 분사하도록 하여, 기판 표면에 박막을 형성하거나, 기판 표면에 형성된 박막을 표면처리함으로써, 증착되는 박막의 막질을 향상시킬 수 있다.
또한, 본 발명의 기판 처리 장치는 증착을 위한 가스 분사기와 플라즈마 전극의 일부 또는 전부를 구성하는 가스 분사기를 사용하여, 기판 상에 박막을 증착과정 또는 증착 이후에 플라즈마에 의한 표면처리를 반복함으로써, 기판 상에 증착되는 박막의 막질을 향상시킬 수 있다.
또한, 본 발명의 기판 처리 장치는 증착을 위한 가스 분사기와 플라즈마 전극의 일부 또는 전부를 구성하는 가스 분사기를 사용하고, 기판 상에 박막을 증착과정 또는 증착 이후에 플라즈마에 의하여 활성화된 가스를 분사하여, 기판 상에 증착과 표면처리를 반복함으로써, 기판 상에 증착되는 박막의 막질을 향상시킬 수 있다.
또한, 본 발명의 기판 처리 장치는 증착을 위한 가스 분사기와 별도로 가스 분사기에 플라즈마 전극이 형성되거나 가스 분사기를 통하여 활성화된 라디칼을 분사하도록 하여, 분사되는 가스에 불순물을 포함시켜 증착 또는 표면처리 과정에서 기판 상에 형성된 박막에 불순물이 주입되게 형성함으로써, 증착되는 박막의 막질을 향상시킬 수 있다.
또한, 본 발명의 기판 처리 장치는 복수 개의 소스 가스 분사기 또는 복수 개의 반응 가스 분사기를 포함하여, 기판 표면에 소스 가스의 흡착이 충분히 이뤄지도록 하거나, 또는 기판 표면에서 소스 가스와 반응 가스의 반응이 충분히 이뤄지도록 함으로써, 가스 분사기의 회전 또는 기판 안치대의 회전으로 구현되는 원자층 증착에 있어서, 이러한 장치는 1회전이 1원자층 증착을 구현하고, 회전속도의 증가에 따른 가스공급의 부족 또는 반응시간의 부족을 상쇄하여 증착되는 박막의 막질을 향상시키면서, 박막의 증착속도를 향상시킬 수 있다.
또한, 본 발명의 기판 처리 장치는 복수 개의 소스 가스 분사기 또는 복수 개의 반응 가스 분사기 또는 적어도 하나 이상의 플라즈마 전극을 포함한 가스 분사기 또는 라디칼 분사기를 포함하여, 상기 복수 개의 가스 분사기를 통하여 금속전구체 또는 실리콘 함유가스를 분사하거나, 상기 복수 개의 반응 가스 분사기를 통하여 산소 함유가스 또는 질소 함유가스를 분사하여 증착되는 박막의 막질을 향상시킬 수 있다.
도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치의 개략 단면도
도 2는 도 1의 서셉터 상에 복수 개의 기판이 안치된 개략 개략 단면도
도 3는 도 1의 챔버리드와 복수 개의 가스 분사기의 개략 단면도
도 4는 도 1의 가스 분사기의 수직 개략 단면도
도 5는 본 발명의 제 2 실시 예에 따른 챔버리드와 복수 개의 가스 분사기의 개략 단면도
도 6는 본 발명의 제 3 실시 예에 따른 챔버리드와 복수 개의 가스 분사기의 개략 단면도
도 7는 도 6의 챔버리드와 복수 개의 가스 분사기의 개략 단면도
도 8는 본 발명의 제 4 실시 예에 따른 챔버리드와 복수 개의 가스 분사기의 개략 단면도
도 9는 본 발명의 제 5 실시 예에 따른 챔버리드와 복수 개의 가스 분사기의 개략 단면도
이하, 본 발명의 실시 예를 상세히 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시 예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.
도 1을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)는 도 4의 A-A'의 단면을 구성하였고, 챔버(2)의 하부에 서셉터(디스크)(3)가 위치하고 있으며, 서셉터(3)의 위에는 한 장 이상의 복수개의 기판(100)이 안치될 수 있다. 서셉터(3)의 상부 즉, 챔버(2) 상부에는 챔버리드(4)가 위치하고 있으며 챔버리드(4)에는 복수개의 퍼지가스 분사구 및 복수개의 반응 가스 분사구 및 복수개의 소스 가스 분사구가 설치되어 복수개의 가스 분사기(5)가 삽입되는 구조가 될 수 있다. 챔버(2)의 일측면에는 기판(100)이 출입 할 수 있는 기판출입구(21)가 설치 될 수 있고, 챔버(2) 일측면 및 하부에 배기구(미도시)가 설치 될 수 있다.
도 2를 참조하면, 본 발명의 도 1의 기판 처리 장치(1)는 서셉터(3) 위에 복수 개의 기판(100)을 안치할 수 있다. 도 1에 도시된 바와 같이 하나의 서셉터(3)에 6개의 기판(100)이 동심원 상에서 안치될 수 있다. 복수 개의 기판(100)이 등간격 또는 미리 지정된 간격을 가지고 위치할 수 있으면, 서셉터(3)는 복수 개의 기판(100)의 개수에 개별적으로 대응되어 복수 개로 구성될 수도 있다. 또한 상기 서셉터(3)는 서셉터(3)의 중심을 기준으로 회전할 수 있다. 이에 따라 상기 서셉터(3) 상에 안치된 복수 개의 기판(100)은 상기 서셉터(3)의 중심 또는 상기 회전의 중심을 기준으로 회전할 수 있다.
도 3을 참조하면, 가스 분사기(5)에 하나 이상의 복수개의 가스 유입구(51)가 설치될 수 있으며, 복수개의 분사홀(52)이 설치 될 수 있다. 가스 유입구(51)는 가스 분사기(5)의 상면, 측면, 대각 방향에서 주입 될 수 있다. 가스 분사기(5) 내에는 중공의 영역이 있어 가스가 균일하게 분사 될 수 있도록 공간(53)이 분사홀(52)과 가스 유입구(51) 사이에 위치하여 가스가 분사홀(52)로 분사되기 전에 가스유입구(51)에서 들어온 가스가 공간(53)에 가득 찬 후에 분사홀(52)로 분사 될 수 있다.
도 4를 참조하면, 상기 복수 개의 가스 분사기(5)는 상기 챔버리드(4)에 중심을 기준으로 방사상 또는 중앙퍼지를 중심으로 위치할 수 있다. 상기 복수 개의 가스 분사기(5) 중 적어도 하나 이상은 상기 챔버리드(4)의 중심으로부터 반경방향으로 분사홀(52)이 적어도 하나 이상의 열을 구성하여 형성될 수 있다. 또는 상기 복수 개의 가스 분사기(5) 중 적어도 하나 이상은 상기 챔버리드(4)에서 상기 서셉터(3) 상의 기판(100)으로 복수 개의 분사홀(52)이 형성된 샤워헤드 형태의 가스 분사기(5) 일 수 있다.
상기 복수 개의 가스 분사기(5)는 챔버리드(4)에 내장될 수도 있고, 챔버리드(4)에 개구부를 형성하여 상기 개구부에 각각의 가스 분사기(5)가 삽입될 수도 있고, 챔버리드(4)에 오목부를 형성하여 상기 오목부에 각각의 가스 분사기(5)가 삽입될 수도 있다.
도 4을 참조하면, 본 발명의 일 실시 예에 따른 챔버 챔버리드(4)와 복수 개의 가스 분사기(5)를 포함한 기판 처리 장치(1)의 공정순서는 제 1 소스 가스 분사기(S1) → 제 1 퍼지 가스 분사기(P1) → 제 2 소스 가스 분사기(S2) → 제 2 퍼지 가스 분사기(P2) → 반응 가스 분사기(R) → 제 3 퍼지 가스 분사기(P3)로 구성되는 증착 Cycle을 이용한 박막 증착 장치 및 박막 증착 방법일 수 있다. 본 일 실시예에 따른 증착 Cycle의 순서는 상기와 같은 방법일 수도 있고, 그의 반대 방향의 증착 Cycle 순서로도 공정이 이루어질 수 있다.
도 4을 참조하면, 본 발명의 제 1 실시 예에 따른 기판 처리 공정을 실현하기 위한 장치로서 복수 개의 소스 가스 분사기(S1, S2)를 배치하여, 1 Cycle 또는 1 번의 회전에 복수 개의 소스 가스 분사기(S1, S2)를 기판(100)이 지나가는 것을 특징으로 하는 가스분사장치로 이루어 질 수 있다. 또한, 소스 가스 분사기(S1, S2)와 퍼지 가스 분사기(P1, P2, P3)의 간격은 반응 가스 분사기(R)와 퍼지 가스 분사기(P1, P2, P3)의 간격보다 더 작은 것이 특징일 수 있다. 또한, 공급되는 제 1 소스 가스와 제 2 소스 가스는 동일한 가스를 포함 할 수 있다. 또한, 제 1 소스 가스와 제 2 소스 가스는 유량 또는 유량비가 서로 같지 않을 수 있다.
도 4을 참조하면, 본 발명의 제 1 실시 예에 따른 기판 처리 공정의 소스 가스(Source gas)는 금속 전구체 (Metal Precursor)를 포함 할 수 있고, 반응 가스(Reactant gas)는 질화 가스 또는 산화 가스를 포함 할 수 있고, 퍼지 가스(Purge gas)는 비반응성 가스를 포함 할 수 있다. 구체적으로, 소스 가스는 Ti 함유 전구체를 포함 할 수 있고, 반응 가스는 N 함유 가스를 포함할 수 있다. 구체적으로, 소스 가스는 Zr (또는 Al, Hf, Ta, … 등) 함유 전구체를 포함 할 수 있고, 반응 가스는 산소(oxygen, O) 함유 가스를 포함 할 수 있다.
도 4을 참조하면, 본 발명의 제 1 실시 예에 따른 기판 처리 공정의 소스 가스는 Si 함유가스(유기실란, 아미노실란 포함)를 포함 할 수 있고, 반응 가스는 질화 가스 또는 산화 가스를 포함 할 수 있고, 퍼지 가스는 비반응성 가스를 포함 할 수 있고, 구체적으로, 소스 가스는 Si 함유가스를 포함 할 수 있고, 반응 가스는 질소(Nitrogen, N) 또는 산소(oxygen, O) 함유가스를 포함 할 수 있다.
도 4를 참조하면, 본 발명의 공정은 소스 가스 분사기(S1)에서 소스가스(Source gas)는 금속전구체(Ti 함유 전구체)를 포함 할 수 있다. 구체적으로, 소스 가스(Source gas)는 Zr (또는 Al, Hf, Ta, …)등도 포함 할 수 있다. 상기 소스 가스 분사기(S1)에서 분사되는 상기 소스가스는 상기 복수의 기판에 분사되는 제 1 차 소스 가스 공정이 진행 될 수 있다. 상기 소스 가스 분사기(S1)에서 상기 소스가스를 분사하고, 상기 퍼지 가스 분사기(P1)에서 상기 퍼지 가스가 분사된다. 상기 퍼지 가스 분사기(P1)에서 분사된 퍼지 가스는 상기 소스 가스 분사기(S1)에서 분사된 상기 소스 가스의 일부를 제거(퍼지)할 수 있다. 이때, 상기 퍼지 가스 분사기(P1)와 거리가 가장 가까워 많은 양의 퍼지 가스에 노출된 상기 기판의 패턴의 상부는 상기 퍼지 가스의 영향으로 가장 많이 막이 제거(퍼지)가 되고, 상기 퍼지 가스 분사기(P1)과 기판의 패턴 하부와 상기 패턴 측면은 상대적으로 상기 패턴의 상부 보다는 막이 적게 제거 될 수 있다. 이후, 상기 소스 가스 분사기(S2)를 통해 다시 한번 상기 소스 가스가 분사되고, 상기 기판에 제2차 소스 가스 분사 공정이 진행 될 수 있다. 상기 소스가스(Source gas) 즉, 금속전구체(Ti 함유 전구체)를 포함 할 수 있다. 구체적으로, 소스 가스(Source gas)는 Zr (또는 Al, Hf, Ta, …)등도 포함 할 수 있다.
상기 소스가스가 초미세 패턴이 형성된 상기 기판 상에 분사되면, 상기 패턴 상부와 상기 패턴 하부 그리고 상기 패턴 상부와 하부 사이의 측면에도 균일하게 막이 증착이 되어야 스텝커버리지(Step coverage)가 개선 될 수 있다. 상기 기판의 패턴의 상부, 하부, 측면의 막의 높이가 균일해야 상기 기판의 하부까지 막이 채워질 수 있어, 균일한 막이 상기 웨이퍼 패턴사이에 증착이 될 수 있어, 반도체 소자의 동작이 이상 없이 동작 할 수 있다.
도4를 참조하면, 상기 챔버의 내부에 설치된 기판 지지부에 적어도 하나의 기판을 안착시키는 제 1 단계의 공정을 진행할 수 있다. 이어서, 상기 기판(100)의 상부에 설치된 제 1 소스 가스 분사기(S1)를 통해 소스 가스를 분사하는 제 2 단계를 진행할 수 있다. 이어서, 상기 기판의 상부에 설치된 퍼지 가스 분사기(P1)를 통해 퍼지 가스를 분사하는 제 3 단계의 공정을 진행할 수 있다. 이어서, 상기 기판의 상부에 설치된 제 2 소스 가스 분사기(S2)를 통해 소스 가스를 분사하는 제 4 단계의 공정을 진행 할 수 있다. 상기 기판은 상기 제 2 단계, 상기 제 3 단계, 상기 제 4 단계의 공정을 순차적으로 진행할 수 있다. 상기 공정의 순차적인 진행을 통에 균일한 막을 웨이퍼 패턴의 상부, 측면, 하부까지 균일하게 증착할 수 있다. 본 공정의 소스 가스는 Ti를 함유 가스일 수 있다. 상기 제 1 소스 가스분사기에서 분사되는 소스가스 유량과 상기 제 2 소스 가스분사기에서 분사되는 소스가스 유량은 동일할 수 있고, 상이할 수 있다.
도 4를 참조하면 상기 챔버리드(4)에 설치된 복수 개의 가스 분사기(5)를 포함한 상기 제 1 소스 가스 분사기(S1), 상기 제 2 소스 가스 분사기(S2), 상기 제 1 퍼지 가스 분사기(P1)는 상기 챔버리드(4)즉, 원형이 되는 상기 챔버리드(4)의 중앙의 한점에서 사방으로 뻗어 나간 모양인 방사상으로 뻗어 나간 모양으로 설치 될 수 있다. 상기 챔버리드(4)에 설치된 상기 제 1 소스 가스 분사기(S1)와 상기 제 1 퍼지 가스 분사기(1)의 중앙에 한 점에서 사방으로 뻗어나간 방사상모양의 상기 챔버리드(4)에서 중앙에 한 점이 위치한 중심을 중심부라고 했을 때, 상기 제 1 소스 가스 분사기와 상기 제 1 퍼지 가스 분사기 의 중심부 간의 거리는 상기 챔버리드(4)의 외곽부 즉, 에지부근을 외곽부라고 한다면, 외곽부 간의 거리 보다 짧을 수 있다. 반대로 에지 부근 즉,외곽부간의 거리는 중심부 간의 거리 보다 길 수 있다. 또한, 상기 가스 분사기(5)간 거리가 짧은(가까운) 표현은 상기 가스 분사기(5)간 거리가 좁다 및 라고 표현 할 수 있고, 상기 가스 분사기(5)간 거리가 긴(멀다) 것은 넓다 라고 표현 할 수 있다.
도 5를 참조하면, 본 발명의 일 실시 예에 따른 챔버리드(4)와 복수 개의 가스 분사기(5)를 포함한 기판 처리 장치(1)의 공정순서는 제 1 소스 가스 분사기(S1) → 제 1 퍼지 가스 분사기(P1) → 제 2 소스 가스 분사기(S2) → 제 2 퍼지 가스 분사기(P2) → 반응 가스 분사기(R) → 제 3 퍼지 가스 분사기(P3)로 구성되는 증착 Cycle을 이용한 박막 증착 장치 및 박막 증착 방법일 수 있다. 박막 증착 장치 중에 제 1 소스 가스 분사기(S1)와 제 2 소스 가스 분사기(S2) 사이의 제 1 퍼지 가스 분사기(P1)보다 제 2 퍼지 가스 분사기(P2) 또는 제 3 퍼지가스 분사기(P3)의 가스분사영역이 더 넓을 수 있고, 박막 증착 장치 중에 제 1 소스 가스 분사기(S1)와 제 2 소스 가스 분사기(S2) 사이의 제 1 퍼지 가스 분사기(P1)보다 제 2 퍼지 가스 분사기(P2) 또는 제 3 퍼지가스 분사기(P3)의 가스분사유량이 더 많을 수 있으며, 박막 증착 장치 중에 제 1 소스 가스 분사기(S1)와 제 2 소스 가스 분사기(S2) 사이의 제 1 퍼지 가스 분사기(P1)보다 제 2 퍼지 가스 분사기(P2) 또는 제 3 퍼지가스 분사기(P3)의 가스분사홀의 수량이 더 많은 장치일 수 있다. 제 1 소스 가스 분사기(S1) 또는 제 2 소스 가스 분사기(S2) 보다 반응 가스 분사기(R)의 가스분사영역이 더 넓을 수 있고, 제 1 소스 가스 분사기(S1) 또는 제 2 소스 가스 분사기(S2) 보다 반응 가스 분사기(R)의 가스분사유량이 더 많을 수 있고, 제 1 소스 가스 분사기(S1) 또는 제 2 소스 가스 분사기(S2) 보다 반응 가스 분사기(R)의 가스분사홀의 수량이 더 많은 장치일 수 있다. 또한, 제 1 퍼지 가스 분사기(P1)는 제 2 퍼지 가스 분사기(P2) 또는 제 3 퍼지 가스 분사기(P3)의 사이에 위치한 제 1 소스 가스 분사기(S1)와 제 2 소스 가스 분사기(S2)와의 거리가 더 가까울 수 있다.
도 5를 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)로 패턴이 형성된 기판을 증착하는 단계는 제 1 소스 가스 분사기(S1) → 패턴 상부 가스를 퍼지하는 단계(Small purge) → 제 2 소스 가스 분사기(S2) → 패턴 상부 및 패턴 내부를 퍼지하는 단계(Large purge) → 반응 가스 분사기(R) → 패턴 상부 및 패턴 내부를 퍼지하는 단계일 수 있다. 구체적으로는 Ti 함유가스를 패턴 내부 및 상부로 분사하는 단계 → 패턴 상부 가스를 퍼지하는 단계 또는 패턴 내부의 Ti 가스가 충분히 제거되지 않은 단계 → Ti 함유가스를 패턴 내부 및 상부로 분사하는 단계 → 패턴 상부 및 패턴 내부를 퍼지하는 단계 → N 함유가스를 패턴 내부 및 상부로 분사하는 단계 → 패턴 상부 및 패턴 내부를 퍼지하는 단계일 수 있다.
도 6을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)는 제 1 소스 가스 분사기(S1) → 제 1 퍼지 가스 분사기(P1) → 제 2 소스 가스 분사기(S2) → 제 2 퍼지가스 분사기(P2) → 제 1 반응 가스 분사기(R1) → 제 3 퍼지 가스 분사기(P3) → 제 2 반응 가스 분사기(R2) → 제 4 퍼지 가스 분사기(P4)로 구성되는 증착 Cycle을 이용한 박막 증착 방법일 수 있다.
도 6을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)를 실현하기 위한 장치의 복수 개의 반응 가스 분사기(R1, R2)를 배치하여, 1 Cycle 또는 1 번의 회전에 복수 개의 반응 가스 분사기(R1, R2)를 기판(100)이 지나가게 할 수 있다. 또한, 제 1 반응 가스 분사기(R1)와 제 2 반응 가스 분사기(R2) 사이의 간격은 제 1 반응가스 분사기(R1)와 제 2 퍼지 가스(Purge Gas)분사기(P2) 사이의 간격보다 더 작게(좁게) 할 수 있다. 또는, 제 1 반응 가스 분사기(R1)와 제 2 반응 가스 분사기(R2) 사이의 간격은 제 2 반응가스 분사기(R2)와 제 4 퍼지 가스(Purge Gas)분사기(P4) 사이의 간격보다 더 작게(좁게) 할 수 있다.
또한, 제 1 반응 가스 분사기(R1)과 제 2 반응 가스 분사기(R2)는 동일한 가스를 포함하게 할 수 있다. 또한, 제 1 반응 가스 분사기(R1)과 제 2 반응 가스 분사기(R2)는 유량 또는 유량비가 서로 같지 않게 할 수 있다.
도 6을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)를 실현하기 위한 장치의 소스 가스는 금속전구체(Ti 함유 전구체)를 포함 할 수 있고, 반응 가스는 질화가스 또는 산화가스(N 함유 가스)를 포함 할 수 있다. 구체적으로, 소스 가스는 Zr (또는 Al, Hf, Ta, … 등) 함유 전구체, 반응 가스는 O 함유 가스를 포함 할 수 있다.
도 6을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)를 실현하기 위한 장치로서 소스 가스는 Si 함유가스 (유기실란, 아미노실란 포함)를 포함 할 수 있고, 반응 가스는 질화가스 또는 산화가스를 포함 할 수 있고, 퍼지 가스는 비반응성 가스를 포함 할 수 있다. 구체적으로, 소스 가스는 Si 함유가스를 포함 할 수 있고, 반응 가스는 N 또는 O 함유가스를 포함 할 수 있다.
도 7을 참조하면, 소스 가스 분사기 또는 반응 가스 분사기에는 RF전원/RF매처(RF Matcher)(6)가 연결 될 수 있다. RF전원/RF매처(RF Matcher)(6)를 사용하여 챔버(2) 내부의 반응공간 중 일부 공간에 플라즈마를 생성 할 수 있다.
도 8을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치는 제 1 소스 가스 분사기(S1) → 제 1 퍼지가스 분사기(P1) → 제 2 소스 가스 분사기(S2) → 제 2 퍼지 가스 분사기(P2) → 제 1 반응 가스 분사기(R1) → 제 3 퍼지 사기 분사기(P3) → 제 2 플라즈마 반응 가스 분사기(R2), Plasma 전극 또는 라디칼 분사 → 제 4 퍼지 가스 분사기(P4)로 구성되는 증착 Cycle에서 제 1 반응 가스 분사기(R1) 또는 제 2 반응 가스 분사기(R2)는 Plasma 전극이 설치 또는 라디칼을 분사 할 수 있다.
도 8을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)는 복수 개의 반응 가스 분사기(R1, R2)를 배치하여, 1 Cycle 또는 1 번의 회전에 복수 개의 반응 가스 분사기(R1, R2)를 기판(100)이 지나 갈 수 있고, 복수 개의 반응 가스 분사기(R1, R2) 중 어느 하나는 라디칼 가스를 분사하거나, 플라즈마 전극을 설치하거나 또는 라디칼 분사를 포함 할 수 있다.
도 8을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)의 소스 가스는 금속전구체(Ti 함유 전구체)를 포함 할 수 있고, 반응 가스는 질화가스 또는 산화가스(N 함유 가스)를 포함 할 수 있고, 구체적으로, 소스 가스는 Zr (또는 Al, Hf, Ta, … 등) 함유 전구체를 포함 할 수 있고, 반응 가스는 산소(O) 함유 가스를 포함 할 수 있다.
도 8을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)의 소스 가스는 Si 함유가스(유기실란, 아미노실란 포함)를 포함 할 수 있고, 반응 가스는 질화가스 또는 산화가스를 포함 할 수 있고, 퍼지 가스는 비반응성 가스를 포함 할 수 있다. 구체적으로, 소스 가스는 Si 함유가스를 포함 할 수 있고, 제 1 반응 가스와 제 2 반응 가스는 원자량이 상이 할 수 있다. 구체적으로, 소스 가스는 Si 함유가스를 포함 할 수 있고, 제 1 반응 가스 분사기(R1)는 오존(O3), 제 2 반응 가스 분사기(R2)는 산소(O2) Plasma를 생성 할 수 있다. 구체적으로, 소스 가스는 Si 함유가스를 포함 할 수 있고, 제 1 반응 가스 분사기(R1)는 산소(O2), 제 2 반응 가스 분사기(R2)는 C와 H를 포함하는 Plasma를 생성 할 수 있다.
도 9을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)는 제 1 소스 가스 분사기(S1) → 제 1 퍼지가스 분사기(P1) → 제 1 반응 가스 분사기(R1) → 제 2 퍼지 가스 분사기(P2) → 제 2 반응 가스 분사기(R2) → 제 3 퍼지 가스 분사기(P3)로 구성되는 증착 Cycle을 이용한 박막 증착을 할 수 있다.
도 9을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)를 실현하기 위한 장치로서, 복수 개의 반응 가스 분사기(R1, R2)를 배치하여, 1 Cycle 또는 1 번의 회전에 복수 개의 반응 가스 분사기(R1, R2)를 기판(100)이 지나가게 할 수 있다. 또한, 반응 가스 분사기(제 1 반응 가스 분사기(R1), 제 2 반응 가스 분사기(R2)) 사이의 간격은 소스 가스 분사기(제 1 소스 가스 분사기(S1))와 퍼지 가스 분사기(제 1 퍼지 가스 분사기(P1) 또는 제 3 퍼지 가스 분사기(P3))의 간격보다 더 작을 수 있다. 또한, 제 1 반응 가스 분사기(R1)와 제 2 반응 가스 분사기(R2)는 동일한 가스가 포함할 수 있다. 또한, 제 1 반응 가스 분사기(R1)과 제 2 반응 가스 분사기(R2)는 유량 또는 유량비가 서로 같지 않을 수 있다.
도 9을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)를 실현하기 위한 장치의 소스 가스는 금속전구체(Ti 함유 전구체)를 포함 할 수 있고, 반응 가스는 질화가스 또는 산화가스(N 함유 가스)를 포함 할 수 있고, 구체적으로, 소스 가스는 Zr (또는 Al, Hf, Ta, … 등) 함유 전구체를 포함 할 수 있고, 반응 가스는 산소(O) 함유 가스를 포함 할 수 있다.
도 9을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)를 실현하기 위한 장치의 소스 가스는 Si 함유가스 (유기실란, 아미노실란 포함)를 포함 할 수 있고, 반응 가스는 질화가스 또는 산화가스를 포함 할 수 있고, 퍼지 가스는 비반응성 가스를 포함 할 수 있고, 구체적으로, 소스 가스는 Si 함유가스를 포함 할 수 있고, 반응 가스는 N 또는 O 함유가스를 포함 할 수 있고, 구체적으로, 소스 가스는 Si 함유가스를 포함 할 수 있고, 제 1 반응 가스 분사기(R1)은 오존(O3), 제 2 반응 가스 분사기(R2)는 산소(O2) Plasma를 생성 할 수 있다. 구체적으로, 반응 가스(Source gas)는 Si 함유가스를 포함 할 수 있고, 제 1 반응 가스 분사기(R1)은 산소(O2), 제 2 반응 가스 분사기(R2)는 C와 H를 포함하는 Plasma를 생성할 수 있다.
본 발명은 1 Cycle 또는 1 번 이상의 회전을 통해 증착 공정 및 트리트먼트 공정을 실시할 수 있으며, 수 회를 반복적인 회전을 할 수 있다. 또한, 동일 소스의 증착막 및 상이한 소스의 증착막을 동시 또는 순차적으로 증착 할 수 있다. 또한, 2회는 동일 증착막, 3회는 상이한 막으로 비순차적으로 증착 할 수 있다. 또한, 동일한 막 또는 상이한 막을 번갈아가며 증착 할 수 있다.
본 발명의 기술적 사상은 상기 실시 예에 따라 구체적으로 기술되었으나, 상기 실시 예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주지해야 한다. 또한, 본 발명의 기술분야에서 당업자는 본 발명의 기술 사상의 범위 내에서 다양한 실시 예가 가능함을 이해할 수 있을 것이다.

Claims (18)

  1. 챔버;
    상기 챔버 하부에 위치되고 적어도 하나의 기판이 안치되는 서셉터;
    상기 서셉터 상부에 위치된 챔버리드;
    상기 챔버리드에 설치되어 소스 가스를 분사하는 제 1 소스 가스 분사기;
    상기 챔버리드에 설치되어 소스 가스를 분사하는 제 2 소스 가스 분사기;
    상기 제 1 소스 가스 분사기와 제 2 소스 가스 분사기의 사이에서 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 1 퍼지 가스 분사기;
    상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 2 퍼지 가스 분사기;
    상기 챔버리드에 설치되어 반응 가스를 분사하는 제 1 반응 가스 분사기;
    상기 챔버리드에 설치되어 반응 가스를 분사하는 제 2 반응 가스 분사기; 및
    상기 제 1 반응 가스 분사기와 상기 제 2 반응 가스 분사기의 사이에서 상기 챔버리드에 설치되어 퍼지 가스를 분사하는 제 3 퍼지 가스 분사기를 포함하고,
    상기 제 1 반응 가스 분사기와 상기 제 2 반응 가스 분사기 중에서 어느 하나는 플라즈마를 생성하거나 라디칼을 분사하는 기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 챔버리드에 설치된 상기 제 1 소스 가스 분사기, 상기 제 2 소스 가스 분사기, 상기 제 1 퍼지 가스 분사기는 상기 챔버리드의 중심부를 기준으로 외곽부 방향으로 방사상 설치된 것을 특징으로 하는 기판 처리 장치.
  3. 제 2 항에 있어서,
    상기 챔버리드에 설치된 상기 제 1 소스 가스 분사기와 상기 제 1 퍼지 가스 분사기의 중심부 간의 거리는 상기 제 1 소스 가스 분사기와 상기 제 1 퍼지 가스 분사기의 외곽부 간의 거리 보다 짧은 것을 특징으로 하는 기판 처리 장치.
  4. 제 1 항에 있어서,
    상기 제 1 퍼지 가스 분사기의 가스분사영역보다 상기 제 2 퍼지 가스 분사기의 가스분사영역 또는 상기 제 3 퍼지 가스 분사기의 가스분사영역이 더 넓은 것을 특징으로 하는 기판 처리 장치.
  5. 제 1 항에 있어서,
    상기 제 1 퍼지 가스 분사기의 가스분사유량보다 상기 제 2 퍼지 가스 분사기의 가스분사유량 또는 상기 제 3 퍼지 가스 분사기의 가스분사유량이 더 많은 것을 특징으로 하는 기판 처리 장치.
  6. 제 1 항에 있어서,
    상기 반응 가스는 질소 함유 가스 또는 산소 함유 가스를 포함하는, 기판 처리 장치.
  7. 삭제
  8. 챔버의 내부에 설치된 기판 지지부에 적어도 하나의 기판을 안착시키는 단계;
    상기 기판의 상부에 설치된 제 1 소스 가스 분사기를 통해 소스 가스를 분사하는 단계;
    상기 기판의 상부에 설치된 제 1 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계;
    상기 기판의 상부에 설치된 제 2 소스 가스 분사기를 통해 소스 가스를 분사하는 단계;
    상기 기판의 상부에 설치된 제 2 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계;
    상기 기판의 상부에 설치된 제 1 반응 가스 분사기를 통해 반응 가스를 분사하는 단계;
    상기 기판의 상부에 설치된 제 3 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계; 및
    상기 기판의 상부에 설치된 제 2 반응 가스 분사기를 통해 반응 가스를 분사하는 단계를 포함하고,
    상기 제 1 소스 가스 분사기를 통해 소스 가스를 분사하는 단계, 상기 제 1 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계, 및 상기 제 2 소스 가스 분사기를 통해 소스 가스를 분사하는 단계는 상기 기판에 대해 순차적으로 진행되며,
    상기 제 1 반응 가스 분사기를 통해 반응 가스를 분사하는 단계, 상기 제 3 퍼지 가스 분사기를 통해 퍼지 가스를 분사하는 단계, 및 상기 제 2 반응 가스 분사기를 통해 반응 가스를 분사하는 단계는 상기 기판에 대해 순차적으로 진행되는 것을 특징으로 하는 기판 처리 방법.
  9. 제 8 항에 있어서,
    상기 제 1 반응 가스 분사기와 상기 제 2 반응 가스 분사기 중에서 어느 하나는 플라즈마를 생성하거나 라디칼을 분사하는 것을 특징으로 하는 기판 처리 방법.
  10. 제 8 항에 있어서,
    상기 제 1 퍼지 가스 분사기의 가스분사영역보다 상기 제 2 퍼지 가스 분사기의 가스분사영역 또는 상기 제 3 퍼지 가스 분사기의 가스분사영역이 더 넓은 것을 특징으로 하는 기판 처리 방법.
  11. 제 8 항에 있어서,
    상기 제 1 퍼지 가스 분사기의 가스분사유량보다 상기 제 2 퍼지 가스 분사기의 가스분사유량 또는 상기 제 3 퍼지 가스 분사기의 가스분사유량이 더 많은 것을 특징으로 하는 기판 처리 방법.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
KR1020160046041A 2015-04-28 2016-04-15 기판 처리 장치 및 기판 처리 방법 KR102487805B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/570,324 US20180130674A1 (en) 2015-04-28 2016-04-18 Apparatus and method for processing substrate
PCT/KR2016/004024 WO2016175488A1 (ko) 2015-04-28 2016-04-18 기판 처리 장치 및 기판 처리 방법
CN201680024250.6A CN107567509A (zh) 2015-04-28 2016-04-18 基板处理装置及基板处理方法
TW105113078A TWI694484B (zh) 2015-04-28 2016-04-27 基板處理裝置及方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020150059987 2015-04-28
KR20150059987 2015-04-28

Publications (2)

Publication Number Publication Date
KR20160128219A KR20160128219A (ko) 2016-11-07
KR102487805B1 true KR102487805B1 (ko) 2023-01-12

Family

ID=57529776

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160046041A KR102487805B1 (ko) 2015-04-28 2016-04-15 기판 처리 장치 및 기판 처리 방법

Country Status (4)

Country Link
US (1) US20180130674A1 (ko)
KR (1) KR102487805B1 (ko)
CN (1) CN107567509A (ko)
TW (1) TWI694484B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102155281B1 (ko) * 2017-07-28 2020-09-11 주성엔지니어링(주) 기판처리장치의 가스분사장치, 기판처리장치, 및 기판처리방법
KR102325325B1 (ko) * 2017-09-29 2021-11-11 주성엔지니어링(주) 박막형성방법
KR102225486B1 (ko) * 2018-01-26 2021-03-09 주성엔지니어링(주) 기판 처리 장치 및 방법
KR102652485B1 (ko) * 2018-10-29 2024-03-28 주성엔지니어링(주) 기판 처리 방법
WO2020101375A1 (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
KR20200056273A (ko) 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US20070128878A1 (en) * 2003-03-03 2007-06-07 Manabu Izumi Substrate processing apparatus and method for producing a semiconductor device
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101832404B1 (ko) * 2012-06-22 2018-02-26 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
JP6061545B2 (ja) * 2012-08-10 2017-01-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR20140089983A (ko) * 2013-01-08 2014-07-16 주식회사 원익아이피에스 가스 공급 장치 및 기판 처리 장치
KR20140101049A (ko) * 2013-02-07 2014-08-19 주식회사 원익아이피에스 기판 처리 장치

Also Published As

Publication number Publication date
US20180130674A1 (en) 2018-05-10
TWI694484B (zh) 2020-05-21
TW201707057A (zh) 2017-02-16
CN107567509A (zh) 2018-01-09
KR20160128219A (ko) 2016-11-07

Similar Documents

Publication Publication Date Title
KR102487805B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102070400B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20100077828A (ko) 원자층 증착장치
KR102014877B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20100002886A (ko) 원자층 증착 장치
KR100949913B1 (ko) 원자층 증착 장치
KR101185376B1 (ko) 가스 분사 조립체 및 이를 이용한 박막증착장치
KR101832404B1 (ko) 가스분사장치 및 기판처리장치
KR20200056273A (ko) 기판처리장치 및 기판처리방법
KR101046611B1 (ko) 배치타입 원자층 증착장치
KR101388222B1 (ko) 균일한 플라즈마 형성을 위한 원자층 증착장치
KR20200003760A (ko) 박막형성방법
KR102317442B1 (ko) 기판처리방법
KR100517550B1 (ko) 원자층 증착 장치
KR101839409B1 (ko) 가스 공급 장치, 가스 공급 방법 및 이를 구비하는 기판 처리 장치
KR101388223B1 (ko) 균일한 플라즈마 형성을 위한 원자층 증착장치
KR20140007567A (ko) 원자층 박막 증착장비
KR20130035039A (ko) 가스분사장치, 및 이를 포함하는 기판 처리장치
KR102652485B1 (ko) 기판 처리 방법
KR102299805B1 (ko) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR102405776B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20230049118A1 (en) Substrate processing device and substrate processing method
KR101897215B1 (ko) 가스분사장치 및 기판처리장치
KR102076512B1 (ko) 기판 처리 방법
WO2016175488A1 (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant