KR20040047303A - 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법 - Google Patents

기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법 Download PDF

Info

Publication number
KR20040047303A
KR20040047303A KR1020020075458A KR20020075458A KR20040047303A KR 20040047303 A KR20040047303 A KR 20040047303A KR 1020020075458 A KR1020020075458 A KR 1020020075458A KR 20020075458 A KR20020075458 A KR 20020075458A KR 20040047303 A KR20040047303 A KR 20040047303A
Authority
KR
South Korea
Prior art keywords
substrate
substrate transfer
transfer chamber
gas
chamber
Prior art date
Application number
KR1020020075458A
Other languages
English (en)
Other versions
KR100486690B1 (ko
Inventor
안요한
황정성
김혁기
김기두
이수웅
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0075458A priority Critical patent/KR100486690B1/ko
Priority to US10/684,436 priority patent/US6996453B2/en
Priority to TW092130812A priority patent/TWI251258B/zh
Priority to DE10353326A priority patent/DE10353326B4/de
Priority to JP2003397403A priority patent/JP4553574B2/ja
Publication of KR20040047303A publication Critical patent/KR20040047303A/ko
Application granted granted Critical
Publication of KR100486690B1 publication Critical patent/KR100486690B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치 및 방법이 개시되어 있다. 본 발명의 기판 처리 장치는, 복수개의 기판을 수용하도록 형성된 용기; 상기 기판 상에 설정된 공정을 수행하기 위한 적어도 하나의 공정 챔버를 포함하는 기판 처리부; 상기 용기 내의 기판들을 상기 기판 처리부로 이송하기 위한 기판 이송 수단이 그 내부에 배치되어 있는 기판 이송 챔버 및 상기 기판 이송 챔버의 외부에 배치되고 상기 용기를 지지하기 위한 적어도 하나의 로드 포트를 포함하는 기판 이송 모듈; 및 상기 기판 이송 챔버에 연결되고, 상기 기판 이송 챔버에 퍼지 가스를 공급하여 상기 기판 이송 챔버 내부를 퍼지하는 가스 공급부 및 상기 기판 이송 챔버 내부의 퍼지 가스를 재순환시켜 상기 기판 이송 챔버로 공급하기 위한 가스 순환관을 포함하는 오염 제어부를 구비한다. 기판 이송 챔버를 퍼지 가스로 퍼지하여 상기 기판 이송 챔버 내부의 습기 및 오염 물질들을 제거함으로써, 공정이 완료된 기판들이 용기 내에서 대기하는 동안 습기 및 오염물질들과 반응하여 응축 입자를 형성하는 것을 방지한다.

Description

기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치 및 방법{Substrate processing apparatus and method for controlling contamination in substrate transfer module}
본 발명은 기판 처리 장치 및 방법에 관한 것이다. 보다 상세하게는, 용기(container) 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부(substrate processing part)로 이송하기 위한 기판 이송 모듈(substrate transfer module) 내부의 오염을 제어할 수 있는 기판 처리 장치 및 방법에 관한것이다.
반도체 제조 공정 중 건식 식각 공정은 리소그라피 공정을 통해 형성된 포토레지스트 패턴을 식각 마스크로 식각 가스를 이용하여 웨이퍼 상에 패턴을 형성하는 공정으로, 통상적으로 고진공 상태에서 진행하게 된다.
그러나, 청정실(cleanroom)의 상압 상태에서 고진공 상태로 공정 챔버의 분위기를 형성하는데는 상당한 시간이 소요되기 때문에, 일반적으로 건식 식각 설비의 입/출력 포트(port) 사이에 버퍼 역할을 하는 저진공 로드락 챔버(loadlock chamber)를 배치하고 이 곳에 웨이퍼를 대기시킴으로써 단위 시간당 생산량을 향상시키는 방법을 사용하고 있다.
도 1은 종래의 200㎜ 직경 웨이퍼용 다중-챔버 시스템을 갖는 건식 식각 설비의 평면도이다.
도 1을 참조하면, 종래의 200㎜ 건식 식각 설비는 저진공 로드락 챔버(14a, 14b) 및 고진공 공정 챔버(18a, 18b, 18c)를 포함한다.
통상 25매의 웨이퍼(즉, 반도체 기판)(20)가 수용된 카세트(12)를 약 10-3torr의 저진공 상태로 유지되는 제1 로드락 챔버(14a)에 로딩한다. 그런 다음, 이송 챔버(15)의 이송 로봇(16)을 통해 상기 제1 로드락 챔버(14a) 내의 웨이퍼(20)들을 한 매씩 대응되는 공정 챔버(18a, 18b, 18c)로 이송한다. 모든 공정 챔버들(18a, 18b, 18c)은 약 10-6torr의 고진공 상태로 유지된다.
상기 공정 챔버(18a, 18b, 18c)에서 건식 식각 공정이 완료된 웨이퍼(20)는이송 챔버(15)의 이송 로봇(16)을 통해 제2 로드락 챔버(14b)로 이송된다.
이와 같이 상술한 단계들을 거쳐 식각 공정이 완료된 모든 웨이퍼(20)들이 제2 로드락 챔버(14b) 내의 카세트(12)에 들어오면, 상기 제2 로드락 챔버(14b)를 벤팅하여 웨이퍼 카세트(12)를 건식 식각 설비로부터 제거한다.
한편, 반도체 장치의 생산성을 향상시키고 제조원가를 절감하기 위하여 웨이퍼의 대구경화가 필수적으로 요구된다. 따라서, 최근에는 300㎜ 직경의 반도체 웨이퍼가 사용되고 있으며 이에 따라 반도체 제조 설비 및 공정 설계 등도 발전하고 있다.
300㎜ 직경의 웨이퍼는 웨이퍼의 무게로 인하여 복수개의 슬롯(slot)이 구비된 캐리어(carrier)와 상기 캐리어를 적재한 상태로 이송하는 캐리어 박스 등과 같은 이송 도구를 통합한 정면 개구 통합형 포드(Front Opening Unified Pod; 이하 "FOUP"라 한다)와 같은 웨이퍼 용기 내에 수용한다.
그러나, 상기 FOUP은 부피가 크기 때문에 저진공 로드락 챔버에 로딩할 경우, 상압에서 저진공으로 형성하고 다시 저진공에서 상압으로 여압(pressurization)시키는 시간이 길어져서 단위 시간당 생산량을 저하시키게 된다. 따라서, 300㎜ 웨이퍼용 공정 설비는 FOUP과 로드락 챔버 사이에 EFEM(Equipment Front End Module)과 같은 별도의 기판 이송 모듈을 두고, 상기 FOUP을 기판 이송 모듈의 로드 포트(load port) 위에 적재하고 웨이퍼를 한 매씩 상기 기판 이송 모듈을 통해 로드락 챔버로 이송하는 방식을 채택하고 있다.
도 2는 종래의 300㎜ 웨이퍼용 다중-챔버 시스템을 갖는 건식 식각 설비의평면도이다.
도 2를 참조하면, 종래의 300㎜ 건식 식각 설비는 기판 이송 모듈(50), 저진공 로드락 챔버(60a, 60b) 및 기판 처리부(65)로 구성된다.
상기 기판 처리부(65)는 웨이퍼(62) 상에 설정된 공정을 수행하기 위한 복수개의 고진공 공정 챔버(66a, 66b, 66c) 및 상기 로드락 챔버(60a, 60b)와 공정 챔버(66a, 66b, 66c) 사이에서 웨이퍼(62)를 이송하기 위한 이송 챔버(63)를 포함한다.
상기 기판 이송 모듈(50)은 도 3에 도시한 바와 같이, 웨이퍼(62)들이 수용되어 있는 FOUP(52)을 지지하기 위한 로드 포트(58a, 58b), 외부 공기를 유입하기 위한 필터 유닛(59) 및 그 내부에 기판 이송 로봇(56)이 배치되는 기판 이송 챔버(54)를 포함한다.
도 2 및 도 3을 참조하면, 한 로트(lot), 즉 25매의 웨이퍼(62)가 수용된 FOUP(52)을 기판 이송 모듈(50)의 제1 로드 포트(58a) 위에 놓은 후, 상기 기판 이송 챔버(54)와 마주 보고 있는 FOUP(52)의 정면 도어(front door)(도시하지 않음)를 오픈시킨다.
상기 기판 이송 모듈(50)의 필터 유닛(59)은 팬(fan)과 필터가 일체화된 팬·필터 유닛(fan filter unit; FFU)으로서, 청정실 필터(75)를 통해 여과된 청정화 공기(80)를 기판 이송 챔버(54) 내부로 다운 플로우(down flow)시키는 역할을 한다. 따라서, 상기 기판 이송 챔버(54)는 필터 유닛(59)으로부터 다운 플로우된 청정화 공기(80)의 조건(온도 23℃, 습도 45%)과 동일한 상온, 상압으로 유지된다.따라서, 상기 FOUP(52)은 오픈된 정면 도어를 통해 기판 이송 챔버(54)와 연결된 상태이므로, 기판 이송 챔버(54)의 청정화 공기(80)가 FOUP(52) 내부에 유입되어 상기 FOUP(52)의 내부도 기판 이송 챔버(54)와 동일하게 상온, 상압으로 유지된다.
이와 같이 FOUP(52)의 정면 도어를 오픈한 상태에서, 한 로트의 웨이퍼(62) 중에서 첫 번째 웨이퍼를 기판 이송 챔버(54)의 기판 이송 로봇(56)을 통해 약 10-3torr의 저진공 상태로 유지되는 제1 로드락 챔버(60a)에 로딩된다. 그런 다음, 이송 챔버(63)의 이송 로봇(64)을 통해 상기 제1 로드락 챔버(60a) 내의 웨이퍼(62)를 대응되는 공정 챔버(66a, 66b, 66c)로 이송한다. 모든 공정 챔버들(66a, 66b, 66c)은 약 10-6torr의 고진공 상태로 유지된다.
상기 공정 챔버(66a, 66b, 66c)에서 건식 식각 공정이 완료된 첫 번째 웨이퍼(62)는 이송 챔버(63)의 이송 로봇(64)을 통해 제2 로드락 챔버(60b)로 이송된다. 계속해서, 상기 첫 번째 웨이퍼(62)는 기판 이송 챔버(54)의 기판 이송 로봇(56)을 통해 제2 로드 포트(58b) 위에 놓여 있는 FOUP(52)으로 이송된 후, 잔여 웨이퍼들에 대한 공정이 완료될 때까지 상기 FOUP(52) 내에서 50분 정도 대기하게 된다.
이러한 단계들을 거쳐 식각 공정이 완료된 모든 웨이퍼들이 FOUP(52) 내에 들어오면, 상기 FOUP(52)의 정면 도어를 닫고 FOUP(52)을 건식 식각 설비로부터 제거한다.
상술한 바와 같이 25매의 웨이퍼들이 수용된 카세트를 저진공 로드락 챔버에직접 로딩하여 상기 카세트가 외부의 청정화 공기로부터 완전히 차단되는 200㎜ 건식 식각 설비에 비해, 종래의 300㎜ 건식 식각 설비에서는 25매의 웨이퍼들이 수용되어 있는 FOUP(52)으로부터 웨이퍼(62)를 한 매씩 기판 이송 모듈(50)을 통해 제1 로드락 챔버(60a)로 이송한다. 따라서, 건식 식각 공정이 완료된 웨이퍼(62)는 FOUP(52)의 정면 도어가 오픈된 상태에서 기판 이송 모듈(50)의 제2 로드 포트(58b) 위에 놓인 FOUP(52) 내에서 기판 이송 챔버(54)를 통해 청정화 공기(80)와 동일한 온·습도 조건에서 대기하게 되므로, 웨이퍼 정체 시간이 발생하게 된다.
다음의 [표 1]은 종래의 200㎜ 건식 식각 설비와 300㎜ 건식 식각 설비의 웨이퍼 흐름을 비교하여 나타낸 것이다.
1 2 3 4 5 6 7 8 9
200㎜ 청정실 로드락 챔버 내카세트 이송 챔버 공정 챔버 이송 챔버 로드락 챔버 내 카세트 청정실
25매 1매씩 1매씩 1매씩 25매
1 atm 10-3torr 10-6torr 10-6torr 10-6torr 10-3torr 1 atm
300㎜ FOUP EFEM 로드락 챔버 이송 챔버 공정 챔버 이송 챔버 로드락 챔버 EFEM FOUP
25매 1매씩 1매씩 1매씩 1매씩 1매씩 1매씩 1매씩 25매
1 atm 1 atm 10-3torr 10-6torr 10-6torr 10-6torr 10-3torr 1 atm 1 atm
상기 [표 1]로부터 알 수 있듯이, 종래의 300㎜ 건식 식각 설비에서는 기판 이송 모듈(EFEM)과 연결되어 상온·상압에서 대기하는 FOUP 내의 웨이퍼들이 한 매씩 로드락 챔버로 이송된다. 따라서, 건식 식각 공정이 완료된 웨이퍼는 FOUP의 정면 도어가 오픈된 상태에서 FOUP 내에서 대기하게 되며, 공정을 먼저 진행한 웨이퍼일수록 FOUP 내에서의 정체 시간이 길어지게 된다.
FOUP 내에서의 정체 시간 동안 웨이퍼들은 기판 이송 모듈을 통해 청정화 공기의 온·습도와 동일한 분위기에 노출되어 있으므로, FOUP 내의 웨이퍼들은 청정화 공기 중의 습기(H2O) 및 오존(O3)과 같은 각종 공기중 분자상 오염물질(airborne molecular contamination: AMC)들에 노출된다. 이때, 웨이퍼의 표면에 잔류하고 있는 식각 가스가 공기 중의 습기와 반응하여 응축(condensation)되는 현상이 발생하고, 응축된 식각 가스가 미립자로 형상화되어 인접 패턴들 간의 브리지(bridge)를 유발하게 된다.
도 4는 건식 식각 후 기판의 정체 시간에 따른 응축 입자 수량을 나타낸 그래프로서, 건식 식각 공정을 완료한 후 후속의 검사(inspection) 설비로 웨이퍼 용기인 FOUP을 이동시킬 때까지의 정체 시간에 따라 웨이퍼 상에 발생한 응축 입자의 수량을 비교한 결과를 나타낸다. 상기 그래프에서, 수평 축은 정체 시간을 나타내고 수직 축은 웨이퍼당 응축 입자수를 나타낸다.
도 4를 참조하면, 정체 시간이 100분 정도 지난 후 웨이퍼 상에서 응축 입자의 수가 급격히 증가하는 것으로 나타났다. 특히, 패턴이 미세화될수록 오존에 의한 자연 산화막의 성장으로 인한 저항 증가, 공정과 공정 사이의 정체 시간에 의한 습기 또는 AMC 등의 흡착으로 인한 게이트 산화막의 열화 등 반도체 소자에 미치는 영향이 가중된다.
또한, 도 4의 측정 결과로부터 상온, 상압 조건에서 FOUP 내에서 대기하게 되는 첫 번째 웨이퍼에서 이러한 응축 현상이 가장 심하게 발생함을 알 수 있다.이러한 응축 현상은 종래의 200㎜ 건식 식각 설비에서도 발생하였으나, 건식 식각 설비로부터 트랙-아웃한 후 후속의 세정 공정까지의 정체 시간을 관리함으로써 이 문제를 해결할 수 있었다. 이에 반하여, 종래의 300㎜ 건식 식각 설비에서는 웨이퍼가 기판 이송 모듈에 로딩될 때부터 정체 시간이 발생하기 때문에, 첫 번째 웨이퍼의 경우 건식 식각 설비로부터 트랙-아웃시키기 전에 50분 정도의 정체 시간이 발생함으로써, 트랙-아웃 이전에 이미 응축 현상이 나타나게 된다.
이와 같이 웨이퍼를 수용하고 있는 FOUP이 상온, 상압의 공기에 의해 오염되는 것을 감소시키기 위하여, FOUP을 직접 저진공 로드락 챔버에 로딩하는 300㎜ 공정 설비가 개발되었다. 그러나, 이것은 FOUP의 크기에 의한 공간의 증가로 인하여 진공 형성 시간이 길어짐으로써 단위 시간당 생산량을 크게 저하시키는 문제가 있다. 따라서, 기판 이송 모듈을 통해 FOUP 내의 웨이퍼들을 한 매씩 로드락 챔버로 이송하는 300㎜ 공정 설비를 사용하는 것이 일반적인 추세이다.
따라서, 본 발명의 제1 목적은 용기 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부로 이송하기 위한 기판 이송 모듈 내부의 오염을 제어할 수 있는 기판 처리 장치를 제공하는데 있다.
본 발명의 제2 목적은 용기 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부로 이송하기 위한 기판 이송 모듈 내부의 오염을 제어할 수 있는 기판 처리 방법을 제공하는데 있다.
도 1은 종래의 200㎜ 웨이퍼용 건식 식각 설비의 평면도이다.
도 2는 종래의 300㎜ 웨이퍼용 건식 식각 설비의 평면도이다.
도 3은 도 2의 설비에 있어서, 기판 이송 모듈을 나타내는 측면도이다.
도 4는 건식 식각 후 기판의 정체 시간에 따른 응축 입자 수량을 나타낸 그래프이다.
도 5는 본 발명의 제1 실시예에 의한 기판 처리 장치의 평면도이다.
도 6은 도 5의 기판 처리 장치에 있어서, 기판 이송 모듈을 나타내는 측면도이다.
도 7은 퍼지 시간에 따른 기판 이송 챔버 및 FOUP의 내부 습도 변화를 나타낸 그래프이다.
도 8은 본 발명의 제2 실시예에 의한 기판 처리 장치의 기판 이송 모듈을 나타내는 측면도이다.
<도면의 주요 부분에 대한 부호의 설명>
100 : 기판 처리 장치102 : 기판 처리부
104 : 기판106a, 106b : 용기
108 : 기판 이송 모듈110 : 기판 이송 챔버
112a, 112b : 로드 포트114 : 기판 이송 수단
116 : 로봇 암118 : 암 구동부
120 : 필터 유닛122a, 112b : 로드락 챔버
124 : 이송 챔버126 : 이송 로봇
128a, 128b, 128c : 공정 챔버130 : 오염 제어부
132 : 가스 공급부134 : 가스 라인
136 : 질량 유량계138 : 가스 순환관
140 : 센서142 : 데이터 수신부
144 : 제어부146a, 146b, 146c : 퍼지 가스
150a, 150b : 도어
152a, 152b, 154a, 154b, 156a, 156b, 156c : 게이트 밸브
상술한 제1 목적을 달성하기 위하여 본 발명은, 복수개의 기판을 수용하도록 형성된 용기; 상기 기판 상에 설정된 공정을 수행하기 위한 적어도 하나의 공정 챔버를 포함하는 기판 처리부; 상기 용기 내의 기판들을 상기 기판 처리부로 이송하기 위한 기판 이송 수단이 그 내부에 배치되어 있는 기판 이송 챔버 및 상기 기판 이송 챔버의 외부에 배치되고 상기 용기를 지지하기 위한 적어도 하나의 로드 포트를 포함하는 기판 이송 모듈; 및 상기 기판 이송 챔버에 연결되고, 상기 기판 이송 챔버에 퍼지 가스를 공급하여 상기 기판 이송 챔버 내부를 퍼지하는 가스 공급부 및 상기 기판 이송 챔버 내부의 퍼지 가스를 재순환시켜 상기 기판 이송 챔버로 공급하기 위한 가스 순환관을 포함하는 오염 제어부를 구비하는 것을 특징으로 하는 기판 처리 장치를 제공한다.
본 발명의 바람직한 실시예에 의하면, 상기 용기는 FOUP이고 상기 기판 이송 수단은 로봇 암(robot arm) 및 암 구동부(arm driving part)를 갖는 로봇이다. 상기 퍼지 가스는 불활성 가스 또는 건식 공기 중의 어느 하나이며, 바람직하게는, 질소(N2) 가스이다.
본 발명의 바람직한 다른 실시예에 의하면, 상기 오염 제어부는 상기 기판 이송 챔버 내부의 온·습도를 검출하기 위한 센서 및 상기 센서에 의해 검출된 기판 이송 챔버의 온·습도가 설정치를 초과하면 상기 퍼지 가스의 공급량을 증가시키고 설정치보다 낮으면 상기 퍼지 가스의 공급량을 감소시키도록 상기 가스 공급부의 동작을 제어하는 제어부를 더 구비한다.
또한, 본 발명의 상술한 제1 목적은, 복수개의 기판을 수용하도록 형성된 용기; 상기 기판 상에 설정된 공정을 수행하기 위한 적어도 하나의 공정 챔버를 포함하는 기판 처리부; 상기 용기 내의 기판들을 상기 기판 처리부로 이송하기 위한 기판 이송 수단이 그 내부에 배치되어 있는 기판 이송 챔버 및 상기 기판 이송 챔버의 외부에 배치되고 상기 용기를 지지하기 위한 적어도 하나의 로드 포트를 포함하는 기판 이송 모듈; 및 상기 기판 이송 챔버에 연결되고, 상기 기판 이송 챔버에 퍼지 가스를 공급하여 상기 기판 이송 챔버의 내부를 퍼지하는 가스 공급부 및 상기 공급된 퍼지 가스를 재순환시켜 상기 기판 이송 챔버 내부로 공급하기 위한 가스 순환관을 포함하는 오염 제어부를 구비하며, 상기 로드 포트 위에 지지되어 있는 상기 용기의 내부가 상기 기판 이송 챔버에 공급된 상기 퍼지 가스로 충전되어 상기 용기 내로 오염 물질들이 유입되는 것을 방지하는 것을 특징으로 하는 기판 처리 장치에 의해 달성될 수도 있다.
상술한 제2 목적을 달성하기 위하여 본 발명은, (a) 복수개의 기판을 수용하고 있는 용기를 지지하기 위한 로드 포트, 상기 기판 상에 설정된 공정을 수행하기 위한 공정 챔버를 포함하는 기판 처리부로 상기 용기 내의 기판들을 이송하기 위한 기판 이송 수단이 그 내부에 배치되어 있는 기판 이송 챔버를 포함하는 기판 이송 모듈의 상기 기판 이송 챔버에 퍼지 가스를 공급하여 상기 기판 이송 챔버의 내부를 퍼지하는 단계; (b) 상기 용기를 상기 로드 포트 위에 로딩하는 단계; (c) 상기 기판 이송 수단을 통해 상기 용기 내의 기판을 상기 기판 이송 챔버로 이송하는 단계; (d) 상기 기판 이송 수단을 통해 상기 기판 이송 챔버 내의 기판을 상기 기판상에 설정된 공정을 수행하기 위한 공정 챔버를 포함하는 기판 처리부로 이송하는 단계; 및 (e) 상기 설정된 공정이 완료된 기판을 상기 기판 이송 수단을 통해 상기 용기 내로 이송하는 단계를 구비하며, 상기 (b)∼(e) 단계 동안 상기 (a) 단계의 퍼지 공정을 계속 진행하고, 이와 동시에 상기 기판 이송 챔버 내부의 퍼지 가스를 상기 기판 이송 챔버에 연결된 가스 순환관을 통해 재순환시켜 상기 기판 이송 챔버로 공급하는 것을 특징으로 하는 기판 처리 방법을 제공한다.
본 발명의 바람직한 실시예에 의하면, 상기 퍼지 공정을 계속 진행하는 동안 기판 이송 챔버 내부의 온·습도를 실시간으로 검출하고, 상기 검출된 온·습도가 설정치를 초과하면 상기 퍼지 가스의 공급량을 증가시키고 설정치보다 낮으면 상기 퍼지 가스의 공급량을 감소시킨다.
본 발명에 의하면, 용기 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부로 이송하기 위한 기판 이송 모듈의 기판 이송 챔버 내부에 질소 가스와 같은 퍼지 가스를 공급 및 재순환(recirculation)시켜 상기 기판 이송 챔버 내부의 습기 및 오존을 포함한 각종 공기중 분자상 오염물질(AMC)들을 제어한다. 따라서, 공정이 완료된 기판들이 용기 내에서 대기하는 동안 습기 및 오염 물질들과 반응하여 응축 입자를 형성하는 것을 방지할 수 있다.
또한, 기판 이송 모듈의 로드 포트 위에 지지되어 있는 용기의 내부가 기판 이송 챔버에 공급된 퍼지 가스로 충전되므로, 후속 공정을 진행하기 위해 용기를 다른 설비로 이동하는 동안 용기의 내부로 외부 대기 중의 습기 및 오염 물질들이 유입되는 것을 차단함으로써, 공정과 공정 사이의 정체 시간 동안 오염 흡착 및 응축 현상을 방지할 수 있다.
또한, 기판 이송 챔버 내부의 온·습도를 실시간 모니터링하여 퍼지 가스의 공급량을 자동으로 조절함으로써, 기판 이송 챔버의 내부 습도를 사용자가 원하는 농도로 제어할 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.
실시예 1
도 5는 본 발명의 제1 실시예에 의한 기판 처리 장치의 평면도이다.
도 6을 참조하면, 본 발명의 300㎜ 건식 식각 설비는 기판 이송 모듈(108), 저진공 로드락 챔버(122a, 122b) 및 기판 처리부(102)로 구성된다.
상기 기판 처리부(102)는 반도체 기판, 예컨대 300㎜ 직경의 웨이퍼(104) 상에 설정된 공정, 예컨대 건식 식각 공정을 수행하기 위한 복수개의 고진공 공정 챔버(128a, 128b, 128c) 및 이송 챔버(124)를 포함한다. 상기 이송 챔버(124) 내의 이송 로봇(126)에 의해 상기 웨이퍼(104)가 로드락 챔버(122a, 122b)와 공정 챔버(128a, 128b, 128c) 사이에서 이송된다.
상기 기판 이송 모듈(108)의 측면도가 도 6에 도시되어 있다.
도 5 및 도 6을 참조하면, 상기 기판 이송 모듈(108)은 그 내부에 기판 이송 수단(114)이 배치되는 기판 이송 챔버(110), 상기 기판 이송 챔버(110)의 외부에 배치되고 웨이퍼(104)들이 수용되어 있는 용기(106)를 지지하기 위한 적어도 하나의 로드 포트(112a, 112b) 및 상기 기판 이송 챔버(110) 내로 외부 공기를 유입시키기 위한 필터 유닛(120)을 포함한다.
바람직하게는, 상기 용기(106)는 FOUP이고 상기 기판 이송 수단(114)은 웨이퍼(104)를 지지하는 로봇 암(116) 및 상기 로봇 암(116)을 구동시켜 상기 웨이퍼(104)를 이동시키기 위한 암 구동부(118)로 이루어진 로봇이다. 상기 필터 유닛(120)은 팬과 필터가 일체화된 팬·필터 유닛(FFU)으로서, 청정화 공기를 기판 이송 챔버(110)의 내부로 다운 플로우시키는 역할을 한다.
본 발명의 기판 처리 장치는 기판 이송 모듈(108)의 외부에 오염 제어부(130)를 구비한다. 상기 오염 제어부(130)는 기판 이송 챔버(110)에 퍼지 가스(146a)를 공급하여 상기 기판 이송 챔버(110)의 내부를 퍼지하는 가스 공급부(132) 및 내부의 퍼지 가스를 재순환시켜 상기 기판 이송 챔버(110)로 공급하기 위한 가스 순환관(138)을 포함한다.
상기 퍼지 가스는 불활성 가스 또는 습기가 제거된 건식 공기(dry air) 중의 어느 하나를 사용하며, 바람직하게는, 질소(N2) 가스를 사용한다.
상기 가스 공급부(132)에는 상기 퍼지 가스를 공급하기 위한 가스 라인(134) 및 상기 퍼지 가스의 유량을 제어하기 위한 질량 유량계(mass flow controller; MFC)(136)가 연결된다.
상기 가스 순환관(138)을 통해 재순환되어 기판 이송 챔버(110)로 공급되는 퍼지 가스(146b)가 상기 기판 이송 챔버(110)의 내부에서 층류(laminar flow)를 형성하도록 상기 가스 순환관(138)은 기판 이송 챔버(110)의 일 측면 하부에서 최상부면으로 신장되어 형성된다. 상기 가스 순환관(138)을 통해 재순환되는 퍼지 가스(146b)는 상기 필터 유닛(120)을 통해 여과되어 상기 기판 이송 챔버(110)로 공급된다.
상기 기판 이송 챔버(110) 내부의 대기(ambient)를 상기 퍼지 가스로 모두 퍼지할 경우, 퍼지 가스의 다량 소모에 의한 원가 상승 문제와 퍼지 가스의 배기 문제가 대두된다. 따라서, 상기 기판 이송 챔버(110)의 전체 부피의 일정량만을 퍼지 가스로 퍼지하고, 내부의 퍼지 가스를 가스 순환관(138)을 통해 재순환시켜 상기 기판 이송 챔버(110)로 공급하며, 여압(pressurizing)에 의해 퍼지 가스의 자연 누설을 유발하는 것이 바람직하다. 여기서, 참조부호 146a는 가스 공급부(132)를 통해 기판 이송 챔버(110)로 공급되는 퍼지 가스를 나타낸다. 참조부호 146b는 가스 순환관(138)을 통해 재순환되어 기판 이송 챔버(110)로 공급되는 퍼지 가스를 나타낸다. 참조부호 146c는 여압에 의해 누설되는 퍼지 가스를 나타낸다.
이하, 상술한 구조를 기판 처리 장치에서의 공정 흐름을 살펴보도록 한다.
로드 포트(112a, 112b) 및 기판 이송 챔버(110)를 포함하는 기판 이송 모듈(108)은 필터 유닛(120)을 통해 청정화 공기가 기판 이송 챔버(110)의 내부로 유입되기 때문에, 설정된 공정, 예컨대 건식 식각 공정을 수행하기 전에 기판 이송 챔버(110)의 내부는 청정화 공기의 온·습도와 동일한 조건, 예컨대 약 23℃의 온도 및 약 45%의 습도의 상온, 상압으로 유지된다.
기판 이송 모듈(108)에 연결된 오염 제어부(130)의 가스 공급부(132)를 통해 상기 기판 이송 챔버(110)에 불활성 가스 또는 건식 공기로 이루어진 퍼지가스(146a), 바람직하게는 질소(N2) 가스를 공급함으로써, 상기 기판 이송 챔버(110) 내부의 습기 또는 오염 물질들을 퍼지한다. 이와 동시에, 상기 기판 이송 챔버(110) 내부의 퍼지 가스를 가스 순환관(138)을 통해 재순환시켜 상기 기판 이송 챔버(110)로 공급한다. 상기 오염 제어부(130)에 의한 퍼지 공정은 모든 웨이퍼(104)들에 대해 설정된 공정, 예컨대 건식 식각 공정이 완료되어 최종 웨이퍼가 FOUP(106) 내로 이송될 때까지 지속적으로 진행된다.
상기 퍼지 공정을 진행하는 동안, 한 로트, 즉 25매의 웨이퍼(104)들이 수용된 FOUP(106)을 기판 이송 모듈(108)의 로드 포트(112a, 112b), 예컨대 제1 로드 포트(112a)에 로딩한다. 그런 다음, 기판 이송 모듈(108)의 기판 이송 챔버(110)와 마주 보고 있는 FOUP(106)의 정면 도어(150a)를 오픈시킨다.
이와 같이 FOUP(106)의 정면 도어(150a)를 오픈하고 퍼지 공정을 지속적으로 진행하는 상태에서, 기판 이송 챔버(110)의 기판 이송 수단(114)에 의해 상기 FOUP(106) 내에 수용되어 있는 웨이퍼(104)들 중에서 첫 번째 웨이퍼를 기판 이송 챔버(110) 내로 이동시킨다. 그런 다음, 기판 이송 챔버(110)와 로드락 챔버(122a, 112b), 예컨대 제1 로드락 챔버(122a) 사이의 게이트 밸브(152a)를 오픈하고, 기판 이송 챔버(110)의 기판 이송 수단(114)을 통해 상기 첫 번째 웨이퍼를 약 10-3torr의 저진공 상태로 유지되는 제1 로드락 챔버(122a)에 로딩한다.
상기 기판 이송 챔버(110)와 제1 로드락 챔버(122a) 사이의 게이트 밸브(152a)를 차단하고, 기판 처리부(102)의 이송 챔버(124)와 제1 로드락챔버(122a) 사이의 게이트 밸브(154a)를 오픈시킨 후 상기 이송 챔버(124)의 이송 로봇(126)을 통해 상기 첫 번째 웨이퍼를 이송 챔버(124)로 이동시킨다.
상기 이송 챔버(124)와 제1 로드락 챔버(122a) 사이의 게이트 밸브(154a)를 차단한 후, 이송 챔버(124)와 공정 챔버(128a, 128b, 128c) 사이의 게이트 밸브(156a, 156b, 156c)를 오픈하고 상기 이송 로봇(126)을 통해 상기 첫 번째 웨이퍼를 공정 챔버(128a, 128b, 128c)로 이동시킨다. 이때, 상기 공정 챔버(128a, 128b, 128c)는 약 10-6torr의 고진공 상태로 유지된다.
상기 이송 챔버(124)와 공정 챔버(128a, 128b, 128c) 사이의 게이트 밸브(156a, 156b, 156c)를 차단한 후, 상기 공정 챔버(128a, 128b, 128c)에서 상기 첫 번째 웨이퍼에 설정된 공정, 예컨대 건식 식각 공정을 수행한다.
상기 공정이 완료되면, 이송 챔버(124)와 공정 챔버(128a, 128b, 128c) 사이의 게이트 밸브(156a, 156b, 156c)를 오픈하고 상기 첫 번째 웨이퍼를 이송 로봇(126)을 통해 이송 챔버(124)로 이동시킨다.
상기 이송 챔버(124)와 공정 챔버(128a, 128b, 128c) 사이의 게이트 밸브(156a, 156b, 156c)를 차단한 후, 상기 이송 챔버(124)와 로드락 챔버(122a, 122b), 예컨대 제2 로드락 챔버(122b) 사이의 게이트 밸브(154b)를 오픈하고 상기 이송 로봇(126)을 통해 상기 첫 번째 웨이퍼를 제2 로드락 챔버(122b)로 이동시킨다.
상기 이송 챔버(124)와 제2 로드락 챔버(122a) 사이의 게이트 밸브(154b)를차단한 후, 기판 이송 챔버(110)와 제2 로드락 챔버(122b) 사이의 게이트 밸브(152b)를 오픈하고 상기 첫 번째 웨이퍼를 기판 이송 수단(114)을 통해 기판 이송 챔버(110)로 이동시킨다. 계속해서, 상기 기판 이송 수단(114)을 구동시켜 상기 첫 번째 웨이퍼를 기판 이송 챔버(110)로부터 로드 포트(112a, 112b), 예컨대 제2 로드 포트(112b)의 FOUP(106) 내부로 이동시킨다. 상기 첫 번째 웨이퍼는 나머지 웨이퍼들에 대한 공정이 완료될 때까지 FOUP(106) 내에서 50분 정도 대기하게 되지만, 상기 FOUP(106)과 연결되어 있는 기판 이송 챔버(110)의 내부가 지속적으로 질소 가스에 의해 퍼지되어 습기 및 오염 물질들이 제거되므로 정체 시간 동안 상기 첫 번째 웨이퍼의 표면에 습기 및 오염 물질들이 흡착되는 것을 방지할 수 있다.
이러한 단계들을 거쳐 식각 공정이 완료된 모든 웨이퍼들이 FOUP(106) 내에 들어오면, 상기 FOUP(106)의 정면 도어를 차단한고 상기 기판 이송 챔버(110)로의 퍼지 가스 공급을 중단한다. 그런 다음, 상기 FOUP(106)을 건식 식각 설비로부터 제거한다. 상기 FOUP(106)은 모든 웨이퍼(104)들에 대한 공정이 진행하는 동안 정면 도어(150a, 150b)가 오픈되어 있는 상태로 로드 포트(112a, 112b) 위에서 대기하고 있기 때문에, 상기 기판 이송 챔버(110)로 공급되는 질소(N2) 퍼지 가스가 상기 FOUP(106)의 내부에 자연스럽게 충전된다. 따라서, 상기 FOUP(106)의 정면 도어를 차단한 다음 후속 공정 설비로 FOUP(106)을 이동시킬 때 상기 FOUP(106)의 내부에 충전되어 있는 퍼지 가스가 외부 대기 중의 습기 및 오염 물질(AMC)들의 유입을차단함으로써 공정과 공정 사이의 정체 시간 동안 웨이퍼(104)의 표면에 습기 및 오염 물질들이 흡착되어 응축 입자를 형성하는 것을 방지할 수 있다.
도 7은 질소 가스로 기판 이송 챔버를 퍼지하는데 있어서, 퍼지 시간에 따른 기판 이송 챔버 및 FOUP의 내부 습도 변화를 나타낸 그래프이다. 상기 그래프에서, 수평 축은 시간을 나타내고 수직 축은 상대 습도(%)를 나타낸다.
도 7에서, ① 영역은 기판 이송 챔버 내에서 퍼지 가스, 예컨대 질소(N2) 가스로 퍼지를 시작하는 단계를 나타낸다. ② 영역은 질소 가스로 퍼지된 기판 이송 챔버의 외부에 배치된 로드 포트 위에 한 로트의 웨이퍼가 수용되어 있는 FOUP을 로딩하는 단계를 나타낸다. ③ 영역은 상기 로드 포트로부터 상기 FOUP을 언로딩하는 단계를 나타낸다. A는 기판 이송 챔버 내부의 상대 습도를 나타내고, B는 FOUP 내부의 상대 습도를 나타낸다.
도 7을 참조하면, 기판 이송 챔버 내에 질소(N2) 가스를 공급하여 퍼지를 수행하는 동안 기판 이송 챔버 내부의 습도는 약 25%에서 약 4%로 감소하였다. 로드 포트 위에 로딩된 FOUP은 오픈된 정면 도어를 통해 기판 이송 챔버 내부의 대기가 계속적으로 유입되기 때문에, 모든 웨이퍼에 대한 공정이 진행하는 동안 FOUP의 내부에 질소 가스가 자연스럽게 충전되어 습도가 약 30%에서 약 6%로 감소하였다.
또한, FOUP의 정면 도어를 차단하고 로드 포트로부터 FOUP을 언로딩한 후 청정실 내에서 FOUP이 정체될 때에도 FOUP의 내부 습도가 외부 습도의 50%까지 증가하는데 1시간 10분 정도가 소요됨을 확인할 수 있었다. 이것은 기판 이송 챔버만질소 가스로 퍼지하여도 로드 포트 위에 로딩되어 있는 동안 FOUP의 내부에 상기 질소 가스가 자연스럽게 충전되기 때문에, FOUP에 대해서도 질소 퍼지 효과가 1시간 10분 정도 지속되는 것을 의미한다. 따라서, 공정과 공정 사이에서 청정실 내에 FOUP이 정체될 때에도 외부 대기 중의 습기 및 오염 물질(AMC)들이 FOUP의 내부로 유입되는 것을 차단할 수 있음을 알 수 있다.
실시예 2
도 8은 본 발명의 제2 실시예에 의한 기판 처리 장치의 기판 이송 모듈을 나타내는 측면도이다.
도 8을 참조하면, 제2 실시예에 의한 기판 처리 장치는 기판 이송 모듈(108)의 오염 제어부를 제외하고는 상술한 제1 실시예와 동일하다.
상기 기판 이송 모듈(108)은 그 내부에 기판 이송 수단, 바람직하게는 기판 이송 로봇(114)이 배치되는 기판 이송 챔버(110), 상기 기판 이송 챔버(110)의 외부에 배치되고 웨이퍼(104)들이 수용되어 있는 용기, 바람직하게는 FOUP(106)을 지지하기 위한 적어도 하나의 로드 포트(112a, 112b) 및 상기 기판 이송 챔버(110) 내로 외부 공기를 유입시키기 위한 필터 유닛(120)을 포함한다.
상기 기판 이송 모듈(108)의 외부에는 오염 제어부(130)가 배치된다. 상기 오염 제어부(130)는 기판 이송 챔버(110)에 질소(N2) 가스와 같은 불활성 가스 또는 건식 공기로 이루어진 퍼지 가스(146a)를 공급하여 상기 기판 이송 챔버(110)의 내부를 퍼지하는 가스 공급부(132) 및 내부의 퍼지 가스를 재순환시켜 상기 기판 이송 챔버(110)로 공급하기 위한 가스 순환관(138)을 포함한다.
또한, 상기 오염 제어부(130)는 상기 기판 이송 챔버(110) 내부의 온도 또는 습도를 검출하는 센서(140), 상기 센서(140)에 의해 검출된 기판 이송 챔버(110)의 온·습도 데이터를 기록하고 상기 데이터를 제어부(144)에 전달하는 데이터 수신부(142) 및 상기 퍼지 가스(146a)의 공급량을 제어하도록 MFC(136)를 제어하는 제어부(144)를 포함한다.
구체적으로, 오염 제어부(130)의 가스 공급부(132)를 통해 기판 이송 챔버(110)에 퍼지 가스(146a)를 공급하여 상기 기판 이송 챔버(110) 내부의 습기 또는 오염 물질들을 퍼지한다. 상기 퍼지 가스(146a)의 공급이 진행되는 동안, 상기 기판 이송 챔버(110) 내부의 퍼지 가스는 가스 순환관(138)을 통해 재순환되어 상기 기판 이송 챔버(110)로 공급된다.
또한, 상기 퍼지 가스(146a)의 공급을 진행하는 동안, 센서(140)를 통해 기 이송 챔버(110) 내부의 온·습도를 실시간으로 측정한다. 측정된 데이터는 데이터 수신부(152)를 통해 제어부(144)로 전달된다. 예를 들어, 사용자가 정한 습도 설정치가 1% 미만이고 습기가 1000ppm∼500ppm 일 때, 기판 이송 챔버(110) 내부의 측정된 습기 농도가 상기 설정치를 초과하면 상기 제어부(144)는 퍼지 가스의 유량을 조절하는 MFC(136)를 제어하여 퍼지 가스의 공급량을 증가시킨다. 또한, 측정된 습기 농도가 상기 설정치보다 낮으면, 상기 제어부(144)는 MFC(136)를 제어하여 퍼지 가스의 공급량을 감소시킨다.
이와 같이 본 발명의 제2 실시예에 의한 기판 이송 모듈(108)은 기판 이송챔버(110) 내부의 온도 또는 습도를 실시간으로 측정하여 퍼지 가스의 공급량을 자동으로 조절함으로써, 기판 이송 챔버(110)의 내부 공기의 습기 농도를 사용자가 원하는 농도로 제어할 수 있다.
상술한 바와 같이 본 발명에 의하면, 용기 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부로 이송하기 위한 기판 이송 모듈의 기판 이송 챔버 내부에 질소 가스와 같은 퍼지 가스를 공급 및 재순환시켜 상기 기판 이송 챔버 내부의 습기 및 오존을 포함한 각종 공기중 분자상 오염물질(AMC)들을 제어한다. 따라서, 공정이 완료된 기판들이 용기 내에서 대기하는 동안 습기 및 오염물질들과 반응하여 응축 입자를 형성하는 것을 방지할 수 있다.
또한, 기판 이송 모듈의 로드 포트 위에 지지되어 있는 용기의 내부가 기판 이송 챔버에 공급된 퍼지 가스로 충전되므로, 후속 공정을 진행하기 위해 용기를 다른 설비로 이송할 때 용기의 내부로 외부 대기 중의 습기 및 외부 오염 물질들이 유입되는 것을 차단함으로써, 공정과 공정 사이의 정체 시간 동안 오염 흡착 및 응축 현상을 방지할 수 있다.
또한, 기판 이송 챔버 내부의 온·습도를 실시간 모니터링하여 퍼지 가스의 공급량을 자동으로 조절함으로써, 기판 이송 챔버의 내부 습도를 사용자가 원하는 농도로 제어할 수 있다.
상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (25)

  1. 복수개의 기판을 수용하도록 형성된 용기;
    상기 기판 상에 설정된 공정을 수행하기 위한 적어도 하나의 공정 챔버를 포함하는 기판 처리부;
    상기 용기 내의 기판들을 상기 기판 처리부로 이송하기 위한 기판 이송 수단이 그 내부에 배치되어 있는 기판 이송 챔버 및 상기 기판 이송 챔버의 외부에 배치되고 상기 용기를 지지하기 위한 적어도 하나의 로드 포트를 포함하는 기판 이송 모듈; 및
    상기 기판 이송 챔버에 연결되고, 상기 기판 이송 챔버에 퍼지 가스를 공급하여 상기 기판 이송 챔버 내부를 퍼지하는 가스 공급부 및 상기 기판 이송 챔버 내부의 퍼지 가스를 재순환시켜 상기 기판 이송 챔버로 공급하기 위한 가스 순환관을 포함하는 오염 제어부를 구비하는 것을 특징으로 하는 기판 처리 장치.
  2. 제1항에 있어서, 상기 기판 이송 수단은 상기 용기 내의 기판들을 한 매씩 상기 기판 처리부로 이송하는 것을 특징으로 하는 기판 처리 장치.
  3. 제1항에 있어서, 상기 용기는 FOUP인 것을 특징으로 하는 기판 처리 장치.
  4. 제1항에 있어서, 상기 기판 이송 모듈과 상기 기판 처리부 사이에 배치된 로드락 챔버를 더 구비하는 것을 특징으로 하는 기판 처리 장치.
  5. 제1항에 있어서, 상기 기판 이송 수단은 상기 기판을 지지하는 로봇 암 및 상기 로봇 암을 구동시켜 상기 기판을 이동시키기 위한 암 구동부를 갖는 로봇으로 이루어진 것을 특징으로 하는 기판 처리 장치.
  6. 제1항에 있어서, 상기 퍼지 가스는 불활성 가스 또는 건식 공기인 것을 특징으로 하는 기판 처리 장치.
  7. 제6항에 있어서, 상기 불활성 가스는 질소(N2) 가스인 것을 특징으로 하는 기판 처리 장치.
  8. 제1항에 있어서, 상기 오염 제어부는 상기 기판 이송 챔버 내부의 온·습도를 검출하기 위한 센서 및 상기 센서에 의해 검출된 기판 이송 챔버의 온·습도가 설정치를 초과하면 상기 퍼지 가스의 공급량을 증가시키고 설정치보다 낮으면 상기 퍼지 가스의 공급량을 감소시키도록 상기 가스 공급부의 동작을 제어하는 제어부를 더 구비하는 것을 특징으로 하는 기판 처리 장치.
  9. 제1항에 있어서, 상기 가스 순환관을 통해 상기 기판 이송 챔버로 공급되는상기 퍼지 가스가 상기 기판 이송 챔버의 내부에서 층류를 형성하도록 상기 가스 순환관은 상기 기판 이송 챔버의 일 측면 하부에서 최상부면으로 신장되어 형성된 것을 특징으로 하는 기판 처리 장치.
  10. 제1항에 있어서, 상기 기판 이송 모듈은 상기 기판 이송 챔버 내로 외부 공기를 유입시키기 위한 필터 유닛을 더 구비하고, 상기 가스 순환관을 통해 재순환되는 퍼지 가스는 상기 필터 유닛을 통해 여과되어 상기 기판 이송 챔버로 공급되는 것을 특징으로 하는 기판 처리 장치.
  11. 복수개의 기판을 수용하도록 형성된 용기;
    상기 기판 상에 설정된 공정을 수행하기 위한 적어도 하나의 공정 챔버를 포함하는 기판 처리부;
    상기 용기 내의 기판들을 상기 기판 처리부로 이송하기 위한 기판 이송 수단이 그 내부에 배치되어 있는 기판 이송 챔버 및 상기 기판 이송 챔버의 외부에 배치되고 상기 용기를 지지하기 위한 적어도 하나의 로드 포트를 포함하는 기판 이송 모듈; 및
    상기 기판 이송 챔버에 연결되고, 상기 기판 이송 챔버에 퍼지 가스를 공급하여 상기 기판 이송 챔버의 내부를 퍼지하는 가스 공급부 및 상기 공급된 퍼지 가스를 재순환시켜 상기 기판 이송 챔버 내부로 공급하기 위한 가스 순환관을 포함하는 오염 제어부를 구비하며,
    상기 로드 포트 위에 지지되어 있는 상기 용기의 내부가 상기 기판 이송 챔버에 공급된 상기 퍼지 가스로 충전되어 상기 용기 내로 오염 물질들이 유입되는 것을 방지하는 것을 특징으로 하는 기판 처리 장치.
  12. 제11항에 있어서, 상기 기판 이송 수단은 상기 용기 내의 기판들을 한 매씩 상기 기판 처리부로 이송하는 것을 특징으로 하는 기판 처리 장치.
  13. 제11항에 있어서, 상기 용기는 FOUP인 것을 특징으로 하는 기판 처리 장치.
  14. 제11항에 있어서, 상기 기판 이송 수단은 상기 기판을 지지하는 로봇 암 및 상기 로봇 암을 구동시켜 상기 기판을 이동시키기 위한 암 구동부를 갖는 로봇으로 이루어진 것을 특징으로 하는 기판 처리 장치.
  15. 제11항에 있어서, 상기 퍼지 가스는 불활성 가스 또는 건식 공기인 것을 특징으로 하는 기판 처리 장치.
  16. 제11항에 있어서, 상기 오염 제어부는 상기 기판 이송 챔버 내부의 온·습도를 검출하는 센서 및 상기 센서에 의해 검출된 기판 이송 챔버의 온·습도가 설정치를 초과하면 상기 퍼지 가스의 공급량을 증가시키고 설정치보다 낮으면 상기 퍼지 가스의 공급량을 감소시키도록 상기 가스 공급부의 동작을 제어하는 제어부를더 구비하는 것을 특징으로 하는 기판 처리 장치.
  17. 제11항에 있어서, 상기 가스 순환관은 상기 기판 이송 챔버의 일 측면 하부에서 최상부면으로 연결되어, 가스 순환관을 통해 상기 기판 이송 챔버로 공급되는 상기 퍼지 가스가 상기 기판 이송 챔버의 내부에서 층류를 형성하는 것을 특징으로 하는 기판 처리 장치.
  18. 제11항에 있어서, 상기 기판 이송 모듈은 상기 기판 이송 챔버 내로 외부 공기를 유입시키기 위한 필터 유닛을 더 구비하고, 상기 가스 순환관을 통해 재순환되는 퍼지 가스는 상기 필터 유닛을 통해 여과되어 상기 기판 이송 챔버로 공급되는 것을 특징으로 하는 기판 처리 장치.
  19. (a) 복수개의 기판을 수용하고 있는 용기를 지지하기 위한 로드 포트, 상기 기판 상에 설정된 공정을 수행하기 위한 공정 챔버를 포함하는 기판 처리부로 상기 용기 내의 기판들을 이송하기 위한 기판 이송 수단이 그 내부에 배치되어 있는 기판 이송 챔버를 포함하는 기판 이송 모듈의 상기 기판 이송 챔버에 퍼지 가스를 공급하여 상기 기판 이송 챔버의 내부를 퍼지하는 단계;
    (b) 상기 용기를 상기 로드 포트 위에 로딩하는 단계;
    (c) 상기 기판 이송 수단을 통해 상기 용기 내의 기판을 상기 기판 이송 챔버로 이송하는 단계;
    (d) 상기 기판 이송 수단을 통해 상기 기판 이송 챔버 내의 상기 기판을 상기 기판 상에 설정된 공정을 수행하기 위한 공정 챔버를 포함하는 기판 처리부로 이송하는 단계; 및
    (e) 상기 설정된 공정이 완료된 상기 기판을 상기 기판 이송 수단을 통해 상기 용기 내로 이송하는 단계를 구비하며,
    상기 (b)∼(e) 단계 동안 상기 (a) 단계의 퍼지 공정을 계속 진행하고, 이와 동시에 상기 기판 이송 챔버 내부의 퍼지 가스를 상기 기판 이송 챔버에 연결된 가스 순환관을 통해 재순환시켜 상기 기판 이송 챔버로 공급하는 것을 특징으로 하는 기판 처리 방법.
  20. 제19항에 있어서, 상기 (c) 단계에서 상기 기판 이송 수단을 통해 상기 용기 내의 기판들을 한 매씩 상기 기판 처리부로 이송하는 것을 특징으로 하는 기판 처리 방법.
  21. 제20항에 있어서, 상기 (e) 단계 후,
    (f) 상기 설정된 공정이 완료된 기판을 제외한 나머지 기판들에 대해 상기 (c)∼(e) 단계들을 반복하는 단계; 및
    (g) 상기 용기를 상기 로드 포트로부터 언로딩하는 단계를 더 구비하는 것을 특징으로 하는 기판 처리 방법.
  22. 제21항에 있어서, 상기 (b) 단계에서 상기 (g) 단계까지 상기 퍼지 공정을 진행하는 것을 특징으로 하는 기판 처리 방법.
  23. 제19항에 있어서, 상기 퍼지 가스는 불활성 가스 또는 건식 공기인 것을 특징으로 하는 기판 처리 방법.
  24. 제22항에 있어서, 상기 불활성 가스는 질소(N2) 가스인 것을 특징으로 하는 기판 처리 방법.
  25. 제19항에 있어서, 상기 퍼지 공정을 계속 진행하는 동안 기판 이송 챔버 내부의 온·습도를 실시간으로 검출하고, 상기 검출된 온·습도가 설정치를 초과하면 상기 퍼지 가스의 공급량을 증가시키고 설정치보다 낮으면 상기 퍼지 가스의 공급량을 감소시키는 것을 특징으로 하는 기판 처리 방법.
KR10-2002-0075458A 2002-11-29 2002-11-29 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법 KR100486690B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR10-2002-0075458A KR100486690B1 (ko) 2002-11-29 2002-11-29 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US10/684,436 US6996453B2 (en) 2002-11-29 2003-10-15 Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module
TW092130812A TWI251258B (en) 2002-11-29 2003-11-04 Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module
DE10353326A DE10353326B4 (de) 2002-11-29 2003-11-14 Substratverarbeitungsgerät und Verfahren zum Verarbeiten eines Substrats unter Steuerung der Kontaminierung in einem Substrattransfermodul
JP2003397403A JP4553574B2 (ja) 2002-11-29 2003-11-27 基板移送モジュールの汚染を制御することができる基板処理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0075458A KR100486690B1 (ko) 2002-11-29 2002-11-29 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법

Publications (2)

Publication Number Publication Date
KR20040047303A true KR20040047303A (ko) 2004-06-05
KR100486690B1 KR100486690B1 (ko) 2005-05-03

Family

ID=32388283

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0075458A KR100486690B1 (ko) 2002-11-29 2002-11-29 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법

Country Status (5)

Country Link
US (1) US6996453B2 (ko)
JP (1) JP4553574B2 (ko)
KR (1) KR100486690B1 (ko)
DE (1) DE10353326B4 (ko)
TW (1) TWI251258B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7065898B2 (en) 2003-02-12 2006-06-27 Samsung Electronics Co., Ltd. Module for transferring a substrate
KR100706250B1 (ko) * 2005-07-07 2007-04-12 삼성전자주식회사 반도체 소자 제조 장치 및 방법
KR100772791B1 (ko) * 2005-11-30 2007-11-01 티디케이가부시기가이샤 기밀 용기의 뚜껑 개폐 시스템
KR100931767B1 (ko) * 2007-03-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 이온 전류와 관련하여 광방사 분광계/잔류 가스 분석기를이용한 방사선 측정
KR101459216B1 (ko) * 2012-11-16 2014-11-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 웨이퍼를 에칭 및 세정하기 위한 시스템, 로딩 포트 및 이용 방법
KR20190123035A (ko) * 2018-04-23 2019-10-31 코스텍시스템(주) 웨이퍼 이송 장치
WO2020112923A1 (en) * 2018-11-30 2020-06-04 Lam Research Corporation Throughput improvement with interval conditioning purging

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4344593B2 (ja) * 2002-12-02 2009-10-14 ローツェ株式会社 ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
JP2005167083A (ja) * 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
US8300666B2 (en) * 2004-10-07 2012-10-30 Cisco Technology, Inc. Inline power-based common mode communications in a wired data telecommunications network
US7096752B1 (en) * 2004-11-02 2006-08-29 Kla-Tencor Technologies Corporation Environmental damage reduction
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US8118535B2 (en) * 2005-05-18 2012-02-21 International Business Machines Corporation Pod swapping internal to tool run time
KR101224454B1 (ko) * 2005-11-01 2013-01-22 엘지디스플레이 주식회사 액정 표시 장치용 리프터 장비
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP2008024429A (ja) * 2006-07-20 2008-02-07 Toshiba Corp 電子装置の製造方法
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
JP4961893B2 (ja) * 2006-08-23 2012-06-27 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
US8021513B2 (en) * 2006-08-23 2011-09-20 Tokyo Electron Limited Substrate carrying apparatus and substrate carrying method
US7921307B2 (en) * 2007-03-27 2011-04-05 Cisco Technology, Inc. Methods and apparatus providing advanced classification for power over Ethernet
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
JP4251580B1 (ja) 2008-01-08 2009-04-08 Tdk株式会社 被収容物搬送システム
JP5190279B2 (ja) * 2008-02-19 2013-04-24 東京エレクトロン株式会社 基板処理装置
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US8186927B2 (en) * 2008-05-27 2012-05-29 Tdk Corporation Contained object transfer system
JP4692584B2 (ja) * 2008-07-03 2011-06-01 村田機械株式会社 パージ装置
JP5268659B2 (ja) * 2009-01-07 2013-08-21 東京エレクトロン株式会社 基板収納方法及び記憶媒体
JP2010165943A (ja) 2009-01-16 2010-07-29 Renesas Electronics Corp 半導体装置の製造方法およびウェハ処理システム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
CN102751392A (zh) * 2011-04-19 2012-10-24 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理装置和晶片处理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101147191B1 (ko) * 2011-11-25 2012-05-25 주식회사 엘에스테크 반도체 공정설비에 사용되는 가스 절감장치
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
CN103187542B (zh) * 2011-12-29 2016-09-07 丽佳达普株式会社 有机发光元件封装装置以及有机发光元件封装方法
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6024980B2 (ja) * 2012-10-31 2016-11-16 Tdk株式会社 ロードポートユニット及びefemシステム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI623994B (zh) * 2013-07-08 2018-05-11 布魯克斯自動機械公司 具有即時基板定心的處理裝置
CN105453246A (zh) 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
JP6573892B2 (ja) 2013-09-30 2019-09-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。
TWI678751B (zh) 2013-12-13 2019-12-01 日商昕芙旎雅股份有限公司 設備前端模組(efem)
KR101878084B1 (ko) * 2013-12-26 2018-07-12 카티바, 인크. 전자 장치의 열 처리를 위한 장치 및 기술
EP3975229A1 (en) * 2014-01-21 2022-03-30 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
JP6291878B2 (ja) 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6287515B2 (ja) 2014-04-14 2018-03-07 Tdk株式会社 Efemシステム及び蓋開閉方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6500498B2 (ja) 2015-02-27 2019-04-17 シンフォニアテクノロジー株式会社 搬送室及び搬送室のケミカルフィルタの湿度管理方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102487805B1 (ko) * 2015-04-28 2023-01-12 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6564642B2 (ja) 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
WO2017038269A1 (ja) * 2015-08-31 2017-03-09 村田機械株式会社 パージ装置、パージストッカ、及びパージ方法
TWI567856B (zh) 2015-09-08 2017-01-21 古震維 具有吹淨功能的晶圓傳送裝置
TWI780030B (zh) * 2015-10-05 2022-10-11 德商布魯克斯Ccs有限公司 形成用於一半導體基板並具有低溼度值的一乾淨的環境的方法及系統
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6555091B2 (ja) * 2015-11-10 2019-08-07 シンフォニアテクノロジー株式会社 ロボット搬送装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10566216B2 (en) * 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102109671B1 (ko) * 2017-09-20 2020-05-18 주식회사 대한 반도체 제조 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI630153B (zh) * 2017-11-07 2018-07-21 京鼎精密科技股份有限公司 晶圓裝卸及充氣系統
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7358044B2 (ja) * 2018-02-09 2023-10-10 東京エレクトロン株式会社 基板処理装置
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP7012558B2 (ja) * 2018-02-26 2022-01-28 東京エレクトロン株式会社 検査装置及び検査装置の動作方法
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
KR102277984B1 (ko) * 2018-10-30 2021-07-15 세메스 주식회사 기판 처리 장치
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR102212996B1 (ko) * 2019-01-02 2021-02-08 피에스케이홀딩스 (주) 기판 처리 장치 및 기판 처리 방법
JP7163199B2 (ja) * 2019-01-08 2022-10-31 東京エレクトロン株式会社 基板処理装置
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6777869B2 (ja) * 2019-03-11 2020-10-28 シンフォニアテクノロジー株式会社 Efem装置
JP7307575B2 (ja) 2019-03-28 2023-07-12 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
TWI737996B (zh) * 2019-05-16 2021-09-01 華景電通股份有限公司 晶圓載具監控系統及其監控方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
CN111952226B (zh) * 2019-05-16 2024-03-26 华景电通股份有限公司 晶圆载具监控系统及其监控方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
JP7181476B2 (ja) * 2020-10-07 2022-12-01 シンフォニアテクノロジー株式会社 Efem装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN112635360A (zh) * 2020-12-16 2021-04-09 华虹半导体(无锡)有限公司 一种降低晶圆上形成凝结物的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220208582A1 (en) * 2020-12-30 2022-06-30 Entegris, Inc. Remote optimization of purge flow rates in a container
JP7154325B2 (ja) * 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TWI764851B (zh) * 2021-02-05 2022-05-11 矽碁科技股份有限公司 微型化半導體製程系統
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7430677B2 (ja) * 2021-09-21 2024-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0756879B2 (ja) * 1988-03-31 1995-06-14 日鉄セミコンダクター株式会社 半導体の無塵化製造装置
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
EP0497281B1 (en) * 1991-01-29 1998-12-30 Shinko Electric Co. Ltd. Wafer airtight keeping unit
JPH04271139A (ja) * 1991-02-27 1992-09-28 Fuji Electric Co Ltd 半導体製造装置
JPH0529437A (ja) * 1991-10-21 1993-02-05 Tokyo Electron Ltd 処理装置
JP2807150B2 (ja) * 1992-08-31 1998-10-08 松下電器産業株式会社 環境制御装置
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
JP3120395B2 (ja) * 1993-03-10 2000-12-25 東京エレクトロン株式会社 処理装置
KR100261532B1 (ko) * 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JPH06340304A (ja) * 1993-06-01 1994-12-13 Tokyo Electron Ltd 筐体の収納棚及び筐体の搬送方法並びに洗浄装置
JPH0846012A (ja) * 1994-05-27 1996-02-16 Kokusai Electric Co Ltd 半導体製造装置
TW315504B (ko) * 1995-03-20 1997-09-11 Tokyo Electron Co Ltd
JP3550627B2 (ja) * 1995-09-12 2004-08-04 株式会社ニコン 露光装置
US5963336A (en) 1995-10-10 1999-10-05 American Air Liquide Inc. Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use
JPH09153533A (ja) * 1995-12-01 1997-06-10 Mitsubishi Electric Corp 半導体ウエハ保管システムおよびそのシステムを使用した半導体装置の製造方式
TW333658B (en) * 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
JP3425592B2 (ja) 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JP3635517B2 (ja) * 1997-10-27 2005-04-06 大日本スクリーン製造株式会社 クリーンルーム内の基板保管装置
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100283425B1 (ko) * 1998-09-24 2001-04-02 윤종용 반도체소자의금속배선형성공정및그시스템
WO2000028587A1 (fr) * 1998-11-09 2000-05-18 Tokyo Electron Limited Dispositif de traitement
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7065898B2 (en) 2003-02-12 2006-06-27 Samsung Electronics Co., Ltd. Module for transferring a substrate
KR100706250B1 (ko) * 2005-07-07 2007-04-12 삼성전자주식회사 반도체 소자 제조 장치 및 방법
KR100772791B1 (ko) * 2005-11-30 2007-11-01 티디케이가부시기가이샤 기밀 용기의 뚜껑 개폐 시스템
KR100931767B1 (ko) * 2007-03-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 이온 전류와 관련하여 광방사 분광계/잔류 가스 분석기를이용한 방사선 측정
KR101459216B1 (ko) * 2012-11-16 2014-11-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 웨이퍼를 에칭 및 세정하기 위한 시스템, 로딩 포트 및 이용 방법
US9136149B2 (en) 2012-11-16 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Loading port, system for etching and cleaning wafers and method of use
US9583352B2 (en) 2012-11-16 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of etching and cleaning wafers
KR20190123035A (ko) * 2018-04-23 2019-10-31 코스텍시스템(주) 웨이퍼 이송 장치
WO2020112923A1 (en) * 2018-11-30 2020-06-04 Lam Research Corporation Throughput improvement with interval conditioning purging

Also Published As

Publication number Publication date
TWI251258B (en) 2006-03-11
US20040105738A1 (en) 2004-06-03
TW200410305A (en) 2004-06-16
KR100486690B1 (ko) 2005-05-03
DE10353326A1 (de) 2004-06-24
US6996453B2 (en) 2006-02-07
JP4553574B2 (ja) 2010-09-29
JP2004311940A (ja) 2004-11-04
DE10353326B4 (de) 2007-09-06

Similar Documents

Publication Publication Date Title
KR100486690B1 (ko) 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US7065898B2 (en) Module for transferring a substrate
KR100453090B1 (ko) 처리장치및처리장치내의기체의제어방법
US6491045B2 (en) Apparatus for and method of cleaning object to be processed
EP0833375B1 (en) Apparatus for and method of cleaning objects to be processed
US5445491A (en) Method for multichamber sheet-after-sheet type treatment
US6009890A (en) Substrate transporting and processing system
US8927435B2 (en) Load lock having secondary isolation chamber
JP3880343B2 (ja) ロードポート、基板処理装置および雰囲気置換方法
KR100706250B1 (ko) 반도체 소자 제조 장치 및 방법
US9272315B2 (en) Mechanisms for controlling gas flow in enclosure
CN111788667A (zh) 具有工厂接口腔室过滤器净化的基板处理设备及方法
JPH05218176A (ja) 熱処理方法及び被処理体の移載方法
US20050274430A1 (en) Stocker for semiconductor substrates, storage method therefor and fabrication method for semiconductor device using the stocker
KR100739969B1 (ko) 도포 현상 처리 방법 및 도포 현상 처리 시스템
KR20040064326A (ko) 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치
KR20080060781A (ko) 건식 식각 장치 및 방법
JP2004165331A (ja) 局所クリーン化搬送室および局所クリーン化処理装置
KR20230034458A (ko) 기판 처리 장치
KR20230111298A (ko) Efem의 기류 안정화 배기장치 및 이를 구비한 반도체 공정장치
JP2023031968A (ja) 基板処理装置及び基板処理方法
KR20060114980A (ko) 반도체 식각 장치 및 식각 방법
KR20180133087A (ko) 기판 용기 장착 장치
KR20050093892A (ko) 밀폐형 자재 저장용기의 불활성기체 충진장치
KR20060129813A (ko) 웨이퍼 이송 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120402

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee