TW540114B - Substrate cleaning apparatus and method - Google Patents

Substrate cleaning apparatus and method Download PDF

Info

Publication number
TW540114B
TW540114B TW090130568A TW90130568A TW540114B TW 540114 B TW540114 B TW 540114B TW 090130568 A TW090130568 A TW 090130568A TW 90130568 A TW90130568 A TW 90130568A TW 540114 B TW540114 B TW 540114B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
patent application
scope
item
Prior art date
Application number
TW090130568A
Other languages
English (en)
Inventor
Haojiang Chen
James S Papanu
Mark Kawaguchi
Harald Herchen
Jeng H Hwang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW540114B publication Critical patent/TW540114B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

經濟部智慧財產局員工消費合作钍印製 540114 A7 B7 五、發明説明() 發明領域: 本發明係與潔淨一基材有關。 發明背景: 積體電路之製造過程中,主動式或被動式元件係經 由選擇性沉積及蝕刻介電、半導體、導電材料層(例如: 二氧化矽、多晶矽、金屬化合物及合金)以形成於一基材 (例如:一半導體晶圓)上。利用該基材上的光阻層及/或 氧化物硬式罩幕層,這些層可被蝕刻以形成一蝕刻特徵 圖案,並採用微影技術或能量化氣體曝光該光阻層或圖 案化該光阻層。該些層中鄰接該圖案化光阻特徵的部位 受到蝕刻,以形成預先界定之閘道圖案、介電孔、接觸 孔、渠溝、及/或金屬内連線。典型之蝕刻方式係使用含 鹵素氣體之電容式或感應式耦合電漿,如Wolf及Tauber 所著之 Silicon Processing for the VLSI Era 第一冊第 6 章中的範例,並在此處併入該範例作為參考資料。 蝕刻處理可能在基材上遺留光阻殘留物及蝕刻性殘 留物。光阻殘留物係指不受蝕刻氣體的蝕刻作用,而在 蝕刻處理後依然在基材上的光阻殘留部位。而蝕刻性殘 留物可至少包含位於蝕刻特徵結構之側壁上的殘留物質 及/或側壁沉積物。蝕刻物質可使含i素之蝕刻氣體吸附 於蝕刻特徵結構上,因此可能使含金屬之特徵結構發生 腐蝕行為。側壁沉積物可經由蝕刻過程中的蝕刻氣體、 含金屬之層、及光阻間的反應而形成;及藉由特徵結構 第4頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先聞讀背面之注意事項再填寫上一貝)
540114 經濟部智慧財1局g V一消費合作社印货 A7 B7五、發明説明() 之侧壁上的反應殘留物聚集而形成。潔淨一經蝕刻基材 之方法中,一乾式蝕刻方法於剝除(或灰化)處理中使用 一氣體電漿以移除基材上的光阻殘留物,並於鈍化過程 中移除含齒素之殘留物質或使含齒素之殘留物質不具活 '陘。基材上的側壁沉積物典型上以濕式化學蝕刻方法加 以移除,或施以一乾式潔淨處理以移除至少一部分的該 側壁沉積物。 傳統剝除方法使用由氧氣、氮氣或水蒸氣組成之電 漿以剝除基材上的殘留光阻。這些傳統剥除技術有時無 法完全有效移除基材上的光阻,特別在光阻聚合物暴露 於一電漿中而產生硬化時,更加無法完全移除基材上的 光阻。使用氧化物硬式罩幕光阻時,該氧化層能被剥除 或遺留於基材上。典型上,殘餘的氧化物硬式罩幕係遺 留於基材上,而介電層於後續處理步驟中沉積至該殘餘 氧化物上。此外,充分的剥除處理通常亦會使製程產出 量下降。剥除處理也會使側壁沉積物硬化,而無法輕易 移除該沉積物。 鈍化技術中,基材上的蝕刻性殘留物被移除或使該 蝕刻性殘留物不具活性,係藉由使用鈍化氣體(例如:氨 氣及/或水蒸氣)減少後續蝕刻所造成的腐蝕問題。傳統鈍 化技術在使用上有其限制,其一限制為暴露基材於周圍 濕氣後,傳統鈍化技術通常只能在短時間範圍(典型上約 為1至5小時之間)内防止基材的後續蝕刻腐蝕行為。然 而,利用上不希望只取得短時間範圍的腐蝕阻抗,因為 第5頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先¾讀背面之注意一爭項再填寫本頁) ---批衣 訂 線—— 540114 A7 B7 五、發明説明() (請先M讀背云之注*事項再填寫本\貝) 基材首次暴露於周遭氣氛後,後續蝕刻之處理步驟可能 需要執行1至2小時,因而導致生產排程緊湊或彈性不 佳之情事。基材因此經常無法進行蝕刻處理,或基材如 果已經蝕刻則不能移出處理室以避免產生腐蝕行為。 由於傳統乾式潔淨處理具有諸多缺點,光阻殘留物 及蝕刻性殘留物的移除通常採用濕式潔淨處理,以暴露 基材於濕式溶劑中。然而,濕式潔淨處理也具有諸多缺 點。舉例而言,採用濕式潔淨處理通常無法完全移除殘 留物,因而無法連續處理基材。此外,溶劑可能會攻擊 基材上的含金屬之特徵結構,基材上存在尺寸非常小的 含金屬之特徵結構時特別會受到攻擊。此外,所使用溶 劑價格亦可能非常昂貴並可能產生有害而不易處理的化 學物質。 因此,提出一種處理一基材(例如:移除基材上的光 阻殘留物及蝕刻性殘留物)之製程及設備,以期能進一步 減少後續蝕刻之腐蝕行為並於潔淨一基材時可減少暴露 基材至一濕式溶劑中的需求,確實有其必要性。 經濟部智慧財1局肖工消f合作社印^ 發明目的及概述: 本發明之目的係用以滿足下列需求。本發明之一實 施例中,一處理一基材之方法至少包含暴露一基材至一 能量化製程處理氣體(process gas)中,以蝕刻該基材;暴 露該基材至一能量化之潔淨氣體中;及在暴露該基材至 一能量化之潔淨氣體之前、期間或之後,暴露該基材於 第6頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 540114 A7 B7 五、發明説明() 一至少包含一含1¾素物質及一含氫物質的能量化處理氣 體(treating gas)中。 (請先閱讀背云之洼意事項再填寫本一貝) 本發明之另一態樣中,一處理一基材之方法至少包 含暴露一基材至一能量化製程處理氣體中,以蝕刻該基 材;及暴露該基材於一至少包含一含氯物質的能量化處 理氣體中。 本發明之另一態樣中,一處理一基材之方法至少包 含暴露一基材至一能量化製程處理氣體中,以蝕刻該基 材;及暴露該基材於一至少包含一含氣物質及一含氫物 質的能量化處理氣體中。 本發明之另一態樣中,一處理一經蝕刻基材的方法 至少包含暴露該經蚀刻基材於一至少包含一第一卣素物 質的能量化製程處理氣體中,及暴露該經蝕刻基材於一 至少包含一第二函素物質的能量化製程處理氣體中。 本發明之另一態樣中,一潔淨一經蝕刻基材的方法 至少包含暴露一基材於一潔淨氣體中,而後,暴露該基 材於一至少包含NH3及H2之一或多者的能量化處理氣體 中 〇 經濟部智慧財1局員工消費合作钍印t 本發明之另一態樣中,一處理一基材之方法至少包 含暴露該基材於一能量化製程處理氣體中,以在一處理 區中蝕刻該基材:及暴露該基材於該處理區之一能量化 處理氣體中。 本發明之另一態樣中,一處理一經触刻基材的方法 至少包含在維持該基材溫度至少約200°C的同時,暴露該 第7頁 本紙張尺度適用中國國家標準(CNS ) Μ規格(210X297公釐) 540114 Λ7 B7 五、發明説明() 經餘刻基材於一至少包含一含氧物質的能量化製程處理 氣體中;及使用一處理氣體以處理該基材,並浸潰該基 材於去離子水中以移除該基材上的蝕刻性殘留物。 圖式簡單說明: 本發明之特徵、態樣及優點經由下文說明之實施例、 所附申請專利範圍、及說明本發明實施例的圖式,將更 能被輕易了解。應當了解的是,本發明中的任一特徵並 不侷限於某些圖式中的内容,本發明至少包含任何該些 特徵的組合,其中: 第1 a圖所示為一基材之簡易側視圖,一含金屬之層位於 該基材上; 第1 b圖所示為第1 a圖中的基材經由蝕刻後所得的簡易 側視圖; 第2圖所示為一多處理室設備的簡易示意圖,該多處理 室具有一蝕刻處理室及一潔淨處理室; 第3圖所示為一蝕刻處理室之簡易側視圖; 第4圖所示為一潔淨處理室之簡易側視圖; 第5 a及5 b圖所示為說明基材潔淨處理之流程圖; 第6 a及6b圖所示為說明其他基材潔淨處理實施例之流 程圖;及 第7 a至7 c圖所示為說明其他基材潔淨處理實施例之流 程圖。 第頃 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (请先¾讀背会之注意旱項再填寫本一貝 装 竦 經濟部智慧財產局員工消費合作社印說 540114 A7 B7 涇濟部智慧財產局員工消費合作社印¾ (請先閎讀背面之注意事項弄4寫本頁) 裝 訂 線 五、發明説明() 圖號對照說明: 30 基材 40 擴散阻障材料 5 0 抗反射材料 67 特徵結構 70 蝕刻性殘留物 7 5 殘留物質 1 1 0 蝕刻處理室 1 2 5 轉送處理室 1 3 5 機器人手臂 1 4 5 處理區 155 凹槽 、162 氣體源 1 6 5 感應線圈 1 7 5 陰極 185 電極電源供應器 1 9 5 排放系統 210 支撐座 2 1 5 潔淨處理區 225 遠端電漿產生區 2 4 0 排放系統 25 0質量控制器或氣 260 微波發熱電極 270 磁控微波產生器 3 5 子層 45 導電材料 65 隙縫 60 光阻殘留物 80 侧壁沉積物 100 多處理室設備 1 2 0 潔淨處理室 1 3 0 真空阻絕處理室 140 支撐座 1 5 0 機械或靜電夾具 160 氣體供應器 164 氣體入口 170 線圈能量供應器 1 8 0 陽極 1 9 0 頂篷 200 節流閥 2 1 2靜電夾具 222 氣體分散器 2 3 0 遠端處理室 245 節流閥 閥門 2 5 5微波產生器 265 微波調節裝置 第9頁 本紙張尺度適用中國國家標準(CNS ) A4規格(2i0X 297公釐) 540114 A7 B7 五、發明説明() 發明詳細說明: (請先閱讀背奇之注意Η ·項再填寫本一貝) 本發明之製程及設備能有效處理如半導體晶圓之基 材,也能有效應用於印刷電路板、平面顯示器、及液晶 顯示器之他種基材的製程或處理。因此,本發明實施例 之說明並非用以限定本發明範圍。 經濟部智慧財產局員工消費合作社印製 本發明的一實施例中,可處理一基材3 0,例如第1 a 圖所示,在子層(substraum)35上有一或多種材料,如數 字標示40,45,50所表示之層形於其上。該些層通常彼此 向上堆疊,該些層可至少包含介電層、半導體層、及導 電層。舉例而言,介電層至少包含二氧化碎、未掺雜之 矽酸鹽玻璃、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃 (BPSG)、氮化矽(Si3N4)、或沉積TEOS之玻璃;半導體 層至少包括含碎之層’例如’·多晶碎或秒化物;及導電 層,例如含金屬之層至少包含:鋁、銅、鈦、氮化鈦, 或如矽化鎢及矽化鈷之金屬矽化物。舉例而言,適用於 蝕刻位於基材 30上的層的蝕刻氣體包含:HC1、BC13、 HBr、Br2、Cl2、CC14、SiCl4、SF0、F2、NF3、HF、CF3、 CF4、CH3F、CHF3、C2H2F2、C2H2F4、C2H4F2、C2F6、C3F8、 C4F8、C2HF5、C4F10、CF2C12、CFC13、〇2、N2、He、及 上述氣體之混合物。該蝕刻氣體於蝕刻某些層及材料時 加以選用,以提供高蝕刻速率及高蝕刻選擇率。當依序 蝕刻多層時,具有第一、第二、第三…等成分之多組成 蝕刻氣體可依序導入處理室中,以蝕刻任一特定層。 第1 a圖所示為基材3 0之一實施例,其中有材料形 第10頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) 540114 Λ7 B7 五 毯濟部智¾財產局員工消費合作社印t 發明説明 成於一子層 35上。子溫 于層3 5可包含半導體材料,例如: 矽、砷化鎵及此類材料 ^何针。位於子層3 5上的材料可至少包 含(i) 一擴散阻障材料^ , 〇、(11) 一導電材料45、及(Η!) — 抗反射(anti-reflectiVe、鉍扯 ^ t , ve)衬枓)〇。舉例而言,擴散阻障材 料至y包含· ^氧化矽、有機抗反射層(arc)、鈦、鎢、 鈦-鎢合金、4氮化鈦;導電材料至少包含鈦、鎢、鋁、 鋼、相似材料或上述材料之合金,及或矽;而抗反射材 料至少包含:矽、氮化鈦 '鈦鎢合金。亦可在擴散阻障 層40下方提供一諸如Si〇2的一種氧化物層(未顯示)。一 您圖案化的抗姓刻光阻材料6 〇,例如:聚合物光阻、或 抗電子束光阻則位於該些層上方。可供應蝕刻性氣體以 餘刻該些層的暴露部位,以形成延伸事透該些層之一或 多層的隙缝6 5,例如··線狀、渠溝或通道之縫隙6 5,並 在基材3 0上形成特徵結構6 7,如第1 b圖所示。 蝕刻處理後,仍然位於基材3 〇上的光阻殘留物60 及蝕刻性殘留物7 0可經由一或多道潔淨處理’以提供大 體上抗腐蝕之潔淨基材,以供後續處埋之用。光阻殘留 物6 0為經由蚀刻處理後,仍然位於基材3 0上的殘留材 料部分。對於用以蝕刻基材3 0上的一或多層底層材料的 蝕刻性氣體而言,光阻殘留物6 0包含任何具有相當程度 阻抗蝕刻性氣體的材料。在一實施例中,光阻殘留物60 至少包含殘留的光阻聚合物或氧化物硬式罩幕。適用的 光阻聚合物材料係購自Shipely公司、Marboro公司、 Massachusetts公司或位於東京橫濱市之T〇ky〇 〇hka 第11頁 本紙張尺度通用中國國家標準(CNS ) A4規格(210X 297公釐) (請先聞讀背面之注意事項再填寫太頁) 裝 訂 ml - 1 I If --. I - i ·1·· 1 —!ι— I 署 is-11-— -- i ' 線 540114 A7 B7 經濟部智慧財產局p'x消費合作钍印¾ 五、發明説明() Kogyo (TOK)公司。位於該特徵結構上的蝕刻性殘留物70 可至少包含:(i) 殘留物質 75,舉例而言:可為殘留的 鹵素(如:氯或氟)自由基、含金屬之物質(如:一或多個 金屬氧化物)、或蝕刻處理後殘留的自素原子團與仍然位 於基材3 0上的蝕刻層中的金屬反應所形成的副產物化合 物;及/或(ii) 蝕刻過程中形成於經蝕刻特徵結構 67之 側壁上的側壁沉積物 80。該側壁沉積物可至少包含:(i) 包含碳及氫的有機化合物、(ii) 含金屬(例如··鋁或鈦) 之層所形成的金屬、及(iii)蝕刻性氣體(例如:氯、溴、 及/或氮)物質。側壁沉積物 8 0的組成及化學劑量比則端 視被蝕刻材料的化學組成、光阻材料 60、及用以蝕刻該 特徵結構6 7的蝕刻性氣體而定。在一實施例中,蝕刻性 殘留物70至少包含殘留物質75及側壁沉積物80二者。 該殘留物質可至少包含A1203、Ti〇2、Cu〇、及Si〇2之一 或多者,而側壁沉積物則至少包含碳、氫、氮之一或多 者;及齒素、氧、碎、及金屬之一或多者。藉由「潔淨 處理(c leaning)」即意謂基材 3 0上的殘留光阻、殘留物 質、及側壁沉積物之一或多者至少部分被移除或非活性 請參照第2圖,基材3 0可於一多處理室設備10 0中 進行蝕刻及潔淨處理。其中,該多處理室設備1 〇〇至少 包含一或多個姓刻處理室11 0,以蚀刻基材3 0 ;及一或 多個潔淨處理室1 20,以移除殘留光阻60及/或移除或非 活性化經由蝕刻處理後仍然位於基材3 0上的蝕刻性殘留 第12頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之^意事項再填寫太頁 裝 訂 —線 540114 A7 _ B7 __ 五、發明説明() 物70。蝕刻處理室1 1〇、潔淨處理室120、轉送處理室125、 真空阻絕處理室1 3 0可於一真空密閉環境中内部相互連 結,並可維持低壓以減少基材3 0的污染。在處理過程中, 一機器人手臂1 3 5將真空阻絕處理室1 3 〇中的基材3 0轉 送至一轉送處理室125,並隨後轉送至製程處理室之一 者中。 基材3 0可於一製程處理室1 1 0中進行蝕刻處理,如 購自應用材料公司之DSP型金屬蝕刻Centura處理室(DSP type Metal Etch Centura chamber)。此處所使用的製程處 理室11 0實施例僅用於說明,並非用以限定本發明範圍。 製程處理室1 10至少包含一支撐座 140,該支撐座 140 位於該處理室1 1 〇的處理區1 4 5内。基材3 0可藉由機器 人手臂1 3 5而置放至支撐座140上。蚀刻處理過程中, 供應一冷卻氣體(例如:氦氣)以控制基材3 0的溫度,而 基材30可藉由一具有凹槽155的機械或靜電夾具150, 而固持於一適當位置。 基材處理過程中,處理室1 10可維持於低壓,且處 理氣體可經由一具有一氣體源1 62及位於基材周圍附近 處的氣體入口 164之氣體供應器160,而導入至處理室110 中。此外,氣體散佈喷嘴頭(未顯示)可設置於基材3 0上 方。處理氣體可藉由一氣體增能器而具有能量,該氣體 增能器耦合一能量化電磁場(例如:非感應式、電容式、 或微波)至處理區14 5中。第3圖所示的一實施例中,一 鄰接於製程處理室1 1 〇的感應線圈1 6 5藉由操作一線圈 第13頁 適中國國家標準(CNS ) A4規格(210X 297公釐) "" ~ ~ (請先聞讀背圣之注*事項苒填寫本一貝.) --装 線 經濟部智慧財產局員工消費合作社印製 540114 Α7
經濟部智慧財產局員工消费合作社印¾ 月匕里供應备17 0以獲得能量,例如:經由操作電源功率 位卓約為200瓦至約2〇〇〇瓦而產生的射頻(RF)電壓,並 於處理110中形成一感應電場。此外,一電容式電場 也可形成於處理室110中。其中,支撐座丨4〇的至少一 4伤可具導電性以作為一陰極丄7 5。陰極丨7 5與可作為 陽極1 80的一電性接地處理室n 〇側壁相互連接而在處 理區145中形成處理電極,因此可在處理區145以電容 耦合方式激發處理氣體。陰極丨7 5可經由操作一電極電 源供應器1 8 5以獲得能量,例如:經由操作電源功率位 率約為10瓦至約1 〇〇瓦而產生的射頻(RF)電壓。該電容 式電場大體上與基材30的平面垂直,並可加速電漿物質 朝向基材3 0移動,以提供更趨於垂直方向的非等向性蝕 刻基材方式。施加於處理電極17〇, 180、及/或感應線圈165 的RF電壓之頻率範圍約5 00kHz至約60MHz,更特定而 言約為1 3.5 6 MHz。在一實施例中,陰極1 7 5也為一位 於靜電夾具150的一介電區中的電極。 製程處理室1 1 0之頂篷1 9 0 ’其形狀能為平坦或正 方形、拱形、圓錐形、圓頂形、或多半徑之圓頂形。在 一實施例中,覆蓋製程處理室之至少一部份的感應線圈 1 6 5 ’其為一具有「平坦」圓頂形之多半徑圓頂形,使電 漿電源功率更能有效率應用並增加正對於基材3 0中心上 方的電漿離子通量均勻性。 當電容效應產生時,形成於處理區145的電漿也可 使用磁性增益反應器(未顯示)而增強,其中一磁場產生 第Η頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背Vg之:>1{意事項再填寫本一貝) 裝 訂 淥 540114 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本f ) 器(例如:永久性磁鐵或電磁性線圈)應用於處理區 1 4 5 之磁場中,以增加電漿密度及均勾性。該磁場可至少包 含一轉動磁場,該轉動磁場之轴向轉動平行於基材30之 平面,如美國專利申請案第4,842,6 83號所揭露之内容, 在此併入該案全部揭露内容以作為參考資料。 使用過的處理氣體及蝕刻性殘留物經由一具有維持 製程處理室1 1 0於低壓狀態的排放系統 1 9 5,而排放出 製程處理室 1 1 0。其中,一節流閥 2 0 0提供至該排放系 統中,以控制處理室 1 10的壓力。此外,可使用一光學 終點量測系統(未顯示)以量測方式決定某一層之蝕刻處 理的完成,例如:經由一可偵測到氣體物質或其他干涉 技術,量測某一波長對應的光激發變化。 經濟部智慧財產局員工消旁合作·社印η 為執行製程處理室 11 〇中的一蝕刻處理,一至少包 含蝕刻性氣體的能量化處理氣體可提供至處理區 145 中。經由「能量化處理氣體」,意謂活化或施加能量於處 理氣體以形成解.離物質、非解離物質、離子物質、及中 性物質之一或多者。可選擇蝕刻性氣體之組成,以針對 某一層或正被蝕刻之該些層提供高蝕刻速率及高蝕刻選 擇率。独刻含金屬之材料(例如:導電材料4 5)時,該# 刻性氣體可至少包含一或多種含鹵素之氣體,例如:C12、 BC13、CC14、SiCl4、CF4、NF3、SF6、Br2、HBr、ΒΒγ3、 CHF3、C2F2、及此類蝕刻性氣體之一或多者;並選擇性 使用一或多種添加氣體,例如:惰性氣體或不反應氣體, 如:H2、N2、02、He-02、及此類氣體。一示範處理過程 第15頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 540114 Α7 Β7 五、發明説明() 中’抗反射材料5 〇係藉由暴露基材3 0至一能量化製程 處理氣體中而受到蝕刻,其中該能量化製程處理氣體至 少包含姓刻性氣體,該蝕刻性氣體更包含在壓力约為8 毫托耳、電源功率位準約1 6 〇 0瓦、偏壓功率位準約1 4 5 瓦、背向氦氣壓力约4托耳、及陰極溫度約50°C的處理 條件下所得流量約90 seem之CI2及約30 seem之BC13。 而後,導電材料4 5可藉由暴露至一能量化製程處理氣體 中而受到蚀刻,其中該能量化製程處理氣體至少包含蝕 刻性氣體’該蝕刻性氣體更包含在壓力約14毫托耳、 電源功率位準約1 600,瓦、偏壓功率位準約150瓦、背向 氦氣壓力約8托耳、及陰極溫度約5 〇 °c之處理條件下所 得流量約80 seem之Cl2、約5 seem之BC13、及約1〇 seem 之CHF·3。而後’擴散阻障層 40及選擇氧化層之一部份 可藉由導入一能量化製程處理氣體而受到蝕刻,其中該 能量化製程處理氣體至少包含蚀刻性氣體,該姓刻性氣 體更包含在壓力約1 〇毫托耳、電源功率位準約1 600瓦、 偏壓功率位準約12 5瓦、背向氦氣壓力約8托耳、及陰 極溫度約5 0 °C之處理條件下所得流量約3 0 seem 之 Cl2、 約 5 seem 之 BC13、及約 30 seem 之 N24 Ar。 触刻處理完成後,可解除基材3 0之夾附狀態並將基 材從支撐座140抬升,舉例而言:使用氣動式抬升設備 以提升抬升栓(未顯示),使基材3 0從支撐座1 40表面抬 升。機器人輸送手臂135可置入基材30與支撐座140之 間’以抬升基材3 0離開抬升栓。而後,該抬升栓縮回至 第16頁 本紙浪尺度適用中國國家標準(CNS ) Μ規格(210乂 297公釐) (請先閱讀背面之注意事項再填寫本頁) 装— 線 經濟部智慧財產局R工消費合作社印¾ 經濟部智慧財產局g(工消費合作社印焚 540114 A7 B7 五、發明説明() 支撐座 1 4 0中,而機器人手臂1 3 5 輸送基材3 0離開蝕 刻處理室110並進入轉送處理室125中。 為移除蝕刻性殘留物7 0及殘留光阻7 6,可將基材3 0 從轉送處理室1 2 5轉送至潔淨處理室1 2 0,如第4圖所 示購自應用材料公司的先進式剝除及純化(Advanced Strip and Passivation; ASP )處理室。此處所示之潔淨處 理室 12 0僅用以說明本發明製程,非用以限定本發明範 圍,因其他處理室(包含第3圖所示的製程處理室110)也 能潔淨基材3 0。基材3 0藉由機器人手臂13 5而置放於 支撐座 210上,且在處理過程中可選用機械式或靜電式 夾具2 1 2支托於一適當地點。 第4圖之潔淨處理室類型中,一能量化處理製程氣 體至少包含潔淨氣體,該潔淨氣體係提供以潔淨處理區 2 1 5中支托於支撐座2 1 0上的基材3 0。支撐座2 1 0支撐 位於處理區215中的基材30,且至少可選用一靜電夾具 2 1 2。支撐座 2 1 0内部或下方的一加熱源(例如:一紅外 線照射器220)能加熱基材3 0。至少包含潔淨氣體的該製 程處理氣體可由一氣體分散器222而導入至一遠端處理 室230的一遠端電漿產生區225中。「遠端」一詞係指遠 端處理室2 3 0之中心位於潔淨處理室1 2 0之處理區2 1 5 中心的固定上流處。遠端處理室2 3 0中,該潔淨氣體在 經由一擴散器(例如:一喷嘴頭擴散器)而導入處理區2 1 5 之前,該潔淨氣體受到耦合微波或射頻能量的活化而獲 得能量進入遠端處理室230,使其組成物產生離子化或 第17頁 本紙張·尺度通用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背云之注意事項再填寫.f貝)
540114 A7 B7 五、發明説明() 解離。此外,該製程處理氣體也可在處理區2 1 5中獲得 能量。潔淨處理室1 2 0中使用過的潔淨氣體及殘留物可 經由一使該處理室達成低壓狀態的排放系統240加以排 放。排放系統240中的一節流閥245係用以維持處理室 壓力介於約150毫托耳至3000毫托耳之間。 第4圖所示的實施例中,遠端處理室2 3 0至少包含 一管狀腔體,該管狀腔體包含遠端電漿區22 5的至少一 部份。進入遠端處理室2 3 0之潔淨氣體流量經由一質量 流率控制器或氣體閥門 2 5 0進行調整。遠端處理室 2 3 0 可至少包含由介電材料(例如:微波大致上可穿透且不與 該潔淨氣體反應的石英、氧化鋁、或單晶藍寶石)組成的 室壁。一微波產生器2 5 5係用以產生微波輻射至遠端處 理室230的遠端電漿區225。一適用的微波產生器255 為購自應用科學及技術公司的“ASTEX”微波電漿產生 器。微波產生器2 5 5可至少包含一微波發熱電極2 6 0、 一微波調節裝置2 6 5、及一磁控微波產生器2 7 0。該微波 產生器可在電源功率位準約200瓦至約3000瓦及頻率約 8 00MHz至約300 0 MHz的條件下進行操作。在一實施例 中,遠端電漿區2 2 5與處理區2 1 5相距充分距離,使一 些解離或離子化的氣體化學物質得以再結合。此種經活 化潔淨氣體中的自由電子及帶電物質濃度降低的結杲, 可降低充電(charge-up)行為對基材 30上主動元件的損 傷,並對於遠端電漿區2 2 5中形成的活化氣體能具有較 佳的化學反應控制性。在一實施例中,遠端電漿區225 第18頁 本紙張·尺度適用中國國家標隼(CNS ) A4規格(210X 297公釐) (請先¾讀背面之注意事項再填寫本頁) 裝 線 經濟部智慧財產局資工消費合作社印t 經濟部智慧財產局員工消費合作钍印說 540114 A7 B7 五、發明説明() 的中心位置維持與處理區2 1 5的中心位置至少相距約5 0 公分。 潔淨處理可於潔淨處理室1 20中執行,藉由暴露基 材3 0於至少包含潔淨氣體之能量化處理氣體中,以移除 在基材受到蝕刻後依然位於基材上的殘留光阻6 0、及/或 移除或非活化蝕刻性殘留物70。基材30的殘留光阻60 可於剥除(灰化)處理中加以移除,係藉由暴露基材 3 0於 至少包含剝除氣體之能量化處理氣體中進行移除。舉例 而言,該剝除氣體可至少包含··〇2、N2、H2、H20、NH3、 cf4、c2f0、CHF3、C3H2F6、(:2h4f2、CH3F 之一或多者。 -一實施例中,一適用於剝除光阻聚合物的剥除氣體至少 包含:(1)氧氣、並選用(ii) 一氧氣活化氣體或蒸氣(例 如水蒸氣、氮氣、或氟碳氣體,其中該氟碳氣體包含上 述任一氣體),而該氧氣活化氣體可增加該剥除氣體中氧 根離子的濃度。該剝除氣體組成物可至少包含體積流率 比約6 : 1至約200 ·· 1的氧氣及氮氣,且該體積流率比 以約10 : 1至約12 : 1者為更佳。在容積5升之製程處 理室120中,一合適的氣體流率至少包含3000至 3 500 seem的02及300 seem的N2。在一實施例中,一剝除氣 體至少包含約3500 seem的Ο]及約200 seem的N2,並 選擇性使用300 seem的H2〇,該剝除氣體以約1 400瓦 之電源功率位準施加能量,並以約2托耳的壓力導入至 製程處理室12 0中約維持1 5秒鐘。在一實施例中,剥除 氣體中的水蒸氣含量應小於氧氣及氮氣混合體積之20 第19頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) (請先閱讀背面之注意事項再填寫太I)
經濟部智慧財產局員工消費合作钍印%1 540114 A 7 B7 五、發明説明() % ,以獲得足夠的剝除速率。水蒸氣體積流率 VH2。與氧 氣及氮氣之混合體積(V02+ VN2)的一適用比率範圍約為 1 : 4至約1 : 4 0,並以1 : 10為更佳。當殘留光阻至少 包含氧化物硬式罩幕時,適用的剝除氣體應具有剝除氧 化物之能力,例如:包含 CF4、C2F6、CHF3、C3H2F6、C2H4F2、 及HF的含齒素氣體。基材可暴露於剥除氣體中約1 0秒 鐘至1 0 0 0秒鐘的時間範圍内,且以約4 5秒鐘為更佳。 可執行單一或多道剥除步驟,如美國專利申請案第 5,545,2 89號中揭露的内容,此處併入該申請案之全部揭 露内容作為參考資料。 潔淨處理也至少包含該鈍化基材 3 0的後蝕刻處理 (post-etch),尤其是導電材料45在蝕刻處理中受到蝕刻 時,可移除或非活性化(inactive)基材30上的腐蝕性殘留 物7 5。為使基材3 0鈍化,於處理區2 1 5中可提供至少 包含鈍化氣體的能量化氣體。該鈍化氣體組成物係選擇 以移除或非活性化腐蝕性殘留物(例如:殘留物 7 5)、或 防止在經蝕刻基材3 0上形成腐蚀性或污染性材料。鈍化 氣體可至少包含:H2〇、NH3、H2〇2、〇2、n2、CF4、C2F6、 CHF3、H2、C3H2F6、C2H4F2、CH3F 之一或多者。在一實 施例中,任何含氫的氣體或水蒸氣能作為鈍化氣體,亦 即鈍化氣體包含:氫氣、水蒸氣、氨氣、甲醇、硫化氫、 及上述氣體之混合物。另一實施例中,鈍化氣體至少包 含:(1)氨氣及氧氣;或(ii)水蒸氣,並選擇性使用氧 氣及氮氣。當鈍化氣體至少包含氨氣及氧氣時,氨氣與 第20頁 本紙張尺度通用中國國家標準(CNS ) A4規格(210X 297公釐) _!----1-------扣衣------、一5]------ (請先聞讀背S之注意H,項再填寫本一貝) 540114 A7 B7 經濟部智慧財產局資工消費合作钍印t 五、發明説明( 氧氣的體積流量比以约1 : 1至約1 : 50為佳,以約i : 5至約 1 · 2 0者為承社 >ι λj 為更佳’亚以約1 : 1 〇者為最佳。就5 公升容量的處理室120而言,一較佳氣體流率至少包含 3 00 seem 的 ΝΗ,;5 ι πλλ ^ 3及3000 sccm的〇2。此外,Η2〇體積至 /力8 0/Q的一鈍化氣體(且IQ體積以約⑽為更佳)能 用以鈍化蝕刻性殘留物75。一實施例中,一至少包含約 500 seem HA的鈍化氣體以電源功率位準約丨4〇〇瓦施以 能量,且在壓力約2托耳下導入至處理室12〇中約持續 15秒鐘。使用一吹泡器(bubbler:M#,一惰性載氣(例如: 氬氣或氦氣)能藉由吹泡器(bubbler)以傳輸水蒸氣至真空 處理室中。可選擇性使用氧氣、氮氣、或其他添加物: 鈍化氣體中以增強鈍化行為,此實施例中的鈍化氣體至 少包含約2〇%體積的Η。。添加氧氣及氮氣之影響則端 視水蒸氣(vH2〇)與氧氣及氮氣(Vm+vj之混合體積流量 比而定。作為一適用的鈍化氣體,水蒸氣(Vh2〇)與氧氣及 氮氣(V 0 2 + V N 2)之混合體積流量比以至少約^ : 2,以約丄· 2至約2 : 1者為更佳,並以約丨:丨者為最佳。執行剥 除處理時,如美國專利申請案第5,545,289號的討論,鈍 化步驟可為單一或多道步驟。在一實施例中,基材暴露 於战純化氣體中約1 〇秒鐘及1 〇〇杪鐘的時間範圍内,且 以約45秒鐘者為佳。一實施例中的多次循環鈍化處理(例 如:三次循環處理),已發現對於防止腐蝕特別具有效用。 一貫施例中,基材可於剝除及/或鈍化處理中加熱。 舉例而言,在潔淨處理室1 20(如第4圖中的潔淨處理室) 第21頁 本紙張尺度通用中國國家標準(CNS ) A4規格(2〖0乂 297公釐) (請先閱讀背面之注意事項再填寫本頁)
14 A7 B7 五、發明説明 中潔淨基材30時’可使用照射器220加熱該基材至少約 150T:,且以加熱基材至少約250t者為更佳。加熱基材 請 讀 背 面 5 意 項 再 马 .太 頁 3〇能改善殘留光阻的移除速率、也可改善一些蝕刻性殘 留物(如:側壁沉積物 80中的 C1)的移除速率, ' 為 Cl 能立即從該侧壁沉積物擴散出。此外,去佶^ 印使用包含氧氣
的剝除密度時,高溫也能促使經蝕刻的令凰本Z 至鴒表面產生氧 化’使該經蝕刻的金屬不容易腐蝕。 基材3 0經由剥除或鈍化處理之後,可蝻… 』锊迗至一濕式 潔淨處理室(未顯示)中,在該濕式潔淨處理言由 五至τ的基材3 〇 可暴露於一溶劑中持續一短暫時間,以完令 &王移除殘留光 阻6 0及触刻性殘留物7 0。經由首次將潔淨虛 — 、 知里立中的 基材3 0潔淨’溶劑暴露時間及/或溶劑強 攻芡減弱,因而 降低溶劑蝕刻側壁所導致的蝕刻特徵結構 υ /及/或喪失 關鍵尺寸(critical dimension)的有害影響,‘— ^口在一些基材 處理中使用這些光阻材料薄層60或少量蝕刻性二 一 子聚合 物’具有將基材3 0暴露於一濕式溶劑(如:睡 碼目EKC科 技公司的EKC Z65溶劑或其他相似溶劑)中 以凡全移除殘 留光阻60或側壁聚合沉積物80的需求, 』使用去離子 水清洗之方式排除或取代該溶劑。基材製 「一 ρ又吾的可經 由大體上防止蝕刻溶劑之存在而造成該蝕刻 二 1*试〜構6 7 的損傷情事’並經由減少成本支出及製程启 展玍的%境傷 害而得以彰顯。 本發明已發現到基材在潔淨處理之前、期間或之後 暴露於一至少包含一處理氣體的製程處垤 礼植中,能改 第22頁 本紙浪尺度適用中國國家標準(CNS ) Α4規格(2i〇x297公釐) 經濟部智慧財產局資工消費合作社印製 540114 A7 B7 五、發明説明() 善移除殘留光阻6 0或蝕刻性殘留物7 0的能力。該處理 氣體可選用以增強或改善基材之潔淨度,藉此減少基3 0 材在潔淨處理後,暴露至濕式溶劑的需求。處理氣體的 處理步驟可於一蝕刻處理室1 1 0、潔淨處理室1 2 0、或遠 端處理室中執行。一實施例中的處理氣體至少包含一鹵 素氣體。而一特定實施例中,處理氣體至少包含一含氫 物質及一含iS素物質。舉例而言,處理氣體可至少包含 HC1、HBr及 HF之一或多者。此外,處理氣體可至少包 含H2 ;及Ci2、Br2、F2之一或多者;或任何提供含氫物 質的組成物,如:NH3、CHF3、CH2F2、CH3F 或 H2、H2〇 之一或多者及等效氣體;及一鹵素氣體,如:HC bHBr、 HF、Cl2、Br2、F2、CF4、NF3、SF6、CHF3、C2F6、CH2F3、 CH3F之一或多者及等效氣體。 如第5 a圖及第5 b圖的一實施例中,經蝕刻之基材 3 0可在進行潔淨處理之前,藉由暴露於一至少包含處理 氣體的能量化製程處理氣體中執行前處理(pre-treat)。如 第5 a圖所示,基材3 0在被轉送至潔淨處理室1 2 0之前, 處理氣體可提供至蝕刻處理室11 〇中。此外,如第5b圖 所示,基材3 0可在潔淨處理室中進行處理。在另一實施 例中,蝕刻處理、處理氣體之處理及潔淨處理於相同處 理室中執行。第5 a圖的實施例中,基材3 0或基材3 0上 的一層的姓刻處理剛好完成之後或之前,一至少包含處 理氣體的製程處理氣體被提供至蚀刻處理室11 0之處理 區145中。第5b圖之實施例中,一至少包含處理氣體的 第23頁 本紙張尺度適用中國國家標準(CNS ) Μ規格(2丨OX297公釐) (請先閱讀背云之注素-幕‘項一卉填寫大二貝)
540114 A7 B7 經濟部智慧財產局8工消費合作社印¾ 五、發明説明() 能量化製程處理氣體被提供至潔淨處理室1 2 0之處理區 2 1 5中。於處理期間或處理完成後,潔淨氣體被提供至 處理區2 1 5中,以移除基材3 0的殘留光阻6 0及/或蝕刻 性殘留物7 0。 本發明已發現到一至少包含一含氯物質的有效處理 氣體,特別能有效處理如第5 a圖中的基材3 0,在一實施 例中,該處理氣體至少包含一含氫物質及一含氯物質。 經由上述說明,本發明一實施例中的基材30可暴露至一 能量化製程處理氣體中,該能量化製程處理氣體至少包 含(1) HC1、(ii) H2及Cl2、及(iii) 一含氫物質之氣體及 一含氯物質之氣體。至少包含一含氫物質及一含氯物質 的處理氣體能有效移除至少部分的侧壁沉積物8 0,例如: 基材3 0上的側壁沉積聚合物。藉由使用處理氣體以處理 基材 3 0或使用潔淨氣體以潔淨該基材 3 0,已顯示出有 足量的蝕刻性殘留物7 0被移除,因而可減少或排除暴露 基材至一濕式溶劑或一濕式非水系溶劑中的需求性。處 理氣體中的含氫物質及含氯物質對於移除或協助移除逐 漸變細型態的蝕刻特徵結構67上的側壁沉積物8 0特別 有效用。一般相信至少包含HC1或H2/C12的處理氣體會 與側壁沉積物8 0產生反應,以移除蝕刻特徵結構6 7的 側壁沉積物。舉例而言,含氯物質可與金屬材料(例如: 鋁)產生反應,而含氫物質可與有機化合物(例如:銅)產 生反應。本發明一實施例已顯示出,藉由結合前處理及 鈍化處理的優點可以明顯改善抗腐蚀能力。 第24頁 (請先聞讀背云之注意事項再填寫木一貝) 裝--- 訂 線 本紙張尺度通用中國國家標準(CNS )八4規格(2i〇X 297公釐) 經濟部智慧財產局員工消費合作钍印糾代 540114 Λ7 Β7 五、發明説明() 第 6a圖及第 6b圖所示為本發明的另一實施例。此 實施例中的基材3 0在潔淨處理之後,暴露於一至少包含 處理氣體的能量化製程處理氣體中。第6a圖的實施例中, 基材在潔淨處理之後,於潔淨處理室1 20中執行處理氣 體之處理。在潔淨處理完成之前或之後,處理氣體可被 提供至潔淨處理室1 20的處理區2 1 5中。此外,如第6b 圖所示,基材3 0可轉送至一處理室,例如:能執行基材 處理的第二潔淨處理室1 20。第6a圖及第6b圖的實施例 中,在基材施以潔淨處理後,可選用處理氣體以移除仍 然遺留於基材30上的殘留光阻60或蝕刻性殘留物70, 或軟化及/或鬆弛殘留光阻60或蝕刻性殘留物70,因此 殘留光阻6 0或蝕刻性殘留物7 0可於去離子水槽中或暴 露至一濕式溶劑中短暫時間以進行移除。 本發明已發現到使用至少包含氟的處理氣體能有效 處理基材 3 0,舉例而言:在基材施以潔淨處理後,可以 有效軟化及/或鬆弛基材3 0上的蝕刻性殘留物7 0。此外, 至少包含一含氫物質(例如:NH3、H2〇、H2之一或多者) 及一含氟物質(例如:NF3、CF4、F2、SF6、C2F6、及 CHF3) 的處理氣體已顯示出能有效移除及/或軟化基材 3 0上的 光阻聚合物及殘留物。一實施例中,一至少包含NH3及 含氟氣體(例如:nf3、CF4之一或多者)的處理氣體已顯 示出不須暴露基材3 0至一濕式溶劑中,也可有效移除蝕 刻性殘留物 7 0。一般相信經由施加能量於N Η 3、含氟氣 體、含氫及含氯物質,該些氣體可與側壁沉積物8 0產生 第25頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(2.1 ΟΧ 297公釐) (請先聞讀背面之洼意葶項耳填冩上一貝)
經濟部智慧財產局員工消費合作钍印製 540114 A7 B7 五、發明説明() 反應並溶解側壁沉積物 8 0,以浸潰於去離子水中的方式 為例可移除該沉積物。此外,一般相信某一組成比例的 NH3及NF3混合物,以組成比例為3 : 1為例,當暴露於 一水溶液或他種極性溶劑時,該組成比例之 NH3及NF3 混合物能在基材3 0上形成一可釋放HF的膜層。综上所 述,濕式處理步驟(例如:去離子水浸潰)中能同時釋放 出HF並移除殘留物。 第6 a圖實施例的一優點為,在使用移除處理室表面 (例如:處理室 12 0之構件或壁的表面)之製程殘留物的 製程條件下,也可導入處理氣體。舉例而言:上述製程 殘留物係指在潔淨處理過程可能產生的殘留物及沉積於 表面所得之殘留物。藉由同時處理基材3 0及移除處理室 1 20表面上的殘留物,得以減少在一遠端處理室執行潔 淨步驟的需求,因此可改善產出量及基材製造的一致性。 因此,在一實施例中,在潔淨處理期間或完成之後,一 含鹵素的處理氣體被導入至潔淨處理室丨2 0之處理區2 1 5 中,以同時處理基材3 0及移除處理室表面上的殘留物。 在80 seem的NF3、240 seem的NH2、及對8叶基材之製 程處理室施加壓力2托耳及施以電源功率位準1.4千瓦 的製程條件下,處理氣體之導入體積流率範圍可約為80 seem 至約 1000 seem,以約 300 seem 至約 500 seem 為 更佳,並以約320 seem者為最佳。在一實施例中,處理 氣體可至少包含 H2、F2、NH3、NF3、CF4、CH4、CH3F、 及CHF3 —或多者。當基材30之蝕刻、潔淨、及處理皆 第26頁 本紙張尺度適用中國國家標隼(CNS ) Μ規格(210X 297公釐)~ (請先W讀背云之注意事項耳填寫太一貝)
540114 Λ7 B7 五、發明说明 位於相同處理室時’處理氣體也可用以潔淨該處理室表 面上的殘留物’藉以移除蝕刻性殘留物及/或潔淨處理室 上的殘留物。舉例而T,於蝕刻處理室中使用HC1或H2/C12 的處理方式能移除晶圓的蝕刻性殘留物及蝕刻在處理室 表面的沉積副產物。 藉由選擇不會損傷基材3 0上經蝕刻的特徵結構6 7 及最大量處理基材30的製程條件,可增加第5a圖、第5b、 第6a或第6b圖中製程使用之處理氣體的效用。以第5a 及第5 b圖之貫施例而了,在|虫刻處理室i 1 〇中可至少包 含HC1的處理氣體’該處理氣體的體積流率範圍約80 seem 至約 250 seem’ 以約 1〇〇 seem 至約 200 seem 為更 佳,龙以約1 5 0 s c c m者為最佳,而在潔淨處理室丨2 〇或 8吋基材之製程處理室中則可施以等效體積流率。在處 理室11 〇壓力維持約2 5毫托耳、電源功率位準約5 〇 〇 瓦、及溫度約150°C之製程條件下,基材30可暴露於處 理氣體中至少約3〇秒鐘’以約120秒鐘者更佳,並以約 2 40秒鐘者最佳。第6a及6b圖之實施例中,潔淨處理室 120中可至少包含NH3及NF3的處理氣體,其中Νη;的 體積流率範圍約8 〇 s c c m至約1 0 0 0 s c c m,以約1 〇 〇 s c c瓜 至约400 seem為更佳,並以約240 seem者為最佳; 的體積流率範圍約5 s c c m至約2 0 0 s c c m,以約9 π 。 '· j ‘ vj s c c m 至約1 20 seem為更佳,並以約80 seem者為最佳;而Nh 與N F3之體積流率比範圍約1 · 1至約1 〇 . 1,以约)· 1 至約4 : 1為更佳,並以約3 ·· 1者為最佳。 第27頁 本紙浪尺度通用中國國家標隼(CNS ) A4規格(210X 297公釐) (請先聞讀背云之注意W.項具填寫太一貝 ---裝 訂 經濟部智慧財產局S工消費合作社印製 540114 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 處理氣體的效用也可經由施加偏壓至基材 30而增 加。以第5 a及第5 b圖之實施例為例,一施加於蚀刻處 理室1 1 0中處理電極1 7 5的偏壓電源功率約0瓦至約1 5 0 瓦,以約20瓦至100瓦更佳,並以50瓦為最佳。藉由 掃瞄式電子顯微鏡(SEM)之觀察得知,處理氣體之處理過 程中施加偏壓電源可改善殘留材料6 0及蝕刻性殘留物7 0 之移除能力,因為遺留的光阻6 0及殘留物7 0量更少於 潔淨處理移除而遺留者。一般相信施加偏壓電源所產生 的離子通量協同處理氣體與側壁沉積物8 0進行反應,能 協助移除經蝕刻特徵結構6 7之側壁沉積物 8 0。偏壓電 源也可增加第6a及6b圖中處理製程的效用,舉例而言: 該偏壓電源功率可約0瓦至約600瓦,以約50瓦至300 瓦更佳,並以1 5 0瓦為最佳。 也可選擇基材3 0之溫度以增加潔淨處理及處理氣體 之處理效用。以第6a及6b圖實施例的潔淨處理為例, 己決定出處理基材30時,一介於約20°C至約100°C之間 的基材溫度於處理基材 3 0之過程中具有效用,舉例而 言:可溶解側壁沉積物 8 0。一般相信此係由於增強了反 應物質被吸附至基材以及使將基材受化學攻擊降至最低 所致。综上所述,本發明之一實施例中,基材3 0溫度係 維持於約 20 °C至約 1〇〇 °C。某一實施例中,基材在剝除 及鈍化處理中,以約2 5 0 °C之溫度潔淨該基材,而後冷卻 該基材約5 (TC至約1 〇〇°C以處理該基材。當基材3 0於相 同處理室中進行潔淨及處理時,以第6 a圖之實施例為例, 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .!·*」」----------裝.........訂----------線 (請先閲讀背面之注意事項再填·^本頁) 540114 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 基材之快速冷卻可藉由循環一熱傳送流體以通過處理室 中支撐座的方式進行。此外,當使用不同處理室時,如 第6b圖之實施例,一處理室可維持於約25 0°C的第一溫 度,而第二處理室可維持於約30°C至l〇〇°C的第二溫度。 藉由提供不同溫度於二處理室或快速冷卻基材 3 0,製程 產出量得以增加,如美國專利案 09/5 95,3 3 6,標題 “Substrate Cleaning Apparatus and Method”中所揭露的 内容,於此處併入該案揭露的内容以作為參考資料。 上述實施例的整合製程次序能改善殘留光阻60及蝕 刻性殘留物7 0之移除能力。經潔淨之基材通常不須暴露 於濕式溶劑中。第5a、5b、6a及6b圖之實施例中,使 用一用於蝕刻處理的較大處理窗口 ,以改善剝除光阻/殘 留物的能力。本發明能在相當低的溫度下使用去離子水, 以移除光阻60及殘留物70,而後暴露基材30於相當高 溫的含氧及/或含水蒸氣的氣體中。以此方式實施時具有 一優點,即高溫能提供較高的剝除光阻速率及改善控制 腐蝕之能力。此外,本發明提供整合其他製程的能力, 例如:整合暴露至高溫氮氣中的製程,以改善抗腐蝕性, 而不須使用傳統製程暴露基材3 0至濕式溶劑中。 如第7a、7b及7c圖的另一實施例中,基材30可在 施以潔淨處理之前,暴露至一至少包含一第一處理氣體 的能量化製程處理氣體中,並在潔淨處理之後,暴露至 一至少包含一第二處理氣體的能量化製程處理氣體中。 此實施例具有增加移除光阻6 0及蝕刻性殘留物7 0的能 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) « - ! « ---------裝---------訂---------線 (請先閲讀背面之注意事項再填寫本頁) 540114 經濟部智慧財產局員工消費合作社印製 A 7 B7 五、發明説明() 力,且甚至能進一步減少暴露基材6 0至一濕式溶劑的需 求。其中,該第一及第二處理氣體可為相同的氣體或不 同氣體。一實施例中,處理氣體之一者可至少包含氯氣。 而另一實施例中,處理氣體之一者可至少包含氟氣。在 某一實施例中,第一處理氣體至少包含一含氫物質及一 含氯物質(如:HC1);而第二處理氣體至少包含一含氫物質 及一含氟物質,例如:NH3及H2之一或多者及NF3、CF4、 及SF6之一或多者。如第7a圖所示,第一處理氣體可在 蝕刻處理之後或其間導入至蝕刻處理室1 1 0中,而第二 處理氣體可在潔淨處理之後或其間導入至潔淨處理室 中。第7b圖中,潔淨處理及第二處理製程於遠端處理室 中執行。第7c圖之實施例中,第一及第二處理氣體提供 至潔淨處理室。此外,蝕刻、潔淨及第一、第二處理製 程可於相同處理室中執行。 雖然本發明就較佳實施例及其他實施例進行諸多詳 盡說明。但以剥除處理及鈍化處理為例,可改變其處理 次序。此外,一至少包含氯的處理氣體可在潔淨處理之 前即先行導入。其他相似的改良實施例皆不脫離本發明 範圍。因此,所附加的申請專利範圍不應侷限於此處的 較佳實施例說明。 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) -:丨丨· ·----------裝---------計---------線 (請先閲讀背面之注意事項再填寫本頁)

Claims (1)

  1. 540114 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 、申請專利範圍 1 . 一種處理一基材之方法,至少包含下列步驟: (a) 暴露一基材於一能量化製程處理氣體(process g a s)中,以钱刻該基材, (b) 暴露該基材於一能量化潔淨氣體中;及 (c) 在執行步驟(b)之前、期間或之後,暴露該基 材於一至少包含一含卣素物質及含氫物質的能量化處 理氣體(treating gas)中。 2.如申請專利範圍第1項所述之方法,其中上述潔淨氣 體至少包含一剝除氣體,其中該剝除氣體至少包含 〇2、N2、H2〇、NH3、CF4、C2F0、CHF3、C3H2F6、(:2H4F2、 及CH3F之一或多者,在處理條件下選用該剥除氣體 以至少移除該基材上的部分殘留光阻材料。 3 .如申請專利範圍第1項所述之方法’其中上述潔淨氣 體至少包含一鈍化氣體,其中該鈍化氣體至少包含 H2〇、NH3、H2〇2、02、N2、CF4、C2F0、CHF3、C3H2F6、 C2H4F2、或CH3F之一或多者,在處理條件下選用該 鈍化氣體以鈍化該基材。 4. 如申請專利範圍第1項所述之方法’其中上述處理氣 體至少包含一含氯物質。 5. 如申請專利範圍第1項所述之方法,其中上述處理氣 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---!-----------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 540114 A8 B8 C8 D8 t、申請專利範圍 體至少包含一含氟物質。 6. 如申請專利範圍第1項所述之方法,其中上述處理氣 體至少包含NH3及H2之一或多者。 7. 如申請專利範圍第6項所述之方法,其中上述處理氣 體至少包含NF3、CF4、C2F0、及SF6之一或多者。 8. 如申請專利範圍第1項所述之方法,其中上述處理氣 體至少包含HC1、HBr、及HF之一或多者。 9. 如申請專利範圍第1項所述之方法,其中上述處理氣 體至少包含H2及Cl2、Βι:2、F2之一或多者。 1 0,如申請專利範圍第1項所述之方法,其中上述處理氣 體至少包含下列組合之一或多者··(i) H2〇、(11) H2〇2、 (ui) H2 及〇2、及(iv) H2 及 H2〇。 1 1 .如申請專利範圍第1項所述之方法,其中上述基材暴 露於一第一處理區之該蝕刻氣體中,且上述基材暴露 於一第二處理區之該潔淨氣體中。 1 2.如申請專利範圍第1 1項所述之方法,其中上述基材 暴露於該第一處理區之該處理氣體中。 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) ---;-------------------訂·--------,绿 (請先閿讀背面之注音心事項再填冩本頁) 540114 A8 B8 C8 D8 申請專利範圍 1 3 .如申請專利範圍第1 1項所述之方法,其中上述基材 暴露於該第二處理區之該處理氣體中。 1 4. 一種處理一基材之方法,至少包含下列步驟: (a) 暴露一基材於一能量化製程處理氣體中,以 蝕刻該基材;及
    (請先閱讀背面之注音?事項再填冩本頁) (b) 暴露該基材於一至少包含一含氯物質的能量 化處理氣 1 5 .如申請專利範圍第1 4項所述之方法,其中上述處理 氣體在加強移除基材上的蝕刻性殘留物及殘餘光阻的 處理條件下使用。 1 6 .如申請專利範圍第1 4項所述之方法,更包含下列步 驟: (c)暴露該基材於一能量化潔淨氣體中。 1 7.如申請專利範圍第1 6項所述之方法,其中上述步驟(c) 在步騾(b)之後實施。 經濟部智慧財產局員工消費合作社印製 1 8.如申請專利範圍第1 6項所述之方法,其中上述步驟(b) 及步驟(c)在不同處理區中實施。 1 9 .如申請專利範圍第1 4項所述之方法,其中上述處理 第33頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 540114 A8 B8 C8 D8 、申請專利範圍 氣體至少包含一含氫物質。 (請先閱讀背面之注意事項再填冩本頁) 2 0.如申請專利範圍第1 9項所述之方法,其中上述處理 氣體至少包含HC1。 2 1 ·如申請專利範圍第1 9項所述之方法,其中上述處理 ^ 氣體至少包含H2及Cl2。 22. —種處理一基材之方法,至少包含下列步驟: (a) 暴露一基材於一能量化製程處理氣體中,以 I虫刻該基材;及 (b) 暴露該基材於一至少包含一含氯物質及一含 氫物質的能量化處理氣體中; 23. 如申請專利範圍第 22項所述之方法,其中上述處理 氣體在加強移除基材上的触刻性殘餘物及殘餘光阻的 處理條件下使用。 經濟部智慧財產局員工消費合作杜印製 24. 如申請專利範圍第 22項所述之方法,更包含下列步 驟: (c) 暴露該基材於一能量化潔淨氣體中。 2 5.如申請專利範圍第24項所述之方法,其中上述步驟(b) 在步驟(c)之後實施。 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 經濟部智慧財產局員工消費合作社印製 540114 A8 B8 C8 D8 t、申請專利範圍 2 6.如申請專利範圍第24項所述之方法,其中上述步驟(b) 及步驟(c)在相同處理區中實施。 2 7 .如申請專利範圍第 2 2項所述之方法,其中上述處理 氣體至少包含一至少包含一含氟物質及一含氫物質的 化合物。 2 8 .如申請專利範圍第2 2項所述之方法,其中上述處理 氣體至少包含H2及F2。 2 9 .如申請專利範圍第 2 2項所述之方法,其中上述處理 氣體至少包含NH3及H2之一或多者;及NF3、CF4、 C2F6、SF0之一或多者。 3 0. —種處理一經蝕刻基材之方法,至少包含下列步騾: 暴露該經蝕刻的基材於一至少包含一第一含鹵素 物質的能量化製程處理氣體中;及 暴露該經蝕刻的基材於一至少包含一第二含鹵素 物質的能量化製程處理氣體中。 3 1 .如申請專利範圍第3 0項所述之方法,其中上述第一 含鹵素物質至少包含含氯物質。 3 2 .如申請專利範圍第3 0項所述之方法,其中上述第二 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 」I H— : - . :: I -i m - - - I I I I · -I— i -11 --- 1 ..... 一口1 i I -- - I ....... --- - ..... - - n I (請先閱||背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 540114 A8 B8 C8 D8 t、申請專利範圍 含i素物質至少包含含氟物質。 3 3 . —種潔淨一經蝕刻基材之方法,至少包含下列步驟: (a) 暴露一基材於一潔淨氣體中;及 (b) 在步驟(a)之後,暴露該基材於一至少包含NH3 及H2之一或多者的能量化處理氣體中。 3 4 .如申請專利範圍第3 3項所述之方法,其中上述處理 氣體更包含NF3、CF4、C2F6、SF0之一或多者。 3 5 .如申請專利範圍第3 3項所述之方法,其中上述潔淨 氣體至少包含一剥除氣體,而該剝除氣體更包含〇2、 N2、H2〇、NH3、CF4、C2F0、(:HF3、C3H2F6、(:2H4F2、 CH3F之一或多者,在處理條件下選用該剥除氣體以 至少部分移除該基材上的殘留光阻材料。 3 6 .如申請專利範圍第3 3項所述之方法,其中上述潔淨 氣體至少包含一鈍化氣體,而該鈍化氣體更包含H2〇、 NH3、H2、H2〇2、〇2、N2、CF4、C2F0、CHF3、C3H2F6、 C2H4F2、或CH3F之一或多者,在處理條件下選用該 純化氣體以純化該基材。 3 7. —種處理一基材之方法,至少包含下列步驟: (a)暴露一基材於一能量化製程處理氣體中,以 第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) f ^ T ,篆 IP— m a i f n i - -. I- nt i t . ί I—1 ft 1 -I1 —11 I I I ?1 n - -1 n —1 n ·— 1 (請先閲讀背面之注意事項再填寫本頁) 540114 A8 B8 C8 D8 申請專利範圍 之 及區 ;理 材處 基該 該於 的材 區基 理該 處露 一 暴 於 \|7 位(b 刻 。 li中 體 氣 理 處 化 量 理 處 述 上 中 其 法 方 之 述。 所質 項物 7 素 3 鹵 第 含 圍 一 範含 利包 專少 請至 申體 如 氣 理 處 述 上 中。 其質 ’ 物 法氣 方含 之 一 述及 所質 項物 7 素 3 鹵 第含 圍 一 範含 利包 專少 請至 申體 如氣 第C1 圍 Η 範含 包 專少 請至 申體 如 氣 理 處 述 上 中 其 法 方 之 述 所 項 S 處 述 上 中 其 法 方 之 述 所 項 。 2 39C1 第及 2 圍 Η 範含 矛 包 專少 請 至 申體 如氣 7 3 含 包 更 法 方 該 。 , 驟 法步 方的 之體 述氣 所 淨 項潔 化 量 第能 圍 一 範至 利材 專基 請該 申露 如暴 • LI I —.r.:. I 1 I !— i n I I— ! 1 . Hi In (請先閱讀背面之注意事項再填寫本頁) •線 經濟部智慧財產局員工消費合作社印製 含的 包中 更體 法氣 方淨 該潔 ’ 化 法 量 方能 之 一 述的 所區 項理 7 處 3 二 第 第 圍 一 範至 利材 專基 青亥 申 露 如暴 驟 步 驟 步 列 下 含 包 少 至 法 方 的 材 基 刻 蚀 經 一 S 處 •一 ?| 種 頂 3 第 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 540114 A8 B8 C8 D8 t、申請專利範圍 (a) 維持該基材溫度至少約 200 °C時,暴露該經 蝕刻的基材於一至少包含一含氧物質的能量化製程處 理氣體中; (b) 使用一處理氣體以處理該基材;及 (c) 浸潰該基材於去離子水中,以移除該基材上 的蚀刻性殘留物。 45. 如申請專利範圍第44項所述之方法,其中上述步驟(b) 至少包含暴露該基材至一能量化潔淨氣體的步驟。 46. 如申請專利範圍第 44項所述之方法,其中上述處理 氣體至少包含一含_素物質。 47. 如申請專利範圍第44項所述之方法,其中上述處理 氣體至少包含一含鹵素物質及一含氫物質。 48 .如申請專利範圍第 44項所述之方法,其中上述處理 氣體至少包含NH3 ;及NF3、CF4、C2F6、SF6之一或 多者。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第38頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090130568A 2000-12-13 2001-12-10 Substrate cleaning apparatus and method TW540114B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/737,373 US6692903B2 (en) 2000-12-13 2000-12-13 Substrate cleaning apparatus and method

Publications (1)

Publication Number Publication Date
TW540114B true TW540114B (en) 2003-07-01

Family

ID=24963661

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090130568A TW540114B (en) 2000-12-13 2001-12-10 Substrate cleaning apparatus and method

Country Status (3)

Country Link
US (1) US6692903B2 (zh)
TW (1) TW540114B (zh)
WO (1) WO2002049078A2 (zh)

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
JP4101609B2 (ja) * 2001-12-07 2008-06-18 大日本スクリーン製造株式会社 基板処理方法
US7176469B2 (en) * 2002-05-22 2007-02-13 The Regents Of The University Of California Negative ion source with external RF antenna
US6902681B2 (en) * 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
KR100539274B1 (ko) * 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US7055532B2 (en) * 2003-12-18 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method to remove fluorine residue from bond pads
US7182880B2 (en) * 2004-03-30 2007-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Process for reducing particle formation during etching
KR100605942B1 (ko) * 2004-07-16 2006-08-02 동부일렉트로닉스 주식회사 반도체 소자용 금속 배선의 후처리 방법
CN100352013C (zh) * 2004-07-16 2007-11-28 鸿富锦精密工业(深圳)有限公司 干蚀刻后处理方法
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
JP4343798B2 (ja) * 2004-08-26 2009-10-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7195716B2 (en) * 2004-10-08 2007-03-27 United Microelectronics Corp. Etching process and patterning process
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7413993B2 (en) * 2004-11-22 2008-08-19 Infineon Technologies Ag Process for removing a residue from a metal structure on a semiconductor substrate
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR100607777B1 (ko) 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
JP4740599B2 (ja) * 2005-01-07 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
US7871532B2 (en) * 2005-02-28 2011-01-18 Tokyo Electron Limited Plasma processing method and post-processing method
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR101038843B1 (ko) * 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 에피택셜막 형성 방법 및 장치
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
KR100676206B1 (ko) * 2005-11-14 2007-01-30 삼성전자주식회사 반도체 디바이스 제조설비에서의 프로세스 챔버 세정 방법
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
TWM308491U (en) * 2006-09-01 2007-03-21 Innolux Display Corp Photoresist striping apparatus with internal air circulating system
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
KR20080029642A (ko) * 2006-09-29 2008-04-03 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7951723B2 (en) * 2006-10-24 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated etch and supercritical CO2 process and chamber design
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
KR100831683B1 (ko) * 2006-12-29 2008-05-22 주식회사 하이닉스반도체 포토마스크의 헤이즈 제거장치 및 제거방법
US20080171412A1 (en) * 2007-01-12 2008-07-17 United Microelectronics Corp. Fabrication methods for mos device and cmos device
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR101440282B1 (ko) * 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
KR20090080786A (ko) * 2008-01-22 2009-07-27 삼성전자주식회사 어레이 기판의 제조 방법 및 어레이 기판
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
CN101960556B (zh) * 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
KR101015525B1 (ko) * 2008-08-18 2011-02-16 주식회사 동부하이텍 인덕터의 금속 배선 형성 방법
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
DE102008049720B4 (de) * 2008-09-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
CN102652351B (zh) 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
JP5492574B2 (ja) * 2010-01-08 2014-05-14 東京エレクトロン株式会社 基板のクリーニング方法及び基板のクリーニング装置
JP5538959B2 (ja) * 2010-03-09 2014-07-02 東京エレクトロン株式会社 基板の洗浄方法及び半導体製造装置
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
KR101603737B1 (ko) * 2010-05-11 2016-03-16 삼성전자주식회사 기상 세정을 이용한 금속 잔류물 제거 방법, 도전막 패턴의 형성 방법, 반도체 소자의 제조 방법 및 관련 설비
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
JP5982223B2 (ja) * 2012-08-27 2016-08-31 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN102899635B (zh) * 2012-09-26 2015-12-02 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8946076B2 (en) * 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
JP6049527B2 (ja) * 2013-04-05 2016-12-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN104425363B (zh) * 2013-09-05 2017-07-14 中芯国际集成电路制造(上海)有限公司 互连线的形成方法
US20150136171A1 (en) * 2013-11-18 2015-05-21 Lam Research Corporation Liquid or vapor injection plasma ashing systems and methods
JP6239365B2 (ja) * 2013-12-11 2017-11-29 東京エレクトロン株式会社 シリコン層をエッチングする方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9461144B2 (en) * 2014-06-13 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US10147613B2 (en) 2014-06-30 2018-12-04 Tokyo Electron Limited Neutral beam etching of Cu-containing layers in an organic compound gas environment
US9290848B2 (en) 2014-06-30 2016-03-22 Tokyo Electron Limited Anisotropic etch of copper using passivation
US9653320B2 (en) * 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9691654B1 (en) * 2015-12-22 2017-06-27 Globalfoundries Inc. Methods and devices for back end of line via formation
US9917017B2 (en) 2015-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for semiconductor devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) * 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11532484B2 (en) * 2018-10-26 2022-12-20 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
JP7325256B2 (ja) * 2019-08-05 2023-08-14 東京エレクトロン株式会社 プラズマ処理装置
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7190988B2 (ja) * 2019-08-21 2022-12-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11508617B2 (en) * 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
CN113690127B (zh) 2020-05-18 2023-09-08 长鑫存储技术有限公司 晶圆清洗装置及晶圆清洗方法
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA539707A (en) 1957-04-16 Stanolind Oil And Gas Company Vapor space corrosion inhibitor
CA515917A (en) 1955-08-23 Shell Development Company Vapor-phase corrosion inhibition
US2323369A (en) 1941-03-04 1943-07-06 Carbide & Carbon Chem Corp Method for preventing silver tarnish
US2972861A (en) 1953-03-11 1961-02-28 Midland Tar Distillers Ltd Method of reducing the corrosive attack of combustion gases on metal
USRE32928E (en) 1972-05-12 1989-05-23 Lfe Corporation Process and material for manufacturing semiconductor devices
US3951843A (en) * 1973-01-09 1976-04-20 Lfe Corporation Fluorocarbon composition for use in plasma removal of photoresist material from semiconductor devices
US3967926A (en) 1973-11-09 1976-07-06 Iosif Lvovich Rozenfeld Method for inhibiting the corrosion of metals with vapor phase inhibitors disposed in a zeolite carrier
GB2008464A (en) 1977-11-29 1979-06-06 Dobson C D Improvements in methods of operating and treating evacuated chambers
US4226896A (en) * 1977-12-23 1980-10-07 International Business Machines Corporation Plasma method for forming a metal containing polymer
US4325984B2 (en) 1980-07-28 1998-03-03 Fairchild Camera & Inst Plasma passivation technique for the prevention of post-etch corrosion of plasma-etched aluminum films
US4544416A (en) * 1983-08-26 1985-10-01 Texas Instruments Incorporated Passivation of silicon oxide during photoresist burnoff
JPH0691014B2 (ja) 1984-11-14 1994-11-14 株式会社日立製作所 半導体装置の製造装置
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
JPS6370428A (ja) 1986-09-11 1988-03-30 Anelva Corp プラズマ処理装置
US4863561A (en) 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US4718974A (en) 1987-01-09 1988-01-12 Ultraphase Equipment, Inc. Photoresist stripping apparatus using microwave pumped ultraviolet lamp
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
US5298112A (en) 1987-08-28 1994-03-29 Kabushiki Kaisha Toshiba Method for removing composite attached to material by dry etching
DE3855636T2 (de) * 1987-08-28 1997-03-27 Toshiba Kawasaki Kk Plasma-Entschichtungsverfahren für organische und anorganische Schichten
US4911812A (en) 1987-10-21 1990-03-27 Hitachi, Ltd. Plasma treating method and apparatus therefor
JPH01112734A (ja) 1987-10-27 1989-05-01 Fujitsu Ltd レジストのアッシング方法
JPH01175231A (ja) 1987-12-29 1989-07-11 Fujitsu Ltd アッシング方法
JP2807790B2 (ja) 1988-03-08 1998-10-08 株式会社 半導体エネルギー研究所 感光体作製方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JP2890432B2 (ja) 1989-01-10 1999-05-17 富士通株式会社 有機物の灰化方法
JPH07101685B2 (ja) 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
JP2528962B2 (ja) 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JPH0341728A (ja) 1989-07-07 1991-02-22 Fujitsu Ltd 半導体装置の製造方法
DE69033663T2 (de) 1989-08-28 2001-06-21 Hitachi Ltd Verfahren zur Behandlung eines Aluminium enthaltenden Musters
US5039376A (en) 1989-09-19 1991-08-13 Stefan Zukotynski Method and apparatus for the plasma etching, substrate cleaning, or deposition of materials by D.C. glow discharge
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5183775A (en) * 1990-01-23 1993-02-02 Applied Materials, Inc. Method for forming capacitor in trench of semiconductor wafer by implantation of trench surfaces with oxygen
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
DE69130909T2 (de) 1990-06-26 1999-06-24 Fujitsu Ltd Plasmabehandlungsverfahren eines Resists unter Verwendung von Wasserstoffgas
WO1992000601A1 (en) 1990-06-27 1992-01-09 Fujitsu Limited Method of manufacturing semiconductor integrated circuit and equipment for the manufacture
JP2814021B2 (ja) 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
JPH04171918A (ja) 1990-11-06 1992-06-19 Fujitsu Ltd 半導体装置の製造方法
US5228950A (en) 1990-12-04 1993-07-20 Applied Materials, Inc. Dry process for removal of undesirable oxide and/or silicon residues from semiconductor wafer after processing
US5262279A (en) 1990-12-21 1993-11-16 Intel Corporation Dry process for stripping photoresist from a polyimide surface
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5280359A (en) 1991-04-05 1994-01-18 Matsushita Electric Industrial Co., Ltd. Image pick-up device with auto lens control for freely selecting photometric area
US5221425A (en) 1991-08-21 1993-06-22 International Business Machines Corporation Method for reducing foreign matter on a wafer etched in a reactive ion etching process
US5200031A (en) 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5174856A (en) 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5134089A (en) 1991-09-30 1992-07-28 Motorola, Inc. MOS transistor isolation method
JP3412173B2 (ja) 1991-10-21 2003-06-03 セイコーエプソン株式会社 半導体装置の製造方法
US5221424A (en) 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
JP3084910B2 (ja) 1992-03-18 2000-09-04 ヤマハ株式会社 配線形成法
US5240555A (en) 1992-04-16 1993-08-31 Eastman Kodak Company Method and apparatus for cleaning semiconductor etching machines
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
KR950009281B1 (ko) 1992-07-10 1995-08-18 현대전자산업주식회사 알루미늄 금속배선 형성방법
US5348619A (en) 1992-09-03 1994-09-20 Texas Instruments Incorporated Metal selective polymer removal
JP3227522B2 (ja) 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413954A (en) 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
US5328555A (en) 1992-11-24 1994-07-12 Applied Materials, Inc. Reducing particulate contamination during semiconductor device processing
JP3259380B2 (ja) 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
JPH06188229A (ja) 1992-12-16 1994-07-08 Tokyo Electron Yamanashi Kk エッチングの後処理方法
US5384009A (en) 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JP3391410B2 (ja) 1993-09-17 2003-03-31 富士通株式会社 レジストマスクの除去方法
US5425843A (en) 1993-10-15 1995-06-20 Hewlett-Packard Corporation Process for semiconductor device etch damage reduction using hydrogen-containing plasma
US5382316A (en) 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JP2674488B2 (ja) 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JPH07221075A (ja) 1994-02-03 1995-08-18 Fujitsu Ltd アッシング処理方法
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5413950A (en) 1994-04-22 1995-05-09 United Microelectronics Corporation Method of forming a DRAM stacked capacitor cell
JP3529849B2 (ja) 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
US5460999A (en) 1994-06-06 1995-10-24 United Microelectronics Corporation Method for making fin-shaped stack capacitors on DRAM chips
US5514247A (en) * 1994-07-08 1996-05-07 Applied Materials, Inc. Process for plasma etching of vias
US5674357A (en) 1995-08-30 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate cleaning process
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5780359A (en) 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US5824604A (en) 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6006764A (en) * 1997-01-28 1999-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of stripping photoresist from Al bonding pads that prevents corrosion
US5980768A (en) 1997-03-07 1999-11-09 Lam Research Corp. Methods and apparatus for removing photoresist mask defects in a plasma reactor
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3324455B2 (ja) * 1997-07-18 2002-09-17 信越半導体株式会社 珪素系半導体基板の清浄化方法
JP3292101B2 (ja) * 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US20010008227A1 (en) * 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6849153B2 (en) * 1998-04-16 2005-02-01 Siemens Aktiengesellschaft Removal of post-rie polymer on A1/CU metal line
US6130166A (en) * 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
JP2001313280A (ja) * 2000-04-02 2001-11-09 Axcelis Technologies Inc ポスト・エッチ・フォトレジストおよび残留物の除去法

Also Published As

Publication number Publication date
US20020072016A1 (en) 2002-06-13
US6692903B2 (en) 2004-02-17
WO2002049078A2 (en) 2002-06-20
WO2002049078A3 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
TW540114B (en) Substrate cleaning apparatus and method
JP4648392B2 (ja) プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
KR20160084314A (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
EP0809283A2 (en) Method of treating wafers
JP2000012514A (ja) 後処理方法
JP2003518328A5 (zh)
JP4167268B2 (ja) 基板をパッシベーションするプロセス
US6325861B1 (en) Method for etching and cleaning a substrate
TW201546899A (zh) 電漿處理裝置之清潔方法
JP5271267B2 (ja) エッチング処理を実行する前のマスク層処理方法
JPH07153746A (ja) ドライエッチング室のクリーニング方法
US7097716B2 (en) Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
JP2012023385A (ja) 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程
JP7145031B2 (ja) 基板を処理する方法、プラズマ処理装置、及び基板処理装置
CN100468652C (zh) 在半导体基底的金属结构表面去除残余物的方法
US8992689B2 (en) Method for removing halogen-containing residues from substrate
TW201604319A (zh) 乾式洗淨方法及電漿處理裝置
TWI806871B (zh) 多孔低介電常數介電蝕刻
JP2004514272A (ja) 基板のクリーニング装置及び方法
TWI830129B (zh) 蝕刻裝置及蝕刻方法
TWI689007B (zh) 蝕刻方法
JP5642427B2 (ja) プラズマ処理方法
KR20060121269A (ko) 구리 표면의 표면 감소, 패시베이션, 부식 방지 및 활성화시스템과 방법
JP3001639B2 (ja) 半導体基板のストリッピング、パッシベーション及び腐食の抑制