JP2012023385A - 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程 - Google Patents

残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程 Download PDF

Info

Publication number
JP2012023385A
JP2012023385A JP2011196141A JP2011196141A JP2012023385A JP 2012023385 A JP2012023385 A JP 2012023385A JP 2011196141 A JP2011196141 A JP 2011196141A JP 2011196141 A JP2011196141 A JP 2011196141A JP 2012023385 A JP2012023385 A JP 2012023385A
Authority
JP
Japan
Prior art keywords
etching
substrate
chamber
stripping
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011196141A
Other languages
English (en)
Inventor
j o'donnell Robert
オドネル・ロバート・ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2012023385A publication Critical patent/JP2012023385A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02054Cleaning before device manufacture, i.e. Begin-Of-Line process combining dry and wet cleaning steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Removal Of Specific Substances (AREA)

Abstract

【課題】金属エッチングと、残存フォトレジスト及び残留側壁パッシベーションの剥離のための改良された技術を提供する。
【解決手段】単一のエッチングチャンバ内で、金属エッチングと、エッチングマスク剥離と、残留側壁パッシベーションの除去とを実行する方法。ウエハは、エッチングチャンバ内に配置される。ウエハ上で、金属エッチングが実行される。酸素とアルゴンとの混合物等の剥離ガスが、エッチングチャンバに提供され、酸素プラズマを形成するために励起される。酸素プラズマは、エッチングマスクをウエハから剥離させ、残留側壁パッシベーションを除去する。酸素プラズマは、更に、エッチングチャンバをクリーニングする。
【選択図】図3

Description

本発明は、半導体デバイスの製造に関する。特に、本発明は、金属エッチングと、残存フォトレジスト及び残留側壁パッシベーションの剥離のための改良された技術に関する。
アルミニウム結線を使用する半導体デバイス等、特定のタイプの半導体デバイスの製造においては、アルミニウム又はチタニウム等の金属層が、金属エッチングデバイス内でエッチングされ、残留側壁パッシベーション及び残存フォトレジストが残る。
説明を容易にするために、図1では、従来技術のエッチングツール10の説明図を示しており、これは、ロードロック12と、金属エッチングチャンバ14と、剥離チャンバ16と、冷却ステーション18とを備える。
エッチングマスク(フォトレジストマスク又はハードマスク)の下に配置された金属層を有する半導体ウエハは、ロードロック12に配置され、これにより、エッチングツール10内の負圧を維持しながら、半導体ウエハをエッチングツール10に入れることができる。この例において、エッチングマスクは、フォトレジストマスクである。ロードロック12から、ウエハは、金属エッチング専用の金属エッチングチャンバ14に搬送される。反応イオンエッチングチャンバ等のこうしたエッチングチャンバは、通常、エッチングできるようにプラズマを生成する。エッチングチャンバ14は、例えば、金属エッチング中にエッチャントガスとしてCl2及びBCl3(三塩化ホウ素)を使用する。N2又はCHF3等の添加物を、エッチングの性質に応じて追加することができる。
一部の従来技術の反応イオン金属エッチングチャンバ14では、ウエハに近接する電極にバイアスを加え、ウエハ全体で電位を形成し、プラズマをウエハに静電気的に引き付け、エッチングを強化する。こうしたエッチングチャンバは、更に、1乃至20ミリトール(mT)程度の内圧を有する傾向がある。プラズマは、エッチングマスクによって保護されていない金属層の一部をエッチングして取り去り、金属結線が形成される。このエッチング工程では、再堆積エッチング生成物により、残留側壁パッシベーションが形成される。
半導体ウエハは、次いで、エッチングチャンバ14から剥離チャンバ16に搬送される。剥離チャンバ16は、下流プラズマデバイスにすることが可能であり、ウエハから離れた位置でプラズマを生成し、半導体ウエハをプラズマにより生成されたイオンに晒す。剥離チャンバ16は、更に、1トール程度の圧力で動作する傾向にあり、これは、一般に、金属エッチングチャンバ内の圧力よりも大幅に高い。剥離チャンバ16は、フォトレジストマスクを除去する。従来技術において、一部の剥離チャンバは、更に、腐食保護を提供するために、ウエハを水蒸気プラズマに晒すことで、腐食パッシベーションを提供する。
図2は、基板を形成する半導体ウエハ22の一部の側面図で、アルミニウム層がエッチングされアルミニウム結線23が形成されており、更に、この半導体ウエハ22にはエッチングマスク剥離及び腐食パッシベーションが施されている。再堆積エッチング金属残留物は、アルミニウム結線23とフォトレジストマスクの側面に堆積し、残留側壁パッシベーション25を形成している。アルミニウム結線に関して、残留側壁パッシベーションは、再堆積エッチング残留物により形成される場合があり、これは塩化物又はフッ化物の形態のアルミニウムである可能性がある。アルミニウム残留物が酸素に晒される時、酸化アルミニウムが形成され、これは、従来の剥離チャンバでは除去が困難な傾向にある。フォトレジストマスクが剥離された後、フォトレジストマスクに隣接する残留側壁パッシベーション25の一部は残存する場合があり、「コウモリの耳」26が形成される。他の工程において、「コウモリの耳」は非常に薄く、倒れかかり、「ベール」を形成する場合がある。
残留側壁パッシベーション25は、大部分がアルミニウム又はチタニウム等の無機材料で構成されており、多くの場合、剥離チャンバ16では残留側壁パッシベーション25を除去することができない。
半導体ウエハ22は、次に、剥離チャンバ16から冷却ステーション18に搬送され、ここで半導体ウエハ22は冷却される。半導体ウエハ22は、次に、冷却ステーション18から、再びロードロック12に搬送され、エッチングツール10内の負圧を維持しながら、半導体ウエハ22をエッチングツール10から取り出せるようになる。半導体ウエハ22は、エッチングツール10から湿式化学剤槽20へ搬送することが可能であり、残留側壁パッシベーション25の一部を除去するために、ここでウエハを侵襲性化学物質に晒すことが可能である。EKC265及びACT935は、残留側壁パッシベーションを剥離させるために湿式化学剤槽において使用される化学物質の例である。
湿式化学剤槽は、維持する費用が高くなる傾向にある。消費される化学物質及び取り扱いにおける環境への配慮と、化学物質の処分とにより、化学槽のコストは更に増加する。除去される残留物の量が増加すると、消費される化学物質の量も増加する。
カリフォルニア州フレモント所在のLAMリサーチ社のTCP(商標)9600PTX Metal Etch System等の現在のエッチングツールでは、二つの誘導結合プラズマエッチングチャンバを二つのマイクロ波剥離チャンバと組み合わせる。各エッチングチャンバはプラズマソースを必要とし、各剥離チャンバもプラズマソースを必要とするため、これにより、エッチングツールのコストと複雑性は増加する。TCP(商標)9600PTX Metal Etch Systemでは、剥離チャンバにおいて、酸素窒素剥離のための60秒間の剥離工程と、30秒間の水蒸気プラズマ腐食パッシベーションとを行い、剥離チャンバ内の合計工程時間を90秒間とすることが推奨されている。
従来技術のエッチングツール及び湿式化学剤槽における工程時間は、エッチングチャンバでのエッチング時間と、剥離チャンバでのエッチングマスク剥離時間と、剥離チャンバでの腐食パッシベーション時間と、エッチングチャンバ及び剥離チャンバ間の搬送時間と、湿式化学剤槽での残留側壁パッシベーション除去の時間との合計が含まれる。二つのエッチングチャンバ及び二つのストリップチャンバ間の搬送時間は、処理時間を増加させる。従来技術において、湿式化学剤槽での残留側壁パッシベーション除去の時間は、約20分間になる場合がある。
BCL3は酸素と反応して、エッチングチャンバを汚染する不揮発性残留物を形成するため、通常は、BCL3を使用するエッチングチャンバには酸素を入れないことが望ましい。
特開平8−213366号公報
前記の観点から、残留側壁パッシベーションの改良された除去を提供することが望ましい。更に、費用の少ないエッチングルールを提供することが望ましい。更に、エッチングツールのスループットを増加させることが望ましい。
本発明は、一実施形態において、エッチングツールのエッチングチャンバにおいて、金属層をエッチングし、有機材料のエッチングマスクを剥離させ、残留側壁パッシベーションを除去する方法に関する。エッチングマスクの剥離及び側壁パッシベーションの除去は、エッチングチャンバ内の酸素プラズマ又はその他の剥離プラズマによって、エッチングチャンバ内で達成される。このエッチングチャンバは、エッチングマスクの剥離と残留側壁パッシベーションの除去との両方を約30秒間で行うことが可能な場合がある。腐食パッシベーションは、高温度環境においてウエハを水蒸気に晒す腐食パッシベーションチャンバ内で提供される。
本発明は、第二の実施形態において、基板上に配置された金属含有層を少なくとも部分的に通じてエッチングする方法に関し、前記金属含有層の一部はエッチングマスクの下に配置され、前記金属含有層の一部はエッチングマスクの下に配置されず、エッチングチャンバ内に基板を配置することと、エッチングチャンバ内にエッチャントガスを流入させることと、エッチングチャンバ内のガスからプラズマを生成することと、エッチングマスクの下に配置されていない金属含有層をエッチングで取り去ること及び残留側壁パッシベーションを形成することと、エッチャントガスの流入を中断することと、エッチングマスク剥離ガスをエッチングチャンバに流入させることと、エッチングチャンバ内のエッチングマスク剥離ガスからプラズマを形成することと、エッチングマスクを剥離させ取り去ること及び基板がエッチングチャンバ内にある間にある程度の残留側壁パッシベーションを除去することと、エッチングチャンバから基板を取り出すことと、のステップを含む。
本発明の前記その他の特徴は、本発明の詳細な説明において、図との関連において、更に詳しく説明されよう。
本発明は、同様の参照番号が類似の要素を示す添付図面の各図において、例示的且つ非限定的に示される。
従来のエッチングツールを示す説明図である。 半導体ウエハの一部を示す断面図である。 本発明の好適な実施形態の説明図である。 本発明の好適な実施形態において使用する工程を示すフローチャートである。 本発明の好適な実施形態において使用する工程を示すフローチャートである。
以下、添付図面に例示したくつかの好適な実施形態に基づき、本発明を詳細に説明する。以下の説明において、本発明の完全な理解を提供するために、多数の具体的な詳細について述べる。しかしながら、当業者に明らかなように、本発明は、こうした具体的な詳細の一部又は全部がなくとも実施することができる。また、本発明がいたずらに不明瞭になるのを避けるために、周知の工程ステップ及び/又は構造については説明していない。
説明を容易にするために、図3では、本発明のエッチングツールの説明図を示しており、これは、ロードロック32と、エッチングチャンバ34と、腐食パッシベーションチャンバ36と、冷却ステーション38とを備える。図4A及び4Bは、下で説明する工程のフローチャートを示す。
エッチングツール30の動作において、基板44は、ロードロック32に配置され、これにより、エッチングツール30内の負圧を維持しながら、半導体ウエハをエッチングツール30に入れることができる(ステップ402)。図3に示す例において、基板44は、金属含有層45が付いた半導体ウエハであり、金属含有層の一部はエッチングマスク46の下に配置されている。ロードロック32から、ウエハは、金属エッチングチャンバ34に搬送される(ステップ404)。好適な実施形態において、エッチングチャンバ34は、反応イオンチャンバである。本発明の他の実施形態において、エッチングチャンバ34は、プラズマ生成デバイスを有する他のタイプのエッチングチャンバを使用することができる。好適な実施形態において、エッチングチャンバ内の圧力は、1乃至80ミリトールに維持される。更に好ましくは、エッチングチャンバ内の圧力は、1乃至40ミリトールに維持される。最も好ましくは、エッチングチャンバ内の圧力は、5乃至20ミリトールに維持される。これは、多くの従来技術の剥離チャンバにおいて維持される圧力よりも低い圧力である。
エッチングチャンバ34のエッチングサイクル中には、エッチャントガスをエッチングチャンバ34内に流入させる(ステップ406)。本発明の好適な実施形態において、エッチャントガスは、Cl2及びBCl3(三塩化ホウ素)を含む。他の実施形態において、N2又はCHF3等の添加物を、エッチングの性質に応じて追加することができる。エッチャントガスの量は、従来技術において知られている。
電力は、高周波電力として、エッチャントガスに供給され、プラズマを生成するためにエッチャントガスに誘導結合される(ステップ408)。好適な実施形態において、エッチャントガスに供給される電力は、300乃至3,000ワットである。更に好ましくは、エッチャントガスに供給される電力は、300乃至1,500ワットである。最も好ましくは、エッチャントガスに供給される電力は、600乃至1,000ワットである。他の実施形態では、エッチャントガスをプラズマに変換するために、マイクロ波電力又は静電結合等、他の方法を使用する。
基盤を支持するチャックにバイアス電圧を加え、ウエハ全体で電位を生じさせ、これにより、エッチャントガスから生成されたプラズマをウエハに静電気的に引き付ける(ステップ410)。好適な実施形態において、バイアス電圧は、−10乃至−1,000ボルトである。更に好ましくは、バイアス電圧は、−25乃至−600ボルトである。最も好ましくは、バイアス電圧は、−50乃至−400ボルトである。バイアス電圧は様々な要素に応じて変化するため、バイアス電力を測定する方が容易である。本発明の好適な実施形態において、バイアス電力は、10乃至800ワットである。更に好ましくは、バイアス電力は、25乃至500ワットである。最も好ましくは、バイアス電力は、50乃至250ワットである。
ウエハ温度は、10乃至100℃に維持される(ステップ412)。更に好ましくは、ウエハは、20乃至80℃の温度に維持される。最も好ましくは、ウエハは、40乃至60℃の温度に維持される。
エッチャントガスからのプラズマは、ウエハの表面に衝突し、エッチングマスクの下になっていない金属含有層の一部をエッチングして取り去る(ステップ414)。金属含有層のエッチングされた部分から出た残留物の一部は、金属含有層上に再堆積し、残留側壁パッシベーションを形成する。加えて、炭素ベースの残留物及びその他の残留物といったエッチャントガスからの残留物は、有機物の性質を有し、エッチングチャンバ34の壁に堆積する。金属含有層が十分にエッチングされた後、エッチャントガスの流入が中断され(ステップ416)、エッチングは停止され、エッチングサイクルは完了する。
次に、剥離サイクルが開始される。剥離ステップ中、エッチングマスク剥離ガスが、次にエッチングチャンバ34に導入される(ステップ418)。本発明の好適な実施形態において、剥離ガスは、0乃至100%のアルゴン及び0乃至100%の酸素を含む。更に好ましくは、剥離ガスは、0乃至50%のアルゴン及び50乃至100%の酸素を含む。最も好ましくは、剥離ガスは、0乃至10%のアルゴン及び90乃至100%の酸素を含み、例えば、5%のアルゴン及び95%の酸素である。他の実施形態において、剥離ガスは、酸素と、アルゴンと、四フッ化炭素と、ヘリウムと、キセノンと、六フッ化硫黄と、窒素と、水素と、ネオンとを、別個に含むことが可能であり、或いはこれらの混合物を含むことが可能である。好ましくは、剥離ガスの混合物は、約70乃至80%のヘリウムと約20乃至30%の酸素とにすることが可能であり、或いは窒素と水素とにすることが可能である。
好適な実施形態においては、電力は、高周波電力として、剥離ガスに供給され、エッチングチャンバにおいて剥離ガスからプラズマを生成するために誘導結合される。(ステップ420)高周波電力は、酸素を励起及びイオン化し、酸素を酸素プラズマに変換する。好適な実施形態において、剥離ガスに供給される電力は、300乃至3,000ワットである。更に好ましくは、剥離ガスに供給される電力は、300乃至1,500ワットである。最も好ましくは、剥離ガスに供給される電力は、600乃至1,000ワットである。本発明の好適な実施形態において、エッチャントガスに投入する電気量は、剥離ガスに投入する電気量と同じであり、複雑性の少ない手順が提供される。しかしながら、他の好適な実施形態においては、エッチャントガスに投入する電気量は、剥離ガスに投入する電気量とは異なる。
基板を支持するチャックにバイアス電圧を加え、これにより、剥離ガスから生成されたプラズマをウエハに静電気的に引き付ける(ステップ422)。好適な実施形態において、バイアス電圧は、−10乃至−1,000ボルトである。更に好ましくは、バイアス電圧は、−100乃至−800ボルトである。最も好ましくは、バイアス電圧は、−200乃至−600ボルトである。バイアス電圧は様々な要素に応じて変化するため、バイアス電力を測定する方が容易である。本発明の好適な実施形態において、バイアス電力は、50乃至1,500ワットである。更に好ましくは、バイアス電力は、100乃至1,000ワットである。最も好ましくは、バイアス電力は、500乃至800ワットである。本発明の好適な実施形態において、エッチング中に使用されるバイアス電圧及びバイアス電力は、剥離中に使用されるバイアス電圧及びバイアス電力とは異なる。しかしながら、他の好適な実施形態において、エッチング中に使用されるバイアス電圧及びバイアス電力は、剥離中に使用されるバイアス電圧及びバイアス電力と同じである。
剥離中、ウエハ温度は、10乃至100℃の好ましい温度に維持される(ステップ424)。更に好ましくは、ウエハは、20乃至80℃の温度に維持される。最も好ましくは、ウエハは、40乃至60℃の温度に維持される。本発明の好適な実施形態において、エッチング中のウエハ温度は、剥離中のウエハ温度とほぼ等しい温度に維持され、複雑性の少ない手順が提供される。しかしながら、他の実施形態において、エッチング中、エッチング中のウエハ温度は、剥離中のウエハ温度とは異なる。
剥離ガスによって生成されたプラズマは、この例においてはフォトレジストマスクであるエッチングマスク(ステップ426)と、ほとんど又はすべての残留側壁パッシベーション(ステップ428)とを剥離させ取り去る。エッチングチャンバのプラズマソースにより生成された励起酸素ラジカルは、有機フォトレジスト層の剥離において主要なコンポーネントとなる。酸素プラズマは、炭素ベースの残留物をエッチングチャンバ34の壁から取り除くことが分かっている(ステップ430)。その結果、エッチングチャンバ34内のウエハからフォトレジストを剥離させる間に、エッチングチャンバ34はエッチングチャンバ自体をクリーニングする。このクリーニングにより、追加のクリーニングを行う必要性が減少する。剥離ガスの流入は、その後、中断される(ステップ432)。
次に、ウエハは、エッチングチャンバ34から(ステップ434)、腐食パッシベーションチャンバ36へ搬送される(ステップ436)。腐食パッシベーションチャンバ36は、腐食保護を設けるために高温の非プラズマ水蒸気にウエハを晒すことで腐食パッシベーションを提供する(ステップ438)。本発明の一態様は、プラズマソースを使用することなく、腐食パッシベーションを提供する。高温の非プラズマ水蒸気は、下流プラズマソースからの水蒸気プラズマを利用した腐食パッシベーションとほぼ同じスループットで、腐食パッシベーションを提供することが分かっている。腐食パッシベーションチャンバ36の水蒸気は、塩素残留物と共にHClを形成する。高温によりHClを放逐し、塩素がアルミニウムと反応する前にアルミニウム表面から塩素を除去することにより、腐食パッシベーションが提供される。他の実施形態では、水蒸気プラズマを使用する腐食パッシベーションチャンバを使用することができる。
ウエハは、次に、腐食パッシベーションチャンバ36から、冷却ステーション38へ搬送され(ステップ440)、ここで半導体ウエハは冷却される(ステップ442)。半導体ウエハは、次に、冷却ステーション38から、ロードロック32へ戻り(ステップ444)、エッチングツール30内の負圧を維持しながら、エッチングルール30から半導体ウエハを取り出すことができるようになる。半導体ウエハは、その後、エッチングツール30のロードロック32から(ステップ446)、湿式化学剤槽へ搬送され(ステップ448)、ここでウエハは侵襲性化学物質に晒され、残りの残留側壁パッシベーションが除去される。EKC265及びACT935は、残留側壁パッシベーションを剥離させるために湿式化学剤槽において使用される化学物質の例である。ほとんど又はすべての残留側壁パッシベーションはエッチングチャンバにおいて除去されるため、ウエハを化学剤槽に入れておく時間は、従来技術よりも大幅に短縮することになる。ウエハは、その後、湿式槽から取り出され(ステップ450)、更に処理が施され、デバイスが完成する。
本発明の好適な実施形態におけるエッチングツール及び湿式化学剤槽での処理時間は、エッチングチャンバでのエッチング時間と、エッチングチャンバでのエッチングマスク剥離及び残留側壁パッシベーション除去時間と、腐食パッシベーションチャンバでの腐食パッシベーション時間と、エッチングチャンバ及び腐食パッシベーションチャンバ間での搬送時間と、湿式化学剤槽での時間との合計を含む。エッチングチャンバを使用した好適な実施形態でのエッチングマスク剥離時間は、従来技術の剥離チャンバでのエッチングマスク剥離時間よりも短くなる傾向にある。好適な実施形態のエッチングチャンバでの残留側壁パッシベーション時間は、通常、従来技術の湿式化学剤槽での残留側壁パッシベーションよりも大幅に短い。例えば、好適な実施形態のエッチングチャンバでの残留側壁パッシベーション除去時間は、30秒乃至1分程度にすることが可能であり、一方、従来技術の湿式化学剤槽での残留側壁パッシベーションは、20分程度かかる場合がある。エッチングチャンバが残留側壁パッシベーションの剥離に使用されるため、好適な実施形態の湿式化学剤槽での時間は、従来技術の湿式化学剤槽での時間よりも大幅に短くなる。例えば、好適な実施形態において、湿式化学剤槽での時間は、5乃至10分程度にすることが可能であり、一方、従来技術の湿式化学剤槽での時間は、20分程度になる場合がある。こうした湿式化学剤槽で短縮される時間は、スループットを増やすだけでなく、ウエハ当たりの消費化学物質の量も減少するため、化学物質の補給頻度を減らすこと、或いは槽のサイズを小さくすることが可能となる。好適な実施形態の腐食パッシベーションでの腐食パッシベーション時間は、従来技術の剥離チャンバでの腐食パッシベーション時間と同程度の長さにすることができる。
本発明の好適な実施形態において、各エッチングツールシステム30は、単一のロードロックと、単一の腐食パッシベーションチャンバと、単一の冷却ステーションと、少なくとも三つのエッチングツールチャンバとを備える。本発明の別の実施形態において、エッチングマスク剥離中には、純酸素が使用される。加えて、単一のガスの使用により、更に簡単な工程にすることが可能である。他の実施形態においては、他の添加物をアルゴンの代わりに使用することができる。
他の実施形態において、エッチングツールは、ロードロックと、エッチングチャンバと、冷却ステーションとを備え、腐食パッシベーションチャンバを備えない。本発明の別の実施形態において、湿式化学剤槽は使用されず、残留側壁パッシベーションの除去は、すべてエッチングチャンバ内で行われる。湿式化学剤槽の排除により、望ましいドライ工程が提供され、有害で、時間がかかり、高価である湿式槽の必要性が排除される。
以上、いくつかの好適な実施形態の観点から本発明を説明してきたが、本発明の範囲に入る変形例、置換例、及び均等物が存在する。また、本発明の方法及び装置を実施する多くの代替方法が存在することにも留意されたい。従って、前記特許請求の範囲は、本発明の本来の趣旨及び範囲に入るすべての変形例、置換例、及び均等物を含むものとして解釈されるべきである。

Claims (16)

  1. 基板上に配置された金属含有層を少なくとも部分的にエッチングする方法であって、
    前記金属含有層の一部がエッチングマスクの下に配置される一方で、前記金属含有層の一部が前記エッチングマスクの下に配置されず、
    前記方法は、
    エッチングチャンバに前記基板を配置するステップと、
    前記エッチングチャンバ内にエッチャントガスを流入させるステップと、
    前記エッチングチャンバ内で前記エッチャントガスからプラズマを生成するステップと、
    前記エッチングマスクの下に配置されていない前記金属含有層の一部をエッチングして取り去るステップであって、前記金属含有層の前記エッチングにより取り去られた部分の一部が、前記基板が前記エッチングチャンバ内にある間に、再堆積して残留側壁パッシベーションが形成されるステップと、
    前記エッチングチャンバへの前記エッチャントガスの前記流入を中断するステップと、
    前記エッチングチャンバ内にエッチングマスク剥離ガスを流入させるステップと、
    前記エッチングチャンバ内の前記エッチングマスク剥離ガスからプラズマを生成するステップと、
    前記基板が前記エッチングチャンバ内にある間に、前記エッチングマスクを剥離させて取り去り、残留側壁パッシベーションの一部を除去するステップと、
    前記エッチングチャンバから前記基板を取り出すステップと、を備える方法。
  2. 請求項1記載の方法であって、更に、
    前記エッチングチャンバ内において、前記基板に、前記エッチャントガスからの前記プラズマを静電気的に引き付けるステップと、
    前記エッチングチャンバ内において、前記基板に、前記エッチングマスク剥離ガスからの前記プラズマを静電気的に引き付けるステップと、を備える方法。
  3. 請求項2記載の方法であって、
    前記エッチングチャンバは、金属エッチングチャンバである、方法。
  4. 請求項3記載の方法であって、
    前記エッチングマスクを剥離させて取り去り、前記残留側壁パッシベーションの一部を除去する前記ステップは、更に、前記エッチングチャンバの壁から残留物を除去する、方法。
  5. 請求項4記載の方法であって、
    前記エッチングマスク剥離ガスは酸素を含む、方法。
  6. 請求項5記載の方法であって、更に、
    ロードロック内に前記基板を配置するステップと、
    前記エッチングチャンバ内に前記基板を配置するために、前記ロードロックから前記基板を取り出すステップと、を備える方法。
  7. 請求項6記載の方法であって、更に、
    前記エッチングチャンバから前記基板を取り出した後、腐食パッシベーションチャンバに前記基板を配置するステップと、
    ウエハを非プラズマ高温水蒸気に晒すステップと、を備える方法。
  8. 請求項7記載の方法であって、更に、
    前記腐食パッシベーションチャンバから冷却ステーションに前記基板を搬送するステップと、
    前記冷却ステーションにおいて前記基板を冷却するステップと、
    前記冷却ステーションから前記ロードロックへ前記基板を搬送するステップと、を備える方法。
  9. 請求項8記載の方法であって、更に、
    前記エッチングステップ及び前記剥離ステップの間、圧力を1乃至80ミリトールに維持するステップを備える、方法。
  10. 請求項9記載の方法であって、更に、
    前記エッチングステップ及び前記剥離ステップの間、前記基板を10℃乃至100℃の温度に維持するステップを備える、方法。
  11. 請求項10記載の方法であって、
    前記エッチャントガスからの前記プラズマを静電気的に引き付ける前記ステップは、前記基板を支持するチャックに、−10乃至−1,000ボルトのバイアス電力でバイアスをかけるステップを含み、
    前記エッチングマスク剥離ガスからの前記プラズマを静電気的に引き付ける前記ステップは、前記基板を支持する前記チャックに、−10乃至−1,000ボルトのバイアス電力でバイアスをかけるステップを含む、方法。
  12. 請求項4記載の方法であって、更に、
    前記エッチングステップ及び前記剥離ステップの間、圧力を1乃至80ミリトールに維持するステップを含む、方法。
  13. 請求項12記載の方法であって、更に、
    前記エッチングステップ及び剥離ステップの間、前記基板を10℃乃至100℃の温度に維持するステップを含む、方法。
  14. 請求項13記載の方法であって、
    前記エッチャントガスからの前記プラズマを静電気的に引き付ける前記ステップは、前記基板を支持するチャックに、−10乃至−1,000ボルトのバイアス電力でバイアスをかけるステップを含み、
    前記エッチングマスク剥離ガスからの前記プラズマを静電気的に引き付ける前記ステップは、前記基板を支持する前記チャックに、−10乃至−1,000ボルトのバイアス電力でバイアスをかけるステップを含む、方法。
  15. 基板上に配置された金属含有層を少なくとも部分的にエッチングする方法であって、
    前記金属含有層の一部がエッチングマスクの下に配置される一方で、前記金属含有層の一部が前記エッチングマスクの下に配置されず、
    前記方法は、
    エッチングチャンバに前記基板を配置するステップと、
    前記エッチングマスクの下に配置されていない前記金属含有層の一部をエッチングして取り去るステップであって、前記金属含有層の前記エッチングにより取り去られた部分の一部が、前記基板が前記エッチングチャンバ内にある間に、再堆積して前記基板上に残留側壁パッシベーションが形成されるステップと、
    前記基板が前記エッチングチャンバ内にある間に、前記エッチングマスクを剥離させて取り去り、側壁パッシベーションの一部を除去するステップと、
    前記エッチングチャンバから前記基板を取り出すステップと、を備える方法。
  16. 基板上に配置された金属含有層を少なくとも部分的にエッチングする装置であって、
    前記金属含有層の一部がエッチングマスクの下に配置される一方で、前記金属含有層の一部が前記エッチングマスクの下に配置されず、
    前記装置は、
    エッチングチャンバに前記基板を配置する手段と、
    前記エッチングチャンバ内にエッチャントガスを流入させる手段と、
    前記エッチングチャンバ内で前記エッチャントガスからプラズマを生成する手段と、
    前記エッチングマスクの下に配置されていない前記金属含有層の一部をエッチングして取り去る手段であって、前記金属含有層の前記エッチングにより取り去られた部分の一部が、前記基板が前記エッチングチャンバ内にある間に、再堆積して残留側壁パッシベーションが形成される手段と、
    前記エッチングチャンバへの前記エッチャントガスの前記流入を中断する手段と、
    前記エッチングチャンバ内にエッチングマスク剥離ガスを流入させる手段と、
    前記エッチングチャンバ内の前記エッチングマスク剥離ガスからプラズマを生成する手段と、
    前記基板が前記エッチングチャンバ内にある間に、前記エッチングマスクを剥離させて取り去り、残留側壁パッシベーションの一部を除去する手段と、
    前記エッチングチャンバから前記基板を取り出す手段と、を備える装置。
JP2011196141A 1999-12-27 2011-09-08 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程 Pending JP2012023385A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/472,757 1999-12-27
US09/472,757 US6852636B1 (en) 1999-12-27 1999-12-27 Insitu post etch process to remove remaining photoresist and residual sidewall passivation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001548428A Division JP2003518768A (ja) 1999-12-27 2000-12-21 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程

Publications (1)

Publication Number Publication Date
JP2012023385A true JP2012023385A (ja) 2012-02-02

Family

ID=23876824

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001548428A Withdrawn JP2003518768A (ja) 1999-12-27 2000-12-21 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程
JP2011196141A Pending JP2012023385A (ja) 1999-12-27 2011-09-08 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2001548428A Withdrawn JP2003518768A (ja) 1999-12-27 2000-12-21 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程

Country Status (10)

Country Link
US (1) US6852636B1 (ja)
EP (1) EP1243023B1 (ja)
JP (2) JP2003518768A (ja)
KR (1) KR100794538B1 (ja)
CN (1) CN1210773C (ja)
AT (1) ATE431964T1 (ja)
AU (1) AU2737301A (ja)
DE (1) DE60042246D1 (ja)
TW (1) TW471060B (ja)
WO (1) WO2001048808A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014212310A (ja) * 2013-04-02 2014-11-13 東京エレクトロン株式会社 半導体デバイスの製造方法及び製造装置

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60119350T2 (de) * 2001-12-17 2007-03-15 Ami Semiconductor Belgium Bvba Methode zur Herstellung von Leiterbahnstrukturen
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
CN100444025C (zh) * 2004-07-12 2008-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 光刻胶修整方法
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US20070227555A1 (en) * 2006-04-04 2007-10-04 Johnson Michael R Method to manipulate post metal etch/side wall residue
JP6844083B2 (ja) * 2015-05-27 2021-03-17 サムコ株式会社 アフターコロージョン抑制処理方法
CN107464750B (zh) * 2017-08-23 2019-12-13 成都海威华芯科技有限公司 一种去除光刻胶底膜的工艺方法
US11749532B2 (en) 2021-05-04 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
WO2024128593A1 (ko) * 2022-12-12 2024-06-20 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01243546A (ja) * 1988-03-25 1989-09-28 Toshiba Corp 半導体装置の製造方法
JPH0341728A (ja) * 1989-07-07 1991-02-22 Fujitsu Ltd 半導体装置の製造方法
JPH0437128A (ja) * 1990-06-01 1992-02-07 Matsushita Electric Ind Co Ltd Alエッチング方法
JPH05275325A (ja) * 1992-03-26 1993-10-22 Fujitsu Ltd 半導体装置の製造方法
JPH08213366A (ja) * 1995-02-07 1996-08-20 Hitachi Ltd パターン形成方法およびパターン形成装置、ならびに半導体集積回路装置の製造方法および半導体製造装置
JPH113881A (ja) * 1997-06-11 1999-01-06 Fujitsu Ltd アッシング方法及び装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804431A (en) 1987-11-03 1989-02-14 Aaron Ribner Microwave plasma etching machine and method of etching
US4985113A (en) 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0809283A3 (en) 1989-08-28 1998-02-25 Hitachi, Ltd. Method of treating wafers
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5397432A (en) 1990-06-27 1995-03-14 Fujitsu Limited Method for producing semiconductor integrated circuits and apparatus used in such method
US5174856A (en) 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5462892A (en) 1992-06-22 1995-10-31 Vlsi Technology, Inc. Semiconductor processing method for preventing corrosion of metal film connections
US5931721A (en) 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
WO1997011482A2 (en) 1995-09-05 1997-03-27 Lsi Logic Corporation Removal of halogens and photoresist from wafers
US5573961A (en) 1995-11-09 1996-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Method of making a body contact for a MOSFET device fabricated in an SOI layer
US5780359A (en) * 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US5824604A (en) 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5712207A (en) 1996-02-29 1998-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Profile improvement of a metal interconnect structure on a tungsten plug
US5964949A (en) 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
DE69708787T2 (de) 1996-03-06 2002-06-20 Clariant Finance Bvi Ltd Verfahren zur herstellung von filmmustern unter anwendung der abhebetechnologie
US5908319A (en) 1996-04-24 1999-06-01 Ulvac Technologies, Inc. Cleaning and stripping of photoresist from surfaces of semiconductor wafers
US5882489A (en) 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5776832A (en) 1996-07-17 1998-07-07 Taiwan Semiconductor Manufacturing Company Ltd. Anti-corrosion etch process for etching metal interconnections extending over and within contact openings
US5779929A (en) 1996-10-07 1998-07-14 Lucent Technologies Inc. Thin film metallization for barium nanotitanate substrates
US5795831A (en) 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5744395A (en) 1996-10-16 1998-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure
US5899716A (en) 1997-05-19 1999-05-04 Vanguard International Semiconductor Corporation Oxygen ion implantation procedure to increase the surface area of an STC structure
JPH10335313A (ja) * 1997-06-03 1998-12-18 Hitachi Ltd プラズマエッチング方法
US5846884A (en) 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
US5946589A (en) 1997-10-09 1999-08-31 Chartered Semiconductor Manufacturing, Ltd. Elimination of void formation in aluminum based interconnect structures
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01243546A (ja) * 1988-03-25 1989-09-28 Toshiba Corp 半導体装置の製造方法
JPH0341728A (ja) * 1989-07-07 1991-02-22 Fujitsu Ltd 半導体装置の製造方法
JPH0437128A (ja) * 1990-06-01 1992-02-07 Matsushita Electric Ind Co Ltd Alエッチング方法
JPH05275325A (ja) * 1992-03-26 1993-10-22 Fujitsu Ltd 半導体装置の製造方法
JPH08213366A (ja) * 1995-02-07 1996-08-20 Hitachi Ltd パターン形成方法およびパターン形成装置、ならびに半導体集積回路装置の製造方法および半導体製造装置
JPH113881A (ja) * 1997-06-11 1999-01-06 Fujitsu Ltd アッシング方法及び装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014212310A (ja) * 2013-04-02 2014-11-13 東京エレクトロン株式会社 半導体デバイスの製造方法及び製造装置

Also Published As

Publication number Publication date
CN1210773C (zh) 2005-07-13
EP1243023B1 (en) 2009-05-20
WO2001048808A1 (en) 2001-07-05
KR100794538B1 (ko) 2008-01-17
EP1243023A1 (en) 2002-09-25
DE60042246D1 (de) 2009-07-02
TW471060B (en) 2002-01-01
KR20020081234A (ko) 2002-10-26
CN1434978A (zh) 2003-08-06
ATE431964T1 (de) 2009-06-15
AU2737301A (en) 2001-07-09
JP2003518768A (ja) 2003-06-10
US6852636B1 (en) 2005-02-08

Similar Documents

Publication Publication Date Title
JP2012023385A (ja) 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程
JP4860087B2 (ja) エッチング方法
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
US6692903B2 (en) Substrate cleaning apparatus and method
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6274500B1 (en) Single wafer in-situ dry clean and seasoning for plasma etching process
EP0938134A2 (en) Plasma processing method
JPH07153746A (ja) ドライエッチング室のクリーニング方法
US7097716B2 (en) Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
WO2019235398A1 (ja) エッチング処理方法およびエッチング処理装置
US8845816B2 (en) Method extending the service interval of a gas distribution plate
US6184134B1 (en) Dry process for cleaning residues/polymers after metal etch
JP2005109492A (ja) 処理室の清掃方法
US6921493B2 (en) Method of processing substrates
US5840203A (en) In-situ bake step in plasma ash process to prevent corrosion
JP5642427B2 (ja) プラズマ処理方法
EP1297566A2 (en) Substrate cleaning apparatus and method
JPH01200628A (ja) ドライエッチング方法
KR20210015739A (ko) 에칭 처리 방법 및 에칭 처리 장치
US20120094499A1 (en) Method of performing an in situ chamber clean
JP5896419B2 (ja) プラズマ処理装置およびそのクリーニング方法
JPH08279487A (ja) プラズマ処理方法
TW451345B (en) Cleaning method of the residual material after removing photoresist
KR100237020B1 (ko) 반도체 소자의 금속층 형성 방법
JP2023529454A (ja) チャンバ洗浄方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130521

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130530

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131029