KR20010112313A - 반도체에서 p, p+ 및 n, n+ 대역을 제조하기 위한도핑 페이스트 - Google Patents

반도체에서 p, p+ 및 n, n+ 대역을 제조하기 위한도핑 페이스트 Download PDF

Info

Publication number
KR20010112313A
KR20010112313A KR1020017011471A KR20017011471A KR20010112313A KR 20010112313 A KR20010112313 A KR 20010112313A KR 1020017011471 A KR1020017011471 A KR 1020017011471A KR 20017011471 A KR20017011471 A KR 20017011471A KR 20010112313 A KR20010112313 A KR 20010112313A
Authority
KR
South Korea
Prior art keywords
dopant
paste
sio
group
compounds
Prior art date
Application number
KR1020017011471A
Other languages
English (en)
Other versions
KR100697439B1 (ko
Inventor
퀘벨베크알민
지엘린스키클라우디아
하이더릴리아
스톡쿰베르너
Original Assignee
플레믹 크리스티안
메르크 파텐트 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 플레믹 크리스티안, 메르크 파텐트 게엠베하 filed Critical 플레믹 크리스티안
Publication of KR20010112313A publication Critical patent/KR20010112313A/ko
Application granted granted Critical
Publication of KR100697439B1 publication Critical patent/KR100697439B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/2225Diffusion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/228Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • H01L31/0288Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S252/00Compositions
    • Y10S252/95Doping agent source material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/914Doping
    • Y10S438/923Diffusion through a layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Sustainable Development (AREA)
  • Photovoltaic Devices (AREA)
  • Die Bonding (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

본 발명은 단결정 및 다결정 Si 박판에 있는 p, p+ 및 n, n+ 대역을 제조하기 위한 붕소, 인 또는 붕소-알루미늄을 기본으로 하는 신규한 도핑 페이스트에 관한 것이다. 또한, 본 발명은 반도체의 제조, 동력 전자기술 또는 광전지 제품에서 차단 페이스트에 상응하는 페이스트의 용도에 관한 것이다.

Description

반도체에서 P, P+ 및 N, N+ 대역을 제조하기 위한 도핑 페이스트{DOPING PASTES FOR PRODUCING P, P+ AND N, N+ ZONES IN SEMICONDUCTORS}
광전지 기술 및 동력 반도체(예컨대 다이오드, 사이리스터(thrister))의 경우에 단결정 Si 박판의 붕소 또는 인 도핑을 증기상(예컨대 POCl3, 포스핀, BBr3)을 통해 수행하거나, 고형물(필름 또는 고형 실리스) 또는 액상 매질(예컨대 인산, 및 다른 유기 B 또는 P 계통)을 사용하여 수행한다.
직접 패턴화하여 적용함은 이들 기술로 불가능하다. 예컨대 정교한 사진평판 방법을 사용하여 도핑되지 않아야 할 영역을 차폐시켜야만 한정된 영역을 선택적으로 도핑할 수 있다.
광전지 기술에서, 포괄 또는 국소 배면 영역(BSF)을 배면상에 p++ 도핑을 함으로써 달성할 수 있다. 현존 생산 라인은 Al 금속층 또는 액상 붕소원에 의해 수득되는, 포괄 배면 영역을 사용해서만 작동할 뿐이다. 국소 BSF는 하기에 상세히 설명된 이유 때문에, 최근까지 실험실 규모로만 실행되어 왔다.
포괄 배면 영역은, 그 중에서도, 얇은 Si 박판(250㎛ 이하)의 경우에 특히 유리한데, 그렇지 않을 경우 전지의 효능이 심각하게 감소된다는 것이 밝혀졌기 때문이다. 이러한 BSF를 최근에는 알루미늄 페이스트로 포괄 전사시킴으로써 두께 300 ㎛를 초과하는 Si 박판의 경우에 수득한다(문헌[P. Lolgen; F. J. Bisschop; W. C. Sinke; R. A. Steeman; L. A. Verhoef et al. 6thPV Science and Engineering Conference, New Delhi, 1992, p 239]). 상기 경우는, 한편, p+ 도핑, 즉 BSF가 알루미늄으로 이루어지고, 다른 한편, 전지에서 발생하는 전기가 또한 알루미늄에 의해 분산된다는 점에서 유리하다. 그러나, 얇은 웨이퍼의 경우에, 알루미늄 페이스트가 부식된 후 웨이퍼가 휘어진다. 따라서 이러한 웨이퍼의 추가 조작 및 공정은 이러한 휘어진 전지를 일반적으로 편평하게 고안된 PV 모듈로 혼입시키는데 연루되는 어려움이 알려져 있으므로 특별한 수단을 필요로 한다.
독일 특허 공보 제 DE 195 08712 C2 호 및 문헌[K. A. Munzer, R. R. King, R. E. Schlosser, H. J, Schmidt, J. Schmalzbauer, S. Sterk, H. L. Mayer, 13thEuropean Photovoltaic Solar Energy Conference, 23-27 10. 1995, p. 1398]에서, 붕소를 사용한 스핀상(spin-on) 공정에 의해 상기 문제를 해결하고자 하는 시도가이루어졌다. 이 경우에서, 붕소 및 알루미늄 박편에 의해 생성된 p++ 도핑을 알루미늄 페이스트에 의해 후속 전사에서 수행한다.
상기 방법의 단점은 a) 스핀상 공정에 상당 물질이 필요하다는 점 b) 어느 각도의 웨이퍼가 스핀상 공정을 사용하여 균일하게 피복되어지는가에 대해 상당한 장비가 지출된다는 점 c) 대량 생산이 다량의 원료처리량 및 고액의 조작과 같이 매우 어렵게 실현될 수 있다는 점 d)웨이퍼의 선택적 패턴화가 불가능하다는 점이다.
본 발명은 반도체 조립, 동력 전자기술 또는 광전지 제품에서 차폐 페이스트로서 사용하기 위한 단결정 및 다결정 Si 박판에 있는 p, p+ 및 n, n+ 영역, 및 상응하는 페이스트를 제조하기 위한 신규한 붕소, 인 또는 붕소-알루미늄 도펀트 페이스트에 관한 것이다.
따라서 본 발명의 목적은 상기 기재된 단점을 갖지 않고 직접 사용가능한 반도체 기술에서 사용할 수 있는 개선된, 염가의 도펀트 페이스트를 제공하는 것이다. 또한, 본 발명의 목적은 상응하는 스크린-전사가능 페이스트를 제공하는 것이다.
상기 목적은 a) 하나 이상의 도펀트 활성을 갖는 화합물, b) SiO2주형, c) 용매, d) 선택적으로 산 및 물, 및 선택적으로 e) 증점제 또는 습윤제와 같은 첨가제를 포함하고, 이들 총 조성물이 각각 500 ppb 미만, 바람직하게는 200 ppb 미만의 농도로 금속 이온 형태의 불순물을 가지며, Si 웨이퍼에서 p, p+, p++, n, n+ 및 n++ 영역을 제조하기 위한 스크린, 롤러, 패드, 스텐실 전사 또는 반도체 기술에서 통상적인 기타 전사 기술에 의한 Si 웨이퍼의 선택적 패턴화 및 포괄 전사용도펀트 페이스트에 의해 달성된다.
시험을 통해, 상기 언급된 단점이 본원에 나타난 스크린-전사가능 도펀트 페이스트에 의해 제거되었음이 밝혀졌다.
본 발명에 따른 페이스트는 붕소염, 산화 붕소, 붕산, 유기 붕소 화합물, 붕소-알루미늄 화합물 및 인염, 산화 인, 오산화 인, 인산, 유기 인 화합물, 유기 알루미늄 화합물 및 알루미늄염으로 이루어진 군으로부터 선택된 도펀트 원료를 포함할 수 있다.
원칙적으로, 주로 주기율표의 3족 및 5족으로부터 당업자에게 공지된 화합물이 적합하다.
또한, 상응하는 페이스트는 하나 이상의 SiO2전구체로 구성된 SiO2주형을 포함한다.
밝혀진 도펀트 페이스트는 산화 붕소(B2O3), 인산(H3PO4), 오산화 인(P2O5) 및 알루미늄염으로 이루어진 군으로부터 선택된 하나 이상의 도펀트 원료를 포함할 수 있으며, 이때 도핑 원료는 상이한 농도로 사용될 수 있다.
또한, 본 발명은 유기 붕소, 인 및 알루미늄 화합물로 이루어진 군으로부터 선택된 하나 이상의 도펀트 원료를 포함하는 도펀트 페이스트에 관한 것으로, 이때 도핑 원료는 상이한 농도로 사용될 수 있다.
또한, 본 발명은 산화 붕소(B2O3), 인산(H3PO4), 오산화 인(P2O5) 및 알루미늄염으로 이루어진 또는 유기 알루미늄 화합물로 구성된 군으로부터 선택된 하나 이상의 도펀트 원료, 및 선택적으로, 유기 붕소, 인 및 알루미늄 화합물로 이루어진 군으로부터 선택된 하나 이상의 도펀트 원료를 포함하는 도펀트 페이스트에 의해 달성되며, 이때 도핑 원료는 상이한 농도로 사용될 수 있다.
도펀트 페이스트에 포함되는, SiO2주형은 본 발명에 따라 일반식 R'nSi(OR)4-n(이때, R'는 메틸, 에틸 또는 페닐이고; R은 메틸, 에틸, n-프로필 또는 i-프로필이고; n은 0, 1 또는 2이다)의 유기 실리콘 화합물에 의해 형성될 수 있다.
또한, 본 발명은 테트라메톡시실란, 테트라에톡시실란 및 테트라부톡시실란으로 이루어진 군으로부터 독립적으로 또는 혼합물로서 선택된 실란에 의해 형성된 SiO2주형을 포함하는 도펀트 페이스트에 관한 것이다.
또한, 본 발명은 반도체 기술, 광전지 기술 또는 동력 전자기술에서 상기 기재된 신규한 도펀트 페이스트의 용도에 관한 것이다.
도펀트 페이스트 외에도, 또한, 본 발명은 a) SiO2주형 b) 용매 c) 선택적으로 산 및 물, 및 선택적으로 d) 증점제 또는 습윤제와 같은 첨가제를 포함하고, 이들 총 조성물이 각각 500 ppb 미만, 바람직하게는 200 ppb 미만의 농도로 금속 이온 형태의 불순물을 갖는 차폐 페이스트에 관한 것이다.
상기 언급된 도펀트 페이스트와 유사하게, 차폐 페이스트가 도펀트로부터 유리되었다는 점을 제외하고는 동일한 방법으로 만들어진 차폐 페이스트는 본 발명에 따라 반도체 기술, 광전지 기술 및 동력 전자기술에서 사용된다.
유사하게 스크린-전사가능 페이스트에 의해 저렴하게 다량의 원료처리와 함께 포괄 또는 선택(선택 방사) 인(phosphorous) 도핑을 수행할 수 있다.
최근에 사진평판 방법에 의해 만들어질 수 있는, 패턴화 단계를 스크린-전사가능 도펀트 페이스트를 사용함으로써 염가로 대체할 수 있다.
도펀트 첨가제가 없는 순수 SiO2주형 페이스트로서 기재될 수 있는, 차폐 페이스트를 의도적으로 한정된 보호층 형성에 사용할 수 있다.
상기 목적을 위하여, 페이스트를 Si 웨이퍼에 포괄적으로, 또는 다른 패턴 양상으로 적용시킬 수 있다. 이는 분산 공정동안 도핑으로부터 한정된 영역을 보호할 수 있도록 한다.
본 목적은 인, 붕소 및 붕소-알루미늄으로 도핑된 실리케이트층을 선택적으로 제조하기 위한 신규한 페이스트에 의해 달성된다. 이들 페이스트는 전자기술 업계에서 기술적 전사 방법에 사용하는데 적합하다. 이들은, 특히, 스크린-전사 기술 또는 패드 전사를 포함한다.
필요한 제품의 분야에 따라, 개개 성분의 농도 및 점도가 사용된 페이스트내에서 다양해질 수 있다.
또한, 본 발명에 따른 도펀트 페이스트는 한정된 주형에 의해 구별될 수 있다. 이는 분산 공정중에 가열하는 동안 SiO2-형성 성분에 의해 형성된다. 붕소 또는 인을 전달하는 상기 성분과 함께, 문헌[K. Spanowsky, The Diffusion of Boron with the aid of Spin-on Glasses in MOS Technology, diploma dissertation,1996; R. Fischer, Experiments to Clarify the Diffusion Mechanism of Phosphorous in Silicon, diploma dissertation, 1994]에 기재되고 붕소 실리케이트 유리 또는 인 실리케이트 유리로서 당업자에게 공지된 (B2O3)x *(SiO2)y또는 (P2O5)x *(SiO2)y가 제조된다. 상기 유리는 도핑하는 동안 도펀트의 실제 원료를 이룬다.
도펀트 페이스트에서 SiO2-형성 성분의 양은 0.1 내지 5 중량%의 변형가능한 범위이다. 도펀트 성분 B2O3또는 P2O5와 관련하여, B2O3또는 P2O5에 대한 SiO2의 비를 임의로 정할 수 있으나, SiO2중에 도펀트가 10 내지 80%의 범위인 것이 유리하다. 실리콘 중에서 이루어지는 상기 도펀트의 농도를 상기 비율로 잘 조절할 수 있다. 문헌 [A. Goetzberger; B. Voβ; J. Knobloch, Solar Power: Photovoltaic Technology, Teubner Studienbucher Stuttgart 1997, p 40; 107]에 공지된 바와 같이, 도펀트의 침투 깊이를 분산 시간 및 분산 온도의 변수로 조절한다.
도핑 공정을 공기 또는 산소 기류에서 분산을 제어함으로써 수행한다. 인 분산에 대한 온도는, 예컨대 인에 대하여 900 내지 950℃이고, 붕소 분산에 대하여 약 950 내지 1250℃이다. 분산 온도를 사용된 Si 웨이퍼로 추적하였다. 단결정 웨이퍼의 경우에, 이들이 복결정 웨이퍼보다 열적으로 더욱 안정하므로, 분산 온도를 약 1250℃까지 더 상승시킬 수 있다.
또한, 분산을 급속 열 전달(RTP) 기술로 수행할 수 있다.
제품의 목적에 따라, 분산 시간은 수 분 내지 60 시간 이상일 수 있다. 분산 후, 제조된 인실리케이트 유리(PSG) 또는 붕소실리케이트 유리(BSG)를 용해시키기 위해 웨이퍼를 HF(HF의 농도; 약 2 내지 50%)로 처리한다. 상기 처리를 하고 나면, Si 웨이퍼는 부재(free) Si 표면을 가진다.
도펀트 성분은 도펀트 페이스트에 대하여 0 내지 10%의 농도 범위에 있다. 도펀트-부재(0% 중량부) 조성물의 경우에는, 차폐 페이스트를 제조한다. 이를 도펀트 페이스트의 경우와 동일한 공정 조건하에 행한다.
용매는 페이스트의 주성분을 형성한다. 비율은 용매 총량의 50 내지 80 중량%일 수 있다.
점도 범위의 제어된 조정 및 기본적으로 도펀트의 전사능력, 즉 전사가능한 페이스트를 제조하기 위해 필요한, 증점제의 비율은 페이스트 총량에 대하여 약 1 내지 20 중량%이다.
원하는 목적을 위해 유리한 성질을 갖는 기타 첨가제는 소포제, 접착 조절제, 증점제, 습윤제, 표준화제(levelling agent), 틱소트로피제이다. 이들은 페이스트의 전사능력에 긍정적으로 영향을 미칠 수 있다.
일반적으로 알콕시실란은 실리콘 주형, 특히 일반식 R'nSi(OR)4-n(이때, R'는 메틸, 에틸 또는 페닐이고; R은 메틸, 에틸, n-프로필 또는 i-프로필이고; n은 0, 1 또는 2이다)의 실란을 제조하는데 적합하다.
적합한 실란의 예로는 테트라메톡시실란, 테트라에톡시실란, 테트라부톡시실란, 테트라프로폭시실란, 및 고급 실란 및 혼합된 실란, 즉, 장쇄 알킬쇄를 갖는 실란 또는 다양한 알킬 잔기를 갖는 실란이 있다.
또한, 다양한 알킬실란 또는 알콕시실란의 혼합물을 유리하게 사용할 수 있다.
이들 화합물을 미리 가수분해하는 것이 필요할 수 있다. 전처리의 필요가 없는 것으로서 이러한 실록산, 예컨대 폴리실록산을 사용함이 본 발명에 따른 페이스트를 제조하는데 유리하다.
기재를 분산 화로에서 가열할 때, 유기 실리콘 화합물은, 예컨대 하기 반응식 1에 따라 이산화 실리콘을 형성한다.
도펀트 주형을 제조하기 위해서, 붕소 및 인 화합물, 및 알루미늄 화합물을 무기 성분으로서 사용할 수 있다. 예를 들어, 산화 붕소 B2O3, 붕산 B(OH)3, 인산 H3PO4, P2O5및 알루미늄염 또는 유기 알루미늄 화합물이 포함된다.
붕소 및 인의 유기 화합물, 및 유기 알루미늄 화합물을 유기 성분으로 사용할 수 있다.
또한, 첨가제를 본 발명에 따른 페이스트와 혼합할 수 있다. 이들은 유기산 및 무기산, 예컨대 질산, 염산, 락트산, 옥살산, 포름산 등일 수 있다. 특히, 유기 Si 화합물의 가수분해를 수행할 필요가 있을 때 이들 산을 첨가한다. 일반적으로, 알킬 잔기가 n이 1 내지 10인 쇄길이를 갖는 유기산을 사용할 수 있다. 잔기 R이 OH 또는 n이 1 내지 10인 알킬을 갖는 유기산을 사용할 수 있다. 사용가능한 상기 염의 알킬 잔기는 직쇄 및 분지쇄일 수 있다.
본 발명에 따른 페이스트중의 상응하는 첨가제는 유기 실리콘 화합물이 미리 가수분해될 필요가 있을 때 필요하다. 그러나, 예컨대 실록산의 경우에는, 상기 첨가제를 생략할 수 있다.
적합한 증점제로는 틱소톤(Thixoton:등록상표, 리시누스유(ricinus oil)), 보치겐 티에스(Borchigen TS:등록상표, 실리스산에 대한 유동 첨가제), 다양한 품질 및 성질을 갖는 벤토나이트, 다양한 극성 용매 혼합물에 대한 일반적 무기 유동 첨가제, 니트로셀룰로스, 에틸셀룰로스 및 기타 셀룰로스 화합물, 다양한 품질을 갖는 폴리비닐피롤리돈, 전분(+NH4OH), 젤라틴, 알긴산, 고분산 무정형 살리스산(에어로실(Aerosil:등록상표), 폴리비닐부티랄(모비탈(Mowital:등록상표, 예컨대 부틸 글리콜중에 쉽게 용해된다)), 카복시메틸셀룰로스 나트륨(비비스터(vivistar)), 열가소성 폴리아미드 수지(에우렐론(Eurelon:등록상표, 약 180℃미만으로 비등되어야 함)), 유기 리시누스유 유도체(틱신 알(Thixin R:등록상표)), 디아미드 왁스(틱사트롤 플러스(Thixatrol plus:등록상표), 팽창 폴리아크릴레이트(레올레이트 (Rheolate:등록상표)), 폴리에테르우레아-폴리우레탄(레올레이트), 폴리에테르-폴리올(레올레이트)이 포함된다.
폴리실록산, 실록산 및 트리실록산을 표준화제로서 사용할 수 있다.
특히 적합한 첨가제는 다른 성분과 잘 결합되는 것으로, 유리하게는 200℃ 미만의 온도에서 점성없이 예비건조되고 200 내지 500℃에서 잔사를 남기지 않고 연소되는 것이다.
고순도 도펀트 페이스트의 점도는 증점제 및 사용된 용매에 의해 조절된다.
광전지 기술에 있어 양호한 도펀트 페이스트를 위해 기본적으로 필요한 것은 금속 화합물에 가능한 최소한도로 오염되는 것이다. 특히, 문헌에서 담체 수명 "치사제"로서 자주 언급되는 금속 철, 크롬, 구리, 니켈, 금, 칼슘 등의 양이온이 바람직하지 못하다. 이들의 농도는 페이스트중에서 가능한 500 ppb 미만이어야 한다. 특별한 경우에, 농도는 200 ppb를 초과하지 않아야 한다. 이들 조건에 부응할 수 있도록 제조하기 위해서, 특별한 경우에 정제된 출발 물질만을 사용할 수 있다.
이제까지 언급한 성분 외에도, 페이스트를 제조하기 위해 용매를 첨가하는 것이 필요하다.
적합한 유기 용매로는 친수성 폴리알콜, 예컨대 에틸렌 글리콜, 메틸셀로솔브, 메틸셀로솔브 아세테이트, 에틸셀로솔브, 디에틸셀로솔브, 셀로솔브 아세테이트, 에틸렌 글리콜 모노부틸 에테르, 에텔렌 글리콜 모노페닐 에테르, 메톡시메톡시에탄올, 에틸렌 글리콜 모노아세테이트, 에틸렌 글리콜 디아세테이트, 디에틸렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 디메틸 에테르, 디에텔렌 글리콜 메틸에틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 디에틸렌 글리콜 아세테이트, 트리에틸글리콜, 트리에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 테트라에틸렌 글리콜, 액상 폴리에틸렌 글리콜, 프로필렌 글리콜, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노부틸 에테르, 1-부톡시에톡시프로판올, 디프로필글리콜, 디프로필렌 글리콜 모노메틸 에테르, 디프로필렌 글리콜 모노에틸 에테르, 트리프로필렌 글리콜 모노메틸 에테르, 폴리프로필렌 글리콜, 트리메틸렌 글리콜, 부탄디알, 1,5-펜탄디알, 헬실렌 글리콜, 글리세린, 글리세릴 아세테이트, 글리세릴 디아세테이트, 글리세릴 트리아세테이트, 트리메틸롤프로핀, 1,2,6-헥산트리올 또는 이들의 친수성 유도체, 및 지방족 및 방향족 다가 알콜, 예컨대 1,2-프로판디올, 1,5-펜탄디올, 옥탄디올 및 이들의 에스테르 및 에테르 또는 이들 용매의 혼합물이 포함된다.
적합한 용매 구성성분은, 예컨대 주요 구성성분으로서 1,2-부탄디올을 포함한다. 30 내지 95 중량%의 1,5-펜탄디올을 포함하는 용매 혼합물이 적합한 것으로 밝혀졌다. 혼합물은 기타 용매로서, 예컨대 에틸렌 글리콜 모노부틸 에테르(EGB), 디에틸렌 글리콜 모노부틸 에테르(DGB), 디프로필렌 글리콜 모노메틸 에테르(DPM), 1,4-부탄디올(1,4BD) 및 1,3-부탄디올(1,3BD)을 포함할 수 있다.
또한, 친수성 에테르, 예컨대 디옥산, 트리옥산, 테트라하이드로푸란, 테트라하이드로피란을 용매로서 사용할 수 있다. 또한, 메틸알, 디에틸아세탈, 메틸 에틸 케톤, 메틸 이소부틸 케톤, 디에틸 케톤, 아세토닐아세톤, 디아세톤 알콜 또는 친수성 에스테르, 예컨대 메틸 포르메이트, 에틸 포르메이트, 프로필 포르메이트, 메틸 아세테이트 및 에틸 아세테이트가 적합하다.
50 내지 100 mg의 페이스트를 통상적으로 사용되는 웨이퍼, 예컨대 4 인치 웨이퍼에 적용하면 도펀트 효과가 충분히 달성됨을 시험을 통해 입증하였다. 본 발명에 따른 페이스트를 취급될 웨이퍼에 적용하는 것을 당업자에게 공지된 방법으로 수행할 수 있다. 특히, 스크린-전사 방법에 의한 적용이 상기 목적에 적합하다. 적용된 페이스트의 원하는 도펀트층으로의 전환은, 온도 200 내지 600℃, 바람직하게는 약 500℃로 가열함으로써, 공지된 양태로 일어난다. 필요한 가열 시간은 적용된 페이스트 층의 두께에 따른다.
본 발명에 따른 페이스트는, 예컨대 반도체 기술에서 p-n 정션을 제조하는데 적합할 뿐 아니라, 특히, 태양 기술에서 사용되는 태양 전지를 제조하는데 사용하기 위해서도 적합하다.
예컨대 도핑된 유리층을 제조하기 위해 본 발명에 따른 붕소 페이스트를 사용함으로써, 통상 14%로 제조된, 태양 전지의 효능이 1.5 내지 2%씩 증가한다.
이해를 돕고 분명하게 하기 위해, 본 발명의 보호 범위에 속하는 실시예가 하기에 기재되어 있으나, 이들 실시예가 본 발명을 제한하는 것이 아님은 물론이다.
실시예 1
THOS(1.43 g)을 비이커에 넣었다. 이어서 용매 N-메틸피롤리돈(NMP) 83 g을 첨가하고 기본 혼합물을 교반기를 사용하여 제조하였다. 이어서 폴리비닐필롤리돈 11 g, 디보론 트리옥시드 4.6 g, 및 마지막으로 락트산 3g을 연속하여 첨가하였다. 첨가하는 동안에, 더욱 격렬하게 교반시킬 필요가 있다. 첨가가 완결된 후, 혼합물을 30 분을 초과하여 교반시켰다. 잠시 대기한 후 용기로 이동시켰다. 혼합물에서 형성된 거품을 해체하기 위해 상기 대기 시간이 필요하였다.
상기 혼합물은 웨이퍼에 형성된 붕소 유리에 의해 페이스트를 제공하였는데, 즉 단결정 및 다결정 Si 웨이퍼의 도핑을 필요한 붕소 농도로 조절하는 방식으로 수득하였다.
수득된 페이스트는 저장시 안정하고, 다루기 용이하고 전사가능하다. 이를 표준 용매를 사용하여 스크린-전사지로부터 제거할 수 있다.
실시예 2
용매 또는 용매 혼합물을 사용한 페이스트의 실시예:
I.P 페이스트:
30 g 1,4-부탄디올
5 g P2O3
53 g 1,2-propanediol
1.5 g 트라질(Trasil;등록상표(실리콘 소스; 알콕시기가 있는 알킬실리콘 수지))
0.2 g 포아멕스 엔(Foamex N;등록상표(폴리디메틸실록산; 공기제거제))
0.2 g 에어렉스(Aerex;등록상표(실리콘 스파이크를 갖는 고분자량 유기 중합체;소포제))
3 g 비와이케이 410(Byk 410;등록상표(변성 우레아; 틱소트로피제))
0.05 g 티이지오 글라이드 400(TEGO Glide 400;등록상표(폴리에테르-변성 폴리실록산;표준화제))
4 g 피브이피(PVP(폴리비닐피롤리돈;증점제))
II.붕소 페이스트:
83 g 1,2-프로판디올
4.6 g B2O3
1.43 g 티이오에스
3 g 락트산
2.5 g 비와이케이 410 (틱소트로피제)
0.05 g 티이지오 글라이드 400 (표준화제)
0.2 g 에어렉스 (소포제)
0.2 g 포아멕스 엔 (공기제거제)
4 g 피브이피 (증점제)
III.Si 페이스트:
48 g 1,2-프로판디올
3 g 티이오에스
3 g 락트산
35 g 에틸렌 글리콜 모노부틸 에테르
3 g 트라질
5 g 비와이케이 410 (틱소트로피제)
0.4 g 티이지오 글라이드 400 (표준화제)
4 g 피브이피 (증점제)

Claims (14)

  1. a) 도펀트 활성을 갖는 하나 이상의 화합물,
    b) SiO2주형(matrix),
    c) 용매,
    d) 선택적으로 산 및 물, 및 선택적으로
    e) 증점제 또는 습윤제와 같은 첨가제를 포함하고,
    이들 총 조성물이 각각 500 ppb 미만, 바람직하게는 200 ppb 미만의 농도로 금속 이온 형태의 불순물을 가지며, Si 웨이퍼에서 p, p+, p++, n, n+ 및 n++ 영역을 제조하기 위한 스크린, 롤러, 패드, 스텐실 전사 또는 반도체 기술에서 통상적인 기타 전사 기술에 의한 Si 웨이퍼의 선택 전사 또는 포괄 전사용 도펀트 페이스트.
  2. 제 1 항에 있어서,
    붕소염, 산화 붕소, 붕산, 유기 붕소 화합물, 붕소-알루미늄 화합물 및 인염, 산화 인, 오산화 인, 인산, 유기 인 화합물, 유기 알루미늄 화합물 및 알루미늄염으로 이루어진 군으로부터 선택된 도펀트 원료를 포함하는 도펀트 페이스트.
  3. 제 1 항 또는 제 2 항에 있어서,
    하나 이상의 SiO2전구체로 구성된 SiO2주형을 포함하는 도펀트 페이스트.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    산화 붕소(B2O3), 붕산 (B(OH)3), 인산(H3PO4), 오산화 인(P2O5) 및 알루미늄염 및 유기 알루미늄 화합물로 이루어진 군으로부터 선택된 하나 이상의 도펀트 원료를 포함하며, 이때 도핑 원료가 상이한 농도로 사용될 수 있는 도펀트 페이스트.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    유기 붕소, 인 및 알루미늄 화합물로 이루어진 군으로부터 선택된 하나 이상의 도펀트 원료를 포함하며, 이때 도핑 원료가 상이한 농도로 사용될 수 있는 도펀트 페이스트.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    산화 붕소(B2O3), 붕산(B(OH3)), 인산(H3PO4), 오산화 인(P2O5) 및 알루미늄염으로 이루어진 군으로부터 선택된 하나 이상의 도펀트 원료, 및 선택적으로, 유기 붕소, 인 및 알루미늄 화합물로 이루어진 군으로부터 선택된 하나 이상의 도펀트 원료를 포함하며, 이때 도핑 원료가 상이한 농도로 사용될 수 있는 도펀트 페이스트.
  7. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    일반식 R'nSi(OR)4-n(이때, R'는 메틸, 에틸 또는 페닐이고; R은 메틸, 에틸, n-프로필 또는 i-프로필이고; n은 0, 1 또는 2이다)의 실란 화합물에 의해 형성된 SiO2주형을 포함하는 도펀트 페이스트.
  8. 제 1 항 내지 제 3 항 및 제 7 항 중 어느 한 항에 있어서,
    테트라메톡시실란, 테트라에톡시실란, 테트라부톡시실란 및 테트라프로폭시실란으로 이루어진 군으로부터 독립적으로 또는 혼합물로서 선택된 실란에 의해 형성된 SiO2주형을 포함하는 도펀트 페이스트.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    친수성 폴리알콜, 그의 에테르 또는 에스테르, 친수성 에테르, 알데하이드, 케톤, 에스테르 및 이들의 혼합물로 이루어진 군으로부터 선택된 하나 이상의 용매를 포함하는 도펀트 페이스트.
  10. 제 9 항에 있어서,
    에틸렌 글리콜 모노부틸 에테르(EGB), 디에틸렌 글리콜 모노부틸 에테르(DGB), 디프로필렌 글리콜 모노메틸 에테르(DPM), 1,4-부탄디올(1,4BD) 및 1,3-부탄디올(1,3BD)로 이루어진 군으로부터 선택된 하나 이상의 용매 및/또는 디옥산, 트리옥산, 테트라하이드로푸란, 테트라하이드로피란, 메틸알, 디에틸아세탈, 메틸 에틸 케톤, 메틸 이소부틸 케톤, 디에틸 케톤, 아세토닐아세톤, 디아세톤 알콜, 메틸 포르메이트, 에틸 포르메이트, 프로필 포르메이트, 메틸 아세테이트 및 에틸 아세테이트로 이루어진 군으로부터 선택된 하나 이상의 용매와 혼합물로서 30 내지 95 중량%의 1,2-프로판디올을 포함하는 도펀트 페이스트.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    SiO2주형으로서 테트라에틸 오르토실리케이트, 용매로서 1,2-프로판디올, N-메틸피롤리돈, 에틸렌 글리콜 모노부틸 에테르, 1,4-부탄디올 또는 이들의 혼합물, 산으로서 락트산, 도펀트로서 P2O5또는 B2O3, 및 첨가제로서 표준화제, 틱소트로피제, 증점제 및 선택적으로 소포제 및 공기제거제를 포함하며,
    도펀트 페이스트중의 SiO2-형성 성분의 양이 0.1 내지 5 중량%의 범위이고, 도펀트 성분 B2O3또는 P2O5와 관련하여 SiO2중의 도펀트가 10 내지 80%의 범위인 도펀트 페이스트.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 따른 도펀트 페이스트의, 반도체 기술, 광전지 기술 또는 동력 전자기술에서의 용도.
  13. a) SiO2주형,
    b) 용매,
    c) 선택적으로 산 및 물, 및 선택적으로
    d) 첨가제, 증점제 또는 습윤제를 포함하고,
    이들 총 조성물이 각각 500 ppb 미만, 바람직하게는 200 ppb 미만의 농도로 금속 이온 형태의 불순물을 갖는 차폐 페이스트.
  14. 제 13 항에 따른 차폐 페이스트의, 반도체 기술, 광전지 기술 및 동력 전자기술에서의 용도.
KR20017011471A 1999-03-11 2000-02-29 반도체에서 p, p+ 및 n, n+ 대역을 생성하기 위한 도핑 페이스트 KR100697439B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE19910816.1 1999-03-11
DE19910816A DE19910816A1 (de) 1999-03-11 1999-03-11 Dotierpasten zur Erzeugung von p,p+ und n,n+ Bereichen in Halbleitern
PCT/EP2000/001694 WO2000054341A1 (de) 1999-03-11 2000-02-29 Dotierpasten zur erzeugung von p, p+ und n, n+ bereichen in halbleitern

Publications (2)

Publication Number Publication Date
KR20010112313A true KR20010112313A (ko) 2001-12-20
KR100697439B1 KR100697439B1 (ko) 2007-03-20

Family

ID=7900579

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20017011471A KR100697439B1 (ko) 1999-03-11 2000-02-29 반도체에서 p, p+ 및 n, n+ 대역을 생성하기 위한 도핑 페이스트

Country Status (16)

Country Link
US (1) US6695903B1 (ko)
EP (2) EP1166366B1 (ko)
JP (1) JP2002539615A (ko)
KR (1) KR100697439B1 (ko)
CN (1) CN1343376A (ko)
AT (1) ATE535943T1 (ko)
AU (1) AU766807B2 (ko)
CA (1) CA2367137A1 (ko)
DE (1) DE19910816A1 (ko)
IL (2) IL145333A0 (ko)
IN (1) IN2001KO01049A (ko)
MX (1) MXPA01009113A (ko)
NO (1) NO20014384L (ko)
PL (1) PL350966A1 (ko)
TW (1) TW492081B (ko)
WO (1) WO2000054341A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120050909A (ko) * 2010-11-11 2012-05-21 도오꾜오까고오교 가부시끼가이샤 확산제 조성물 및 불순물 확산층의 형성 방법
US9608143B2 (en) 2010-04-23 2017-03-28 Hitachi Chemical Co., Ltd. Composition for forming N-type diffusion layer, method of forming N-type diffusion layer, and method of producing photovoltaic cell

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030160026A1 (en) * 2000-04-28 2003-08-28 Sylke Klein Etching pastes for inorganic surfaces
JP3922334B2 (ja) * 2000-07-12 2007-05-30 サンケン電気株式会社 半導体装置の製造方法
JP3922337B2 (ja) * 2000-08-28 2007-05-30 サンケン電気株式会社 液状不純物源材料及びこれを使用した半導体装置の製造方法
DE10058031B4 (de) * 2000-11-23 2007-11-22 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Bildung leicht dotierter Halogebiete und Erweiterungsgebiete in einem Halbleiterbauelement
DE10104726A1 (de) 2001-02-02 2002-08-08 Siemens Solar Gmbh Verfahren zur Strukturierung einer auf einem Trägermaterial aufgebrachten Oxidschicht
JP2002299274A (ja) * 2001-04-02 2002-10-11 Sanken Electric Co Ltd 半導体装置の製造方法
JP4726354B2 (ja) * 2001-08-22 2011-07-20 東洋アルミニウム株式会社 ペースト組成物およびそれを用いた太陽電池
JP2003179243A (ja) * 2001-08-31 2003-06-27 Basf Ag 光電池活性材料およびこれを含む電池
DE10150040A1 (de) * 2001-10-10 2003-04-17 Merck Patent Gmbh Kombinierte Ätz- und Dotiermedien
JP3910072B2 (ja) * 2002-01-30 2007-04-25 東洋アルミニウム株式会社 ペースト組成物およびそれを用いた太陽電池
JP4549655B2 (ja) 2003-11-18 2010-09-22 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 機能性塗料
JP4368230B2 (ja) * 2004-03-30 2009-11-18 電気化学工業株式会社 ホウ素化合物の固定方法及びホウ素拡散源
EP1739690B1 (en) * 2004-07-01 2015-04-01 Toyo Aluminium Kabushiki Kaisha Paste composition and solar cell element employing same
JP2006156646A (ja) * 2004-11-29 2006-06-15 Sharp Corp 太陽電池の製造方法
US7790574B2 (en) * 2004-12-20 2010-09-07 Georgia Tech Research Corporation Boron diffusion in silicon devices
JP2006310368A (ja) * 2005-04-26 2006-11-09 Shin Etsu Handotai Co Ltd 太陽電池の製造方法及び太陽電池
JP4481869B2 (ja) * 2005-04-26 2010-06-16 信越半導体株式会社 太陽電池の製造方法及び太陽電池並びに半導体装置の製造方法
DE102005025933B3 (de) * 2005-06-06 2006-07-13 Centrotherm Photovoltaics Gmbh + Co. Kg Dotiergermisch für die Dotierung von Halbleitern
JP4541328B2 (ja) * 2005-07-22 2010-09-08 日本合成化学工業株式会社 リン拡散用塗布液
JP4541243B2 (ja) * 2005-07-22 2010-09-08 日本合成化学工業株式会社 ホウ素拡散用塗布液
JP2007049079A (ja) * 2005-08-12 2007-02-22 Sharp Corp マスキングペースト、その製造方法およびマスキングペーストを用いた太陽電池の製造方法
JP4684056B2 (ja) * 2005-09-16 2011-05-18 シャープ株式会社 太陽電池の製造方法
US7846823B2 (en) * 2005-08-12 2010-12-07 Sharp Kabushiki Kaisha Masking paste, method of manufacturing same, and method of manufacturing solar cell using masking paste
JP5283824B2 (ja) * 2006-01-18 2013-09-04 東京応化工業株式会社 膜形成組成物
JP4827550B2 (ja) * 2006-02-14 2011-11-30 シャープ株式会社 太陽電池の製造方法
WO2007099042A1 (en) * 2006-02-28 2007-09-07 Ciba Holding Inc. Antimicrobial compounds
US8575474B2 (en) * 2006-03-20 2013-11-05 Heracus Precious Metals North America Conshohocken LLC Solar cell contacts containing aluminum and at least one of boron, titanium, nickel, tin, silver, gallium, zinc, indium and copper
US8076570B2 (en) 2006-03-20 2011-12-13 Ferro Corporation Aluminum-boron solar cell contacts
WO2007128141A1 (de) * 2006-05-04 2007-11-15 Elektrobit Wireless Communications Ltd. Verfahren zur inbetriebnahme eines rfid-netzwerks
JP4876723B2 (ja) * 2006-06-14 2012-02-15 セイコーエプソン株式会社 静電アクチュエータの製造方法、液滴吐出ヘッドの製造方法及び液滴吐出装置の製造方法
JP5026008B2 (ja) * 2006-07-14 2012-09-12 東京応化工業株式会社 膜形成組成物
FR2906405B1 (fr) * 2006-09-22 2008-12-19 Commissariat Energie Atomique Procede de realisation de regions dopees dans un substrat et de cellule photovoltaique
FR2906406B1 (fr) * 2006-09-26 2008-12-19 Commissariat Energie Atomique Procede de realisation de cellule photovoltaique a heterojonction en face arriere.
JP2008186927A (ja) * 2007-01-29 2008-08-14 Sharp Corp 裏面接合型太陽電池とその製造方法
EP2654089A3 (en) 2007-02-16 2015-08-12 Nanogram Corporation Solar cell structures, photovoltaic modules and corresponding processes
DE102007012277A1 (de) * 2007-03-08 2008-09-11 Gebr. Schmid Gmbh & Co. Verfahren zur Herstellung einer Solarzelle sowie damit hergestellte Solarzelle
EP2137739B1 (en) * 2007-04-25 2017-11-01 Heraeus Precious Metals North America Conshohocken LLC Thick film conductor formulations comprising silver and nickel or silver and nickel alloys and solar cells made therefrom
JP2010527146A (ja) * 2007-05-07 2010-08-05 ジョージア テック リサーチ コーポレイション スクリーン印刷された局所裏面電界を伴う高品質裏面コンタクトの形成
WO2009026240A1 (en) * 2007-08-17 2009-02-26 Solexel, Inc. Methods for liquid transfer coating of three-dimensional substrates
US8309844B2 (en) * 2007-08-29 2012-11-13 Ferro Corporation Thick film pastes for fire through applications in solar cells
JP5236914B2 (ja) 2007-09-19 2013-07-17 シャープ株式会社 太陽電池の製造方法
US20090092745A1 (en) * 2007-10-05 2009-04-09 Luca Pavani Dopant material for manufacturing solar cells
JP5382606B2 (ja) * 2007-12-25 2014-01-08 日本電気硝子株式会社 半導体用ホウ素ドープ材の製造方法
CN101889348B (zh) * 2007-11-19 2013-03-27 应用材料公司 使用图案化蚀刻剂物质以形成太阳能电池接点的工艺
US20090139568A1 (en) * 2007-11-19 2009-06-04 Applied Materials, Inc. Crystalline Solar Cell Metallization Methods
US8460983B1 (en) 2008-01-21 2013-06-11 Kovio, Inc. Method for modifying and controlling the threshold voltage of thin film transistors
JP5329107B2 (ja) * 2008-02-28 2013-10-30 三洋電機株式会社 太陽電池及びその製造方法
US20090239363A1 (en) * 2008-03-24 2009-09-24 Honeywell International, Inc. Methods for forming doped regions in semiconductor substrates using non-contact printing processes and dopant-comprising inks for forming such doped regions using non-contact printing processes
JP5357442B2 (ja) * 2008-04-09 2013-12-04 東京応化工業株式会社 インクジェット用拡散剤組成物、当該組成物を用いた電極及び太陽電池の製造方法
DE102008019402A1 (de) * 2008-04-14 2009-10-15 Gebr. Schmid Gmbh & Co. Verfahren zur selektiven Dotierung von Silizium sowie damit behandeltes Silizium-Substrat
US20090286349A1 (en) * 2008-05-13 2009-11-19 Georgia Tech Research Corporation Solar cell spin-on based process for simultaneous diffusion and passivation
WO2010009295A2 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US20100035422A1 (en) * 2008-08-06 2010-02-11 Honeywell International, Inc. Methods for forming doped regions in a semiconductor material
US8053867B2 (en) * 2008-08-20 2011-11-08 Honeywell International Inc. Phosphorous-comprising dopants and methods for forming phosphorous-doped regions in semiconductor substrates using phosphorous-comprising dopants
US7951637B2 (en) * 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US7951696B2 (en) * 2008-09-30 2011-05-31 Honeywell International Inc. Methods for simultaneously forming N-type and P-type doped regions using non-contact printing processes
KR101002282B1 (ko) * 2008-12-15 2010-12-20 엘지전자 주식회사 태양 전지 및 그 제조 방법
US7820532B2 (en) * 2008-12-29 2010-10-26 Honeywell International Inc. Methods for simultaneously forming doped regions having different conductivity-determining type element profiles
US8518170B2 (en) * 2008-12-29 2013-08-27 Honeywell International Inc. Boron-comprising inks for forming boron-doped regions in semiconductor substrates using non-contact printing processes and methods for fabricating such boron-comprising inks
US20100294349A1 (en) * 2009-05-20 2010-11-25 Uma Srinivasan Back contact solar cells with effective and efficient designs and corresponding patterning processes
KR101194064B1 (ko) * 2009-06-08 2012-10-24 제일모직주식회사 에칭 및 도핑 기능을 가지는 페이스트 조성물
US7910393B2 (en) * 2009-06-17 2011-03-22 Innovalight, Inc. Methods for forming a dual-doped emitter on a silicon substrate with a sub-critical shear thinning nanoparticle fluid
US8324089B2 (en) * 2009-07-23 2012-12-04 Honeywell International Inc. Compositions for forming doped regions in semiconductor substrates, methods for fabricating such compositions, and methods for forming doped regions using such compositions
NL2003324C2 (en) * 2009-07-31 2011-02-02 Otb Solar Bv Photovoltaic cell with a selective emitter and method for making the same.
JP5815215B2 (ja) 2009-08-27 2015-11-17 東京応化工業株式会社 拡散剤組成物、および不純物拡散層の形成方法
KR20120051764A (ko) * 2009-09-08 2012-05-22 이 아이 듀폰 디 네모아 앤드 캄파니 광전지용 전도체
WO2011050889A2 (de) * 2009-10-30 2011-05-05 Merck Patent Gmbh Verfahren zur herstellung von solarzellen mit selektivem emitter
KR20110071378A (ko) * 2009-12-21 2011-06-29 현대중공업 주식회사 후면전계형 이종접합 태양전지의 제조방법
JP4868079B1 (ja) * 2010-01-25 2012-02-01 日立化成工業株式会社 n型拡散層形成組成物、n型拡散層の製造方法、及び太陽電池セルの製造方法
JP5447397B2 (ja) * 2010-02-03 2014-03-19 日立化成株式会社 p型拡散層形成組成物、p型拡散層の製造方法、及び太陽電池セルの製造方法
US20110195540A1 (en) 2010-02-05 2011-08-11 Hitachi Chemical Company, Ltd. Composition for forming p-type diffusion layer, method for forming p-type diffusion layer, and method for producing photovoltaic cell
US20110195541A1 (en) * 2010-02-05 2011-08-11 Hitachi Chemical Company, Ltd. Composition for forming n-type diffusion layer, method for forming n-type diffusion layer, and method for producing photovoltaic cell
TWI539493B (zh) * 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
KR101127076B1 (ko) * 2010-03-19 2012-03-22 성균관대학교산학협력단 폴리머를 포함한 도핑 페이스트를 이용한 선택적 이미터 형성 방법
KR101868163B1 (ko) * 2010-04-23 2018-06-15 히타치가세이가부시끼가이샤 p 형 확산층 형성 조성물, p 형 확산층의 제조 방법, 및 태양 전지 소자의 제조 방법
JP5626340B2 (ja) * 2010-04-23 2014-11-19 日立化成株式会社 p型拡散層形成組成物、p型拡散層の製造方法、及び太陽電池素子の製造方法
CN102844841B (zh) * 2010-04-23 2016-06-15 日立化成工业株式会社 n型扩散层形成组合物、n型扩散层的制造方法及太阳能电池元件的制造方法
US9359513B1 (en) * 2010-05-07 2016-06-07 Thin Film Electronics Asa Dopant inks, methods of making dopant inks, and methods of using dopant inks
EP2398071B1 (en) * 2010-06-17 2013-01-16 Imec Method for forming a doped region in a semiconductor layer of a substrate and use of such method
JP5625538B2 (ja) * 2010-06-24 2014-11-19 日立化成株式会社 p型拡散層形成組成物、p型拡散層の製造方法、及び太陽電池セルの製造方法
WO2011162394A1 (ja) * 2010-06-24 2011-12-29 日立化成工業株式会社 不純物拡散層形成組成物、n型拡散層形成組成物、n型拡散層の製造方法、p型拡散層形成組成物、p型拡散層の製造方法、及び太陽電池素子の製造方法
JP5691268B2 (ja) * 2010-07-07 2015-04-01 日立化成株式会社 p型拡散層形成組成物、p型拡散層の製造方法、及び太陽電池セルの製造方法
US8105869B1 (en) 2010-07-28 2012-01-31 Boris Gilman Method of manufacturing a silicon-based semiconductor device by essentially electrical means
JP5803080B2 (ja) * 2010-09-24 2015-11-04 日立化成株式会社 p型拡散層形成組成物、p型拡散層形成組成物の製造方法、p型拡散層の製造方法、及び太陽電池セルの製造方法
JP5666267B2 (ja) * 2010-11-25 2015-02-12 東京応化工業株式会社 塗布型拡散剤組成物
US8858843B2 (en) * 2010-12-14 2014-10-14 Innovalight, Inc. High fidelity doping paste and methods thereof
JP5541138B2 (ja) * 2010-12-16 2014-07-09 日立化成株式会社 p型拡散層形成組成物、p型拡散層の製造方法及び太陽電池セルの製造方法
JP5541139B2 (ja) * 2010-12-16 2014-07-09 日立化成株式会社 n型拡散層形成組成物、n型拡散層の製造方法、及び太陽電池セルの製造方法
US8778231B2 (en) 2010-12-16 2014-07-15 E I Du Pont De Nemours And Company Aluminum pastes comprising boron nitride and their use in manufacturing solar cells
WO2012096311A1 (ja) 2011-01-13 2012-07-19 日立化成工業株式会社 p型拡散層形成組成物、p型拡散層を有するシリコン基板の製造方法、太陽電池素子の製造方法、及び太陽電池
CN103299399A (zh) * 2011-01-13 2013-09-11 日立化成株式会社 p型扩散层形成用组合物、p型扩散层的制造方法和太阳能电池元件的制造方法
US8912083B2 (en) 2011-01-31 2014-12-16 Nanogram Corporation Silicon substrates with doped surface contacts formed from doped silicon inks and corresponding processes
WO2012111575A1 (ja) * 2011-02-17 2012-08-23 日立化成工業株式会社 n型拡散層形成組成物、n型拡散層の製造方法、及び太陽電池セルの製造方法
JP2012234990A (ja) * 2011-05-02 2012-11-29 Hitachi Chem Co Ltd p型拡散層形成組成物、p型拡散層の製造方法、及び太陽電池素子の製造方法
JP2012234989A (ja) * 2011-05-02 2012-11-29 Hitachi Chem Co Ltd n型拡散層形成組成物、n型拡散層の製造方法、及び太陽電池素子の製造方法
US9156740B2 (en) 2011-05-03 2015-10-13 Innovalight, Inc. Ceramic boron-containing doping paste and methods therefor
KR101384874B1 (ko) * 2011-07-05 2014-04-16 히타치가세이가부시끼가이샤 n 형 확산층 형성 조성물, n 형 확산층의 제조 방법 및 태양 전지 소자의 제조 방법
CN105489662A (zh) * 2011-07-19 2016-04-13 日立化成株式会社 n型扩散层形成用组合物、n型扩散层的制造方法以及太阳能电池元件的制造方法
JP5842431B2 (ja) * 2011-07-22 2016-01-13 日立化成株式会社 n型拡散層の製造方法、及び太陽電池素子の製造方法
JP5842432B2 (ja) * 2011-07-22 2016-01-13 日立化成株式会社 p型拡散層の製造方法、及び太陽電池素子の製造方法
JP2013026524A (ja) * 2011-07-22 2013-02-04 Hitachi Chem Co Ltd n型拡散層形成組成物、n型拡散層の製造方法、太陽電池素子の製造方法、及び太陽電池
CN105448677A (zh) * 2011-07-25 2016-03-30 日立化成株式会社 半导体基板及其制造方法、太阳能电池元件、以及太阳能电池
JP2013055334A (ja) * 2011-08-11 2013-03-21 Nippon Synthetic Chem Ind Co Ltd:The 太陽電池の製法およびそれにより得られた太陽電池
US8629294B2 (en) * 2011-08-25 2014-01-14 Honeywell International Inc. Borate esters, boron-comprising dopants, and methods of fabricating boron-comprising dopants
WO2013028689A2 (en) * 2011-08-25 2013-02-28 Honeywell International Inc. Phosphate esters, phosphate-comprising dopants, and methods for fabricating phosphate-comprising dopants using silicon monomers
US8586397B2 (en) * 2011-09-30 2013-11-19 Sunpower Corporation Method for forming diffusion regions in a silicon substrate
US8975170B2 (en) * 2011-10-24 2015-03-10 Honeywell International Inc. Dopant ink compositions for forming doped regions in semiconductor substrates, and methods for fabricating dopant ink compositions
TWI424584B (zh) * 2011-11-30 2014-01-21 Au Optronics Corp 製作太陽能電池之方法
WO2013105599A1 (ja) * 2012-01-10 2013-07-18 日立化成株式会社 マスク形成用組成物、太陽電池用基板の製造方法および太陽電池素子の製造方法
TW201339248A (zh) * 2012-01-10 2013-10-01 Hitachi Chemical Co Ltd 遮罩形成用組成物、太陽電池用基板的製造方法以及太陽電池元件的製造方法
JP5339014B1 (ja) * 2012-01-10 2013-11-13 日立化成株式会社 バリア層形成用組成物、太陽電池用基板の製造方法及び太陽電池素子の製造方法
CN104011882A (zh) 2012-01-12 2014-08-27 应用材料公司 制造太阳能电池装置的方法
JP6178543B2 (ja) * 2012-01-25 2017-08-09 直江津電子工業株式会社 P型拡散層用塗布液
TW201335119A (zh) * 2012-02-23 2013-09-01 Hitachi Chemical Co Ltd 不純物擴散層形成組成物、帶有不純物擴散層的半導體基板的製造方法以及太陽電池元件的製造方法
TW201335278A (zh) * 2012-02-23 2013-09-01 Hitachi Chemical Co Ltd 不純物擴散層形成組成物、帶有不純物擴散層的半導體基板的製造方法以及太陽電池元件的製造方法
KR101387718B1 (ko) * 2012-05-07 2014-04-22 엘지전자 주식회사 태양 전지 및 이의 제조 방법
CN102797040B (zh) * 2012-08-22 2015-08-12 中国科学院电工研究所 一种硼(b)扩散掺杂的方法
US9306087B2 (en) * 2012-09-04 2016-04-05 E I Du Pont De Nemours And Company Method for manufacturing a photovoltaic cell with a locally diffused rear side
US9196486B2 (en) 2012-10-26 2015-11-24 Innovalight, Inc. Inorganic phosphate containing doping compositions
US8853438B2 (en) 2012-11-05 2014-10-07 Dynaloy, Llc Formulations of solutions and processes for forming a substrate including an arsenic dopant
WO2014101990A1 (de) * 2012-12-28 2014-07-03 Merck Patent Gmbh Flüssige dotiermedien zur lokalen dotierung von siliziumwafern
CN104884685A (zh) * 2012-12-28 2015-09-02 默克专利股份有限公司 用于硅晶片的局部掺杂的掺杂介质
US20150340518A1 (en) * 2012-12-28 2015-11-26 Merck Patent Gmbh Printable diffusion barriers for silicon wafers
KR20150103129A (ko) * 2012-12-28 2015-09-09 메르크 파텐트 게엠베하 실리콘 웨이퍼들로부터 불순물들을 게터링하기 위한 산화물 매체
US9093598B2 (en) * 2013-04-12 2015-07-28 Btu International, Inc. Method of in-line diffusion for solar cells
US9691935B2 (en) 2013-07-04 2017-06-27 Toray Industries, Inc. Impurity-diffusing composition and method for producing semiconductor element
US9076719B2 (en) 2013-08-21 2015-07-07 The Regents Of The University Of California Doping of a substrate via a dopant containing polymer film
JP6072129B2 (ja) 2014-04-30 2017-02-01 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア ドーパント含有ポリマー膜を用いた基体のドーピング
US20150325442A1 (en) * 2014-05-07 2015-11-12 Dynaloy, Llc Formulations of Solutions and Processes for Forming a Substrate Including a Dopant
WO2016121641A1 (ja) * 2015-01-30 2016-08-04 東レ株式会社 不純物拡散組成物、それを用いた半導体素子の製造方法および太陽電池
EP3284111A1 (de) * 2015-04-15 2018-02-21 Merck Patent GmbH Siebdruckbare bor-dotierpaste mit gleichzeitiger hemmung der phosphordiffusion bei co-diffusionsprozessen
US20180062022A1 (en) * 2015-04-15 2018-03-01 Merck Patent Gmbh Sol-gel-based printable doping media which inhibit parasitic diffusion for the local doping of silicon wafers
JP2015213177A (ja) * 2015-06-15 2015-11-26 日立化成株式会社 n型拡散層形成組成物、n型拡散層の製造方法、太陽電池素子の製造方法、及び太陽電池
CN105070841B (zh) * 2015-07-21 2017-11-24 苏州大学 一种钙钛矿太阳能电池的制备方法
JP2016027665A (ja) * 2015-09-28 2016-02-18 日立化成株式会社 p型拡散層の製造方法、及び太陽電池素子の製造方法
JP2016036034A (ja) * 2015-09-28 2016-03-17 日立化成株式会社 n型拡散層の製造方法、及び太陽電池素子の製造方法
EP3435426B1 (en) * 2016-03-23 2020-07-01 Panasonic Intellectual Property Management Co., Ltd. Solar cell, solar cell module, and method for manufacturing solar cell
WO2018021121A1 (ja) * 2016-07-29 2018-02-01 東レ株式会社 不純物拡散組成物およびこれを用いた半導体素子の製造方法
CN108257857A (zh) * 2018-01-11 2018-07-06 华东理工大学 一种多元醇硼酸酯络合物硼扩散源及其制备方法
CN111370304B (zh) * 2018-12-25 2023-03-28 天津环鑫科技发展有限公司 一种硼铝源及其配置方法
US11978815B2 (en) 2018-12-27 2024-05-07 Solarpaint Ltd. Flexible photovoltaic cell, and methods and systems of producing it
CN111628047B (zh) * 2020-06-01 2023-02-28 常州顺风太阳能科技有限公司 一种N型TOPCon太阳能电池的制作方法
IL310935A (en) * 2021-08-19 2024-04-01 Solarpaint Ltd Improved flexible solar panels and photovoltaic devices, and methods and systems for their manufacture

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL99619C (ko) * 1955-06-28
US4104091A (en) 1977-05-20 1978-08-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Application of semiconductor diffusants to solar cells by screen printing
US4243427A (en) * 1977-11-21 1981-01-06 Trw Inc. High concentration phosphoro-silica spin-on dopant
US4152824A (en) * 1977-12-30 1979-05-08 Mobil Tyco Solar Energy Corporation Manufacture of solar cells
JPS5534258A (en) * 1978-09-01 1980-03-10 Tokyo Denshi Kagaku Kabushiki Coating solution for forming silica film
LU83831A1 (fr) 1981-12-10 1983-09-01 Belge Etat Procede de fabrication de dispositifs semi-conducteurs et dispositifs semi-conducteurs ainsi obtenus
US4571366A (en) * 1982-02-11 1986-02-18 Owens-Illinois, Inc. Process for forming a doped oxide film and doped semiconductor
US4588455A (en) * 1984-08-15 1986-05-13 Emulsitone Company Planar diffusion source
JPS61279665A (ja) * 1985-06-05 1986-12-10 Sumitomo Metal Mining Co Ltd 部分乾式メツキ方法
US4785041A (en) * 1987-12-31 1988-11-15 Dow Corning Corporation Screen printable organosiloxane resin coating compositions
US4891331A (en) * 1988-01-21 1990-01-02 Oi-Neg Tv Products, Inc. Method for doping silicon wafers using Al2 O3 /P2 O5 composition
JPH01199678A (ja) * 1988-02-03 1989-08-11 Mitsubishi Electric Corp 高純度SiO↓2薄膜の形成方法
JPH0266916A (ja) * 1988-08-31 1990-03-07 Nec Corp 積層型セラミックコンデンサの製造方法
JP2658395B2 (ja) * 1989-06-08 1997-09-30 三菱マテリアル株式会社 ヒ素拡散用塗布液
JP2639591B2 (ja) * 1989-10-03 1997-08-13 東京応化工業株式会社 ドーパントフィルム及びそれを使用した不純物拡散方法
JPH0485821A (ja) * 1990-07-26 1992-03-18 Tonen Chem Corp ホウ素拡散ソースおよびホウ素拡散方法
US5472488A (en) * 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5358597A (en) * 1991-09-04 1994-10-25 Gte Laboratories Incorporated Method of protecting aluminum nitride circuit substrates during electroless plating using sol-gel oxide films and article made therefrom
CA2113447A1 (en) * 1992-05-27 1993-12-09 Frank J. Bottari Solar cells with thick aluminum contacts
US5387480A (en) * 1993-03-08 1995-02-07 Dow Corning Corporation High dielectric constant coatings
US5554684A (en) * 1993-10-12 1996-09-10 Occidental Chemical Corporation Forming polyimide coating by screen printing
DE19508712C2 (de) * 1995-03-10 1997-08-07 Siemens Solar Gmbh Solarzelle mit Back-Surface-Field und Verfahren zur Herstellung
JP3824334B2 (ja) * 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
JPH09283458A (ja) * 1996-04-12 1997-10-31 Toshiba Corp 半導体用塗布拡散剤
JPH09283457A (ja) * 1996-04-12 1997-10-31 Toshiba Corp 半導体用塗布拡散剤
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9608143B2 (en) 2010-04-23 2017-03-28 Hitachi Chemical Co., Ltd. Composition for forming N-type diffusion layer, method of forming N-type diffusion layer, and method of producing photovoltaic cell
KR20120050909A (ko) * 2010-11-11 2012-05-21 도오꾜오까고오교 가부시끼가이샤 확산제 조성물 및 불순물 확산층의 형성 방법

Also Published As

Publication number Publication date
IL145333A0 (en) 2002-06-30
US6695903B1 (en) 2004-02-24
WO2000054341A1 (de) 2000-09-14
TW492081B (en) 2002-06-21
JP2002539615A (ja) 2002-11-19
EP1166366A1 (de) 2002-01-02
KR100697439B1 (ko) 2007-03-20
AU3960100A (en) 2000-09-28
MXPA01009113A (es) 2002-02-28
EP1166366B1 (de) 2011-11-30
AU766807B2 (en) 2003-10-23
NO20014384D0 (no) 2001-09-10
CN1343376A (zh) 2002-04-03
CA2367137A1 (en) 2000-09-14
ATE535943T1 (de) 2011-12-15
NO20014384L (no) 2001-09-10
PL350966A1 (en) 2003-02-24
EP2276058B1 (de) 2016-02-17
IN2001KO01049A (ko) 2006-03-17
EP2276058A1 (de) 2011-01-19
DE19910816A1 (de) 2000-10-05
IL145333A (en) 2009-06-15

Similar Documents

Publication Publication Date Title
KR100697439B1 (ko) 반도체에서 p, p+ 및 n, n+ 대역을 생성하기 위한 도핑 페이스트
EP0427395B1 (en) Hermetic substrate coatings in an inert gas atmosphere
US10134942B2 (en) Doping media for the local doping of silicon wafers
US4433008A (en) Doped-oxide diffusion of phosphorus using borophosphosilicate glass
WO2012004996A1 (ja) 拡散剤組成物および不純物拡散層の形成方法
US20160218185A1 (en) Liquid doping media for the local doping of silicon wafers
WO2013125252A1 (ja) 不純物拡散層形成組成物、不純物拡散層付き半導体基板の製造方法及び太陽電池素子の製造方法
CN107484432A (zh) 可同时抑制在共扩散方法中的磷扩散的可丝网印刷的硼掺杂糊料
US4619719A (en) Process for forming a doped oxide film and composite article
TW202038310A (zh) 半導體元件的製造方法及太陽電池的製造方法
JPWO2013125254A1 (ja) 不純物拡散層形成組成物、不純物拡散層付き半導体基板の製造方法、及び太陽電池素子の製造方法
WO2013038613A1 (ja) 拡散剤組成物、不純物拡散層の形成方法および太陽電池
TWI715798B (zh) 聚矽氧烷、半導體用材料、半導體及太陽能電池製備方法
CN103579412B (zh) 杂质扩散成分的扩散方法及太阳能电池的制造方法
TW202112950A (zh) 雜質擴散組成物、使用其的半導體元件的製造方法及太陽電池的製造方法
DE102017112756A1 (de) Verfahren zur Erzeugung von Schichten aus Siliciumcarbid
JP2016506629A (ja) シリコンウェハから不純物をゲッタリングするための酸化物媒体
US20200303584A1 (en) Method for Producing a Nitrogen-Free Layer Comprising Silicon Carbide
CN105518828A (zh) n型扩散层形成组合物、n型扩散层的形成方法、带n型扩散层的半导体基板的制造方法及太阳能电池元件的制造方法
CN111771258A (zh) 杂质扩散组合物、使用了该杂质扩散组合物的半导体元件的制造方法及太阳能电池的制造方法
CN107532300A (zh) 用于硅晶片的局部掺杂的抑制寄生扩散和基于溶胶‑凝胶的可印刷的掺杂介质

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130304

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140226

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150310

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160308

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170303

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180222

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee