KR19990088593A - 유전율이낮은수소화된옥시탄화규소막의제조방법 - Google Patents

유전율이낮은수소화된옥시탄화규소막의제조방법 Download PDF

Info

Publication number
KR19990088593A
KR19990088593A KR1019990019173A KR19990019173A KR19990088593A KR 19990088593 A KR19990088593 A KR 19990088593A KR 1019990019173 A KR1019990019173 A KR 1019990019173A KR 19990019173 A KR19990019173 A KR 19990019173A KR 19990088593 A KR19990088593 A KR 19990088593A
Authority
KR
South Korea
Prior art keywords
film
dielectric constant
oxygen
oxygen supply
supply gas
Prior art date
Application number
KR1019990019173A
Other languages
English (en)
Other versions
KR100453612B1 (ko
Inventor
로보다마크존
사이퍼리제프리앨런
Original Assignee
맥켈러 로버트 루이스
다우 코닝 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맥켈러 로버트 루이스, 다우 코닝 코포레이션 filed Critical 맥켈러 로버트 루이스
Publication of KR19990088593A publication Critical patent/KR19990088593A/ko
Application granted granted Critical
Publication of KR100453612B1 publication Critical patent/KR100453612B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Abstract

본 발명은 유전율이 낮은 수소화된 옥시탄화규소(H:SiOC) 막을 제조하는 방법이다. 본 발명의 방법은 플라즈마 증강되거나 오존 보조된 화학적 증착을 사용하여 메틸 함유 실란을 조절된 산소 환경하에 반응시켜 막을 제조함을 포함한다. 생성된 막은 반도체 장치를 제조하는 데 유용하며 유전율이 3.6 이하이다.

Description

유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법{Method for producing hydrogenated silicon oxycarbide films having low dielectric constant}
규소 함유 물질로부터 반도체 장치 위에 SiO2, SiNC 또는 SiC 박막을 제조하기 위한 화학적 증착법(CVD)의 용도는 당해 분야에서 익히 공지되어 있다. 화학적 증착 공정은 통상적으로 기체상 규소 함유 물질과 반응성 기체를 반도체 기판을 함유하는 반응실로 도입시킴을 포함한다. 에너지 공급원(예: 열 또는 플라즈마)은 규소 함유 물질과 반응성 기체와의 반응을 유도하여 SiO2, SiNC 또는 SiC 박막을 반도체 장치 위에 증착시킨다. 플라즈마 증강 화학적 증착법(PECVD)은 통상적으로 낮은 온도(500℃ 미만)에서 수행되므로, PEVCD는 유전성 및 표면안정화 막(passivation film)을 반도체 장치 위에 제조하기 위한 적합한 수단이 된다.
이산화규소(SiO2), 비결정성 SiNC 및 탄화규소(SiC) 막을 화학적 증착으로 제조하기 위한 메틸 함유 실란의 용도는 당해 분야에서 공지되어 있다. 예를 들면, 미국 특허 제5,465,680호에는, 결정성 SiC 막을 제조하는 방법이 공지되어 있다. 이 방법은 기판을 600℃ 내지 1,000℃로 가열하고, 이어서 표준 화학적 증착 공정으로 기판을 트리메틸실란에 노출시킴을 포함한다. 유럽 특허원 제0 774 533호에는, 유기 규소 물질과 산소 공급원을 포함하는 반응성 기체 혼합물의 CVD로부터 SiO2피막을 제조하는 방법이 공지되어 있다. 유럽 특허원 제0 771 886호에는, 유기 규소 물질과 질소 공급원을 포함하는 반응성 기체 혼합물의 CVD로부터 SiNC 피막을 제조하는 방법이 공지되어 있다.
반도체 장치 구조물이 점점 더 소형화됨에 따라, 막의 보전성 뿐만 아니라 유전율이 중요해진다. 공지된 CVD 공정으로 제조한 막은 유전율이 높다(즉, 3.8 이상). 따라서, 유전율이 낮은 막을 생성시키는 공정과 물질이 요구되고 있다. 로우-케이 플로우필R(Low-k FlowfillR)로서 공지되어 있는 신규한 증착 공정은 유전율이 3.0 미만인 막을 제조한다. 이러한 방법은 메틸실란과 과산화수소 사이의 화학적 증착 반응을 사용하여 메틸 도핑된 산화규소 막을 제조한다[참조: S. McClatchie, K. Beekmann, A. Kiermasz, Low Dielectric Constant Oxide Films Deposited Using CVD Techniques, 1988 DUMIC Conference Proceedings, 2/98, p. 311-318]. 그러나, 이러한 공정은 비표준 CVD 시스템과 안정도가 보다 낮은 산소 공급원(과산화수소)의 사용을 필요로 하며 반도체 장치에서 바람직하지 않을 수 있는 부산물로서 물을 발생시킨다.
본 발명의 목적은 수소화된 옥시탄화규소의 유전율이 낮은 박막을 화학적 증착법으로 제조하는 방법을 제공하는 것이다.
본 발명은 유전율이 낮은 수소화된 옥시탄화규소(H:SiOC)의 박막을 기판, 바람직하게는 반도체 장치 위에 제조하는 방법에 관한 것이다. 이러한 방법은 메틸 함유 실란과 산소 공급 기체를 포함하는 반응 혼합물의 플라즈마 증강되거나 오존 증강된 화학적 증착법을 포함한다. 반응/증착 공정 도중에 사용되는 산소의 양을 조절함으로써 수소, 규소, 탄소 및 산소를 포함하는 막을 제조한다. 이러한 막은 통상적으로 유전율이 3.6 이하이며 중간층 유전체로서 특히 적합하다.
본 발명은 수소화된 옥시탄화규소 막을 기판, 바람직하게는 반도체 기판 위에 제조하는 방법에 관한 것이다. 막을 제조하는 방법은 알킬실란과 산소 공급 기체를 포함하는 반응성 기체 혼합물의 화학적 증착 반응을 포함하는데, 반응 도중에 존재하는 산소의 양이 조절된다. "반도체 기판"은, 촛점면 배열, 광전자 장치, 광전지, 광학 장치, 트랜지스터형 장치, 3-D 장치, 규소상 절연 장치 및 초격자 장치를 포함하는 반도체 성분을 제조하는 데 사용하고자 하는 규소계 장치와 비화갈륨계 장치를 포함하는 것으로 여겨진다. 반도체 기판은 임의의 금속 배선의 적용 이전에, 배선 또는 집적 회로의 하나 이상의 층을 갖는 웨이퍼 단계에서 집적 회로를 포함하는 것이 바람직하다.
본 발명에서 제조한 수소화된 옥시탄화규소 막은 화학식 SiWOxCyHz의 화합물(여기서, w는 10 내지 33원자%, 바람직하게는 18 내지 20원자%의 값을 가지고, x는 1 내지 66원자%, 바람직하게는 18 내지 21원자%의 값을 가지며, y는 1 내지 66원자%, 바람직하게는 31 내지 38원자%의 값을 가지고, z는 0.1 내지 60원자%, 바람직하게는 25 내지 32원자%의 값을 가지며, w+x+y+z는 100원자%이다)로 나타낸다.
수소화된 옥시탄화규소 막은 메틸 함유 실란과 산소 공급 기체를 포함하는 반응성 기체 혼합물로부터 제조된다. 본 발명에서 유용한 메틸 함유 실란은 메틸실란(CH3SiH3), 디메틸실란((CH3)2SiH2), 트리메틸실란((CH3)3SiH) 및 테트라메틸실란 ((CH3)4Si), 바람직하게는 트리메틸실란을 포함한다.
조절된 양의 산소는 증착실 속에 존재한다. 산소는 사용된 산소 공급 기체의 형태로 조절하거나 사용된 산소 공급 기체의 양으로 조절할 수 있다. 너무나 많은 산소가 증착실 속에 존재하는 경우, 화학량론이 SiO2에 근접한 산화규소 막이 제조되며 유전율은 목적하는 값 이상일 것이다. 산소 공급 기체의 예는 공기, 오존, 산소, 아산화질소 및 산화질소, 바람직하게는 아산화질소이다. 산소 공급 기체의 양은 통상적으로 메틸 함유 실란 1용적부당 산소 공급 기체 5용적부 미만, 보다 바람직하게는 메틸 함유 실란 1용적부당 산소 공급 기체 0.1 내지 4.5용적부이다. 당해 분야의 숙련가는 산소 공급 기체의 형태와 증착 조건을 기준으로 하여, 산소 공급 기체의 양을 용이하게 결정할 수 있을 것이다.
기타 물질이 반응성 기체 혼합물 속에 존재할 수 있다. 예를 들면, 캐리어 가스(예: 헬륨 또는 아르곤), 도판트(예: 포스핀 또는 디보란), 할로겐(예: 불소), 또는 막에 대해 추가의 바람직한 특성을 제공하는 임의의 기타 물질이 존재할 수 있다.
반응성 기체 혼합물은 기판, 바람직하게는 반도체 기판을 함유하는 증착실로 도입되는데, 메틸 함유 실란과 산소 공급 기체와의 반응이 수행되어, 수소, 규소, 탄소 및 산소를 포함하고 유전율이 3.6 이하인 막이 기판 위에 증착된다. 기판 온도가 500℃ 미만인 임의의 화학적 증착법(CVD)을 사용할 수 있다. 온도가 500℃ 이상인 경우에는, 통상적으로 반도체 기판, 특히 알루미늄 배선을 갖는 반도체 기판에 대해 적합하지 않다. 플라즈마 증강된 화학적 증착법(RECVD)이 바람직한데, 이는 사용할 수 있는 낮은 온도와 산업에서의 이의 광범위한 용도 때문이다. 또한, 오존 증강된 CVD를 사용할 수 있다.
PECVD에 있어서, 기체 혼합물은 이를 플라즈마 장(plasma field)을 통하여 통과시킴으로써 반응한다. 이러한 공정에서 사용되는 플라즈마는 각종 공급원(예: 전기 방전, 고주파 또는 마이크로파 범위의 전자기장, 레이저 또는 입자 빔)으로부터 유도된 에너지를 포함한다. 플라즈마 증착 공정에 있어서, 적당한 전력 밀도(0.1 내지 5watts/cm2)에서 고주파(10kHz 내지 102MHz) 또는 마이크로파(1.0 내지 10GHz) 에너지의 사용이 통상적으로 바람직하다. 그러나, 특정 주파수, 전력 및 압력은 통상적으로 장치에 따라서 맞추어진다. 바람직하게는, 막은 PECVD를 사용하여 20 내지 1,000W의 전력, 1 내지 10,000mTorr의 압력(0.0133 내지 1132.2Pa) 및 25 내지 100℃의 온도에서 제조된다. 종종, 고밀도 플라즈마라고 하는 한정된 저압(1 내지 5mTorr) 마이크로파 주파수 플라즈마는 CVD 성장 도중에 다양한 표면 불안정화를 평탄화시키는 공정에서 RF 주파수 여기와 함께 조합될 수 있다. 이러한 공정은 중간층 유전체의 형성에서 유용하다.
본 발명에서 제조한 필름은 두께가 다양할 수 있다. 두께가 0.01 내지 10㎛인 막을 본 발명의 방법으로 제조할 수 있다. 막의 두께는 0.5 내지 3.0㎛가 바람직하다.
본 발명의 방법의 한 가지 이점은 산소 공급 기체로서 아산화질소를 사용하는 경우, 막 조성과 특성이 반응성 기체 혼합물 중의 아산화질소의 양이 상당히 변하는 경우라고 할지라도, 여전히 필수적으로 동일하다는 점이다(N2O 대 메틸 함유 실란 1.2:1 내지 4.5:1용적부).
본 발명의 방법의 기타의 이점은 연속 성장 공정이 연결되어 CVD 공정 도중에 산소 공급 기체를 적당한 시기에서 증가시키거나 삭제함으로써, 예를 들면, SiO2/H:SiOC/SiO2또는 SiC:H/H:SiOC/SiC:H의 다층 구조를 형성시키는 능력이다. 반응성 기체 흐름을 중단시키고, 산소 공급 기체의 양을 조절한 다음, 반응성 기체 흐름을 다시 시작함으로써 불연속 층이 형성되어 다음 층을 제조하는 것이 바람직하다.
제조한 막은 낮은 유전율로 인하여, 반도체 집적 회로 제조업(예: 게이트 유전체, 예비금속 및 중간금속 유전체 및 표면안정화 피막)에 있어서 중간층 유전율로서 특히 적합하다. 제조한 막의 유전율은 3.6 이하, 바람직하게는 3.2 이하, 보다 바람직하게는 3.0 이하이다.
실시예
당해 분야의 숙련가가 본 명세서에서 습득한 발명을 이해하고 인정할 수 있도록 다음 예를 제시한다.
실시예 1 내지 실시예 8과 비교실시예 1 및 비교실시예 2에 있어서, 금속 절연체 반도체(실시예 4 내지 실시예 8)와 금속 절연체 금속 캐퍼시터(실시예 1 내지 실시예 3, 비교실시예 1 및 비교실시예 2)를 사용하여 유전체 특성을 측정한다.
금속 게이트 증착(상부 전극) 직후 그리고 350 내지 400℃의 온도 범위에서 N2속에서 하나 이상의 어니일링 사이클 후에 측정을 실시한다. 캐퍼시터 기하학과 막 두께로부터 상대성 유전율(K)을 계산한다. 흐름 속도는 sccm(표준 ㎤/min.)으로서 표에 기재되어 있다.
실시예 1 내지 실시예 8
트리메틸실란(3MS)과 아산화질소를 포함하는 반응성 기체 혼합물(기체 유량에 대해서는 표 1과 표 2를 참조)을 Al 0.5㎛로 피복시킨 열 산화된 (SiO20.1㎛) 실리콘 웨이퍼 또는 아무런 처리를 하지 않은 실리콘 웨이퍼(bare silicon wafer)를 기판으로서 사용하는 전기용량적으로 커플된 평행판 PECVD 시스템 속으로 도입한다. 350W의 전력, 2,700mTorr의 압력(359.69Pa) 및 250℃의 온도에서 PECVD 시스템을 작동시킨다. 캐리어 가스로서 헬륨을 사용한다. 실시예 1 내지 실시예 8에 대하여 생기는 유전율, 성장 속도 및 막 응력(압축성)은 표 1과 표 2에 기재되어 있다. 실시예 4 내지 실시예 8에서 제조한 막의 조성과 밀도는 표 3에 기재되어 있다. 표 2로부터 알 수 있는 바와 같이, 아산화질소의 양이 상당히 변하는 경우라고 할지라도, 생성된 막은 필수적으로 조성과 특성이 동일하다.
실시예번호 3MS(sccm) He(sccm) N2O(sccm) K(MIM) K(400℃ 금속 어니일링 후) 성장 속도(Å/min) 응력(MPa)
1 100 380 120 3.6 3.6 535 61C
2 100 260 240 3.4 3.1 내지 3.4 1531 28C
3 100 140 360 3.2 2.8 내지 3.0 3615 53C
*C는 압축 응력이다.
실시예번호 3MS(sccm) He(sccm) N2O(sccm) K(MIS) K(금속 어니일링*후) 성장 속도(Å/min)
4 100 380 120 3.2 3.1 624
5 100 260 240 3.1 3.0 2076
6 100 140 360 3.1 3.1 4830
7 100 100 400 3.0 2.9 5510
8 100 50 450 3.1 3.0 6076
*3회 사이클, 각각 200-350-200℃, 200-400-200℃, 200-400-200℃에서 1시간 동안 침지시킨다.
실시예번호 두께(㎛) Si원자% H원자% C원자% O원자% 밀도g/㎤
4 0.62 0.20 0.25 0.37 0.18 1.46
5 0.83 0.18 0.29 0.35 0.18 1.34
6 0.97 0.2 0.3 0.31 0.19 1.36
7 1.10 0.18 0.29 0.33 0.20 1.36
8 1.22 0.18 0.27 0.34 0.21 1.36
비교실시예 1과 비교실시예 2
실시예 1 내지 실시예 8에 대한 과정과 동일한 과정을 사용하여, 플라즈마 증강 화학적 증착에서 트리메틸실란과 산소를 포함하는 반응성 기체 혼합물을 사용한다. 결과는 표 4에 기재되어 있다. 생성된 막은 필수적으로 SiO2막인데, 이는 반응성 기체 혼합물 속에서 사용되는 산소의 양이 많기 때문이다.
실시예번호 3MS(sccm) He(sccm) N2O(sccm) K(MIM) K(400℃ 금속 어니일링 후) 성장 속도(Å/min) 응력*(MPa)
C1 100 440 60 4.6 - 1456 60T
C2 100 380 120 5.8 - 2481 71T
*T는 인장 응력이다.
비교실시예 3
이 실시예는 유럽 특허원 제0 774 533호의 실시예 3이다. 트리메틸실란(TMS) 6 sccm과 아산화질소 523sccm을 포함하는 반응성 기체 혼합물을 기판으로서 실리콘 웨이퍼를 사용하는 전기용량적으로 커플된 평행판 PECVD 시스템 속으로 도입한다. 50W의 전력, 1,000mTorr의 압력 및 300℃의 온도에서 PECVD 시스템을 작동시킨다. 캐리어 가스로서 헬륨(500sccm)을 사용한다. 다량의 아산화질소(N2O)를 사용하였으므로, 생성된 막은 SiO2막이다.
본 발명에 따라, 반도체 장치를 제조하는 데 유용하며 유전율이 3.6 이하인 수소화된 옥시탄화규소(H:SiOC) 막을 제조할 수 있다.

Claims (6)

  1. 메틸 함유 실란과 산소 공급 기체를 포함하는 반응성 기체 혼합물을 기판 함유 화학 증착실로 도입시키고, 메틸 함유 실란을 25 내지 500℃의 온도에서 산소 공급 기체와 반응시킴을 포함하는 수소화된 옥시탄화규소 막의 제조방법에 있어서,
    반응 도중에 존재하는 산소의 양을 조절하여, 수소, 규소, 탄소 및 산소를 포함하며 유전율이 3.6 이하인 막을 기판 위에 제공함을 특징으로 하는 방법.
  2. 제1항에 있어서, 산소 공급 기체의 양이 메틸 함유 실란 1용적부당 산소 공급 기체 5용적부 미만인 방법.
  3. 제1항 또는 제2항에 있어서, 반응이 반응성 기체 혼합물을 플라즈마에 노출시킴으로써 수행되는 방법.
  4. 제1항 내지 제3항 중의 어느 한 항에 있어서, 반응성 기체 혼합물이 캐리어 가스를 추가로 포함하는 방법.
  5. 제1항 내지 제4항 중의 어느 한 항에 있어서, 수소화된 옥시탄화규소 막의 두께가 0.01 내지 10㎛인 방법.
  6. 제1항 내지 제5항 중의 어느 한 항에 있어서, 메틸 함유 실란과 산소 공급 기체가 반응하는 동안에 산소 공급 기체의 양이 증가되거나 감소되어, SiO2, H:SiOC 및 SiC:H로 이루어진 그룹으로부터 선택된 연속 층을 함유하는 막이 제조되는 방법.
KR10-1999-0019173A 1998-05-29 1999-05-27 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법 KR100453612B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9/086,811 1998-05-29
US09/086,811 US6159871A (en) 1998-05-29 1998-05-29 Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US09/086,811 1998-05-29

Publications (2)

Publication Number Publication Date
KR19990088593A true KR19990088593A (ko) 1999-12-27
KR100453612B1 KR100453612B1 (ko) 2004-10-20

Family

ID=22201077

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-0019173A KR100453612B1 (ko) 1998-05-29 1999-05-27 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법

Country Status (6)

Country Link
US (2) US6159871A (ko)
EP (1) EP0960958A3 (ko)
JP (2) JP2000049157A (ko)
KR (1) KR100453612B1 (ko)
SG (1) SG72955A1 (ko)
TW (1) TW491910B (ko)

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6515355B1 (en) * 1998-09-02 2003-02-04 Micron Technology, Inc. Passivation layer for packaged integrated circuits
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
KR100292409B1 (ko) * 1999-05-24 2001-06-01 윤종용 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6541369B2 (en) * 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
EP1128421A3 (en) * 2000-02-28 2002-03-06 Canon Sales Co., Inc. Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices
EP1172847A3 (en) * 2000-07-10 2004-07-28 Interuniversitair Micro-Elektronica Centrum Vzw A method to produce a porous oxygen-silicon layer
JP2004504716A (ja) 2000-07-13 2004-02-12 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア シリカゼオライト低誘電率薄膜
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6372661B1 (en) * 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6521302B1 (en) 2000-09-26 2003-02-18 Applied Materials, Inc. Method of reducing plasma-induced damage
EP1328973A2 (en) * 2000-10-03 2003-07-23 Broadcom Corporation High-density metal capacitor using dual-damascene copper interconnect
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6610362B1 (en) * 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6936533B2 (en) * 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100837100B1 (ko) * 2001-01-03 2008-06-13 다우 코닝 코포레이션 금속 이온 확산 차단층을 포함하는 집적회로 및 금속 이온 이동 억제방법
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6514850B2 (en) 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7095460B2 (en) * 2001-02-26 2006-08-22 Samsung Electronics Co., Ltd. Thin film transistor array substrate using low dielectric insulating layer and method of fabricating the same
KR20030093270A (ko) * 2001-03-23 2003-12-06 다우 코닝 코포레이션 수소화 규소 옥시카바이드 필름의 제조 방법
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6896968B2 (en) * 2001-04-06 2005-05-24 Honeywell International Inc. Coatings and method for protecting carbon-containing components from oxidation
US6376392B1 (en) * 2001-05-18 2002-04-23 Industrial Technology Research Institute PECVD process for ULSI ARL
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
DE10131156A1 (de) 2001-06-29 2003-01-16 Fraunhofer Ges Forschung Arikel mit plasmapolymerer Beschichtung und Verfahren zu dessen Herstellung
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US7001823B1 (en) * 2001-11-14 2006-02-21 Lsi Logic Corporation Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6858548B2 (en) * 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US7211607B2 (en) * 2002-04-24 2007-05-01 The Regents Of The University Of California Method for producing high surface area chromia materials for catalysis
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
KR100466818B1 (ko) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
DE10240176A1 (de) * 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6872588B2 (en) * 2002-11-22 2005-03-29 Palo Alto Research Center Inc. Method of fabrication of electronic devices using microfluidic channels
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP2004253791A (ja) * 2003-01-29 2004-09-09 Nec Electronics Corp 絶縁膜およびそれを用いた半導体装置
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
KR101129101B1 (ko) * 2003-02-18 2012-03-23 코니카 미놀타 홀딩스 가부시키가이샤 유기 박막 트랜지스터 소자 및 그의 제조 방법
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
US20040168505A1 (en) * 2003-02-27 2004-09-02 Dudley Newton Howard Air conditioning system UV leak detection kit
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
TW200505966A (en) * 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7151315B2 (en) * 2003-06-11 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of a non-metal barrier copper damascene integration
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
WO2007001337A2 (en) 2004-08-18 2007-01-04 Dow Corning Corporation Coated substrates and methods for their preparation
EP1799877B2 (en) * 2004-08-18 2016-04-20 Dow Corning Corporation Sioc:h coated substrates
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
EP1872413A1 (en) * 2005-04-14 2008-01-02 Renewable Energy Corporation ASA Surface passivation of silicon based wafers
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
WO2007033075A2 (en) * 2005-09-12 2007-03-22 Fujifilm Electronic Materials U.S.A., Inc. Additives to prevent degradation of cyclic alkene derivatives
TWI411663B (zh) * 2005-09-12 2013-10-11 Fujifilm Electronic Materials 防止環烯烴衍生物降解之添加劑(二)
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20070264843A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing
US7476971B2 (en) * 2006-05-11 2009-01-13 Toshiba America Electronic Components, Inc. Via line barrier and etch stop structure
US20070286995A1 (en) * 2006-06-09 2007-12-13 Exatec, Llc Polycarbonate glazing system having solar reflecting properties
DE102006028809B4 (de) * 2006-06-21 2015-10-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer-Träger-Anordnung, Schichtverbund zur Verwendung bei der Herstellung einer solchen Wafer-Träger-Anordnung sowie entsprechende Verfahren und Verwendungen
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
DE102007010071A1 (de) 2007-02-28 2008-09-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Schichtverbund umfassend eine Lack- und eine Trennschicht sowie Lack-Träger-Anordnung zur Übertragung von Lack
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
DE102007040655B4 (de) 2007-08-27 2011-07-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 80686 Funktionsschichtübertragungsanordnung, Verfahren zu deren Herstellung, Übertragungsverfahren für eine Funktionsschicht und Verwendung einer plasmapolymeren Schicht oder einer Funktionsschichtübertragungsanordnung zum Übertragen einer Funktionsschicht auf ein Substrat
KR100884632B1 (ko) 2007-09-14 2009-02-23 (주)피앤테크 에스아이오씨 박막 제조용 프리커서와 박막 형성방법
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
US8764993B2 (en) * 2008-04-03 2014-07-01 General Electric Company SiOC membranes and methods of making the same
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8173213B2 (en) 2008-05-28 2012-05-08 Air Products And Chemicals, Inc. Process stability of NBDE using substituted phenol stabilizers
WO2010005937A1 (en) 2008-07-08 2010-01-14 Fujifilm Electronic Materials U.S.A., Inc. Additives to prevent degradation of cyclic alkene derivatives
KR101880838B1 (ko) * 2008-08-04 2018-08-16 더 트러스티즈 오브 프린스턴 유니버시티 박막 트랜지스터용 하이브리드 유전 재료
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9340880B2 (en) 2009-10-27 2016-05-17 Silcotek Corp. Semiconductor fabrication process
KR101932899B1 (ko) 2009-10-27 2018-12-26 실코텍 코포레이션 화학적 증기 증착 코팅, 물품, 및 방법
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP2013520030A (ja) 2010-02-17 2013-05-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード SiCOHLOW−K膜の蒸着方法
US20150101662A1 (en) * 2010-04-23 2015-04-16 Solexel, Inc. Surface passivation of high-efficiency crystalline silicon solar cells
JP5710770B2 (ja) * 2010-10-05 2015-04-30 シルコテック・コーポレーション 耐摩耗性コーティングの製造方法
US20140023929A1 (en) * 2011-01-31 2014-01-23 Hiroshi Fukui Silicon-Containing Carbonaceous Composite Material
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
EP2996819A1 (en) 2013-05-14 2016-03-23 Silcotek Corp. Vapor phase treatment of amorphous carbon films with (perfluoro 1,1,2,2 tetrahydroalkyl)trialkoxysilane
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20150030885A1 (en) * 2013-07-29 2015-01-29 Silcotek Corp. Coated article and chemical vapor deposition process
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
EP3212696B1 (en) 2014-10-29 2018-10-24 PPG Industries Ohio, Inc. Protective coating system for plastic substrate
US10316408B2 (en) 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10323321B1 (en) 2016-01-08 2019-06-18 Silcotek Corp. Thermal chemical vapor deposition process and coated article
KR102541448B1 (ko) * 2016-03-08 2023-06-09 삼성디스플레이 주식회사 디스플레이 장치
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10487403B2 (en) 2016-12-13 2019-11-26 Silcotek Corp Fluoro-containing thermal chemical vapor deposition process and article
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
WO2019178186A1 (en) * 2018-03-14 2019-09-19 Helicon Chemical Company Dielectric composite containing dispersed primary nanoparticles of aluminum or aluminum oxide
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
US20220293417A1 (en) * 2019-08-16 2022-09-15 Versum Materials Us, Llc Silicon compounds and methods for depositing films using same

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1134214A (en) * 1978-03-08 1982-10-26 Roy G. Gordon Deposition method
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
JPS59222659A (ja) * 1983-05-31 1984-12-14 Fuji Heavy Ind Ltd 無段変速機の油圧制御装置
US4557946A (en) 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
US4717585A (en) 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4842888A (en) * 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
JP2660297B2 (ja) * 1988-10-05 1997-10-08 工業技術院長 柏木 寛 酸化シリコン膜の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
FR2651782B1 (fr) 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) * 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5284730A (en) * 1990-10-24 1994-02-08 Canon Kabushiki Kaisha Electrophotographic light-receiving member
JP2876545B2 (ja) * 1990-10-24 1999-03-31 キヤノン株式会社 光受容部材
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5208069A (en) * 1991-10-28 1993-05-04 Istituto Guido Donegani S.P.A. Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus prepared thereby, and method of utilizing apparatus prepared thereby
US5182000A (en) * 1991-11-12 1993-01-26 E. I. Du Pont De Nemours And Company Method of coating metal using low temperature plasma and electrodeposition
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
AU4506993A (en) * 1992-07-04 1994-01-31 Christopher David Dobson A method of treating a semiconductor wafer
JP2665299B2 (ja) 1992-07-06 1997-10-22 三菱電機株式会社 エレベーターの乗場表示装置
US5825078A (en) * 1992-09-23 1998-10-20 Dow Corning Corporation Hermetic protection for integrated circuits
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5465680A (en) * 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
DE4404690A1 (de) * 1994-02-15 1995-08-17 Leybold Ag Verfahren zur Erzeugung von Sperrschichten für Gase und Dämpfe auf Kunststoff-Substraten
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (ko) 1995-01-04 1996-09-11 Air Prod & Chem
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JP3388651B2 (ja) * 1995-04-07 2003-03-24 株式会社アルバック 絶縁膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5530581A (en) * 1995-05-31 1996-06-25 Eic Laboratories, Inc. Protective overlayer material and electro-optical coating using same
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) * 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
TW362118B (en) * 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5798319A (en) 1996-01-16 1998-08-25 Exxon Chemical Patents Inc. High stability and low metals esters based on 3,5,5-trimethyl-1-hexanol
JPH09251997A (ja) * 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
JP2001504989A (ja) * 1996-08-24 2001-04-10 トリコン エクウィプメンツ リミテッド 平坦化された誘電層を半導体基板上に堆積させるための方法及び装置
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
JP3086424B2 (ja) * 1996-12-24 2000-09-11 株式会社半導体エネルギー研究所 層間絶縁膜の作製方法
JPH10242143A (ja) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) * 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
DE19904311A1 (de) * 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JPH11251293A (ja) * 1998-03-03 1999-09-17 Hitachi Ltd 半導体集積回路装置の製造方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
JP2000188332A (ja) * 1998-12-22 2000-07-04 Seiko Epson Corp 半導体装置及びその製造方法
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6147012A (en) * 1999-11-12 2000-11-14 Lsi Logic Corporation Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant

Also Published As

Publication number Publication date
EP0960958A3 (en) 2000-03-22
US6593655B1 (en) 2003-07-15
JP4881422B2 (ja) 2012-02-22
TW491910B (en) 2002-06-21
KR100453612B1 (ko) 2004-10-20
JP2000049157A (ja) 2000-02-18
SG72955A1 (en) 2000-05-23
JP2010028130A (ja) 2010-02-04
EP0960958A2 (en) 1999-12-01
US6159871A (en) 2000-12-12

Similar Documents

Publication Publication Date Title
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
US5869149A (en) Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US7642204B2 (en) Methods of forming fluorine doped insulating materials
US6667553B2 (en) H:SiOC coated substrates
US6593248B2 (en) Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
EP0584252B1 (en) A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR960013151B1 (ko) 산화규소 막을 형성시키기 위한 화학 증착법
CN100594259C (zh) 改善低k叠层之间粘附性的界面工程
US6649540B2 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6440876B1 (en) Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
EP0935283A2 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
US20070004204A1 (en) Method for forming insulation film
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
JP4881153B2 (ja) 水素化シリコンオキシカーバイド膜の生成方法。
WO1997030188A1 (en) Method for depositing fluorine doped silicon dioxide films
KR20070057284A (ko) 막의 제조방법 및 당해 방법으로 제조된 막을 이용한반도체 장치
KR100926722B1 (ko) 반도체 기판상의 실록산 중합체막 및 그 제조방법
EP0187826B1 (en) Fabrication of devices with a silicon oxide region
TW202343674A (zh) 用高頻功率形成低介電係數材料層的方法、包括此層之結構、及用於形成其的系統
Lim et al. High quality silicon-nitride thin films grown by helium plasma-enhanced chemical vapor deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130926

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20141006

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170919

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20180918

Year of fee payment: 15

EXPY Expiration of term