JP2000049157A - 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法 - Google Patents

低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法

Info

Publication number
JP2000049157A
JP2000049157A JP11150323A JP15032399A JP2000049157A JP 2000049157 A JP2000049157 A JP 2000049157A JP 11150323 A JP11150323 A JP 11150323A JP 15032399 A JP15032399 A JP 15032399A JP 2000049157 A JP2000049157 A JP 2000049157A
Authority
JP
Japan
Prior art keywords
oxygen
film
reaction
methyl
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP11150323A
Other languages
English (en)
Inventor
Mark Jon Loboda
ジョン ロボダ マーク
Jeffrey Alan Seifferly
アラン セイファーリイ ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of JP2000049157A publication Critical patent/JP2000049157A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Inorganic Insulating Materials (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 化学蒸着により水素化オキシ炭化珪素の低誘
電率薄膜を製造する方法を与える。 【解決手段】 メチル含有シラン及び酸素付与ガスを含
む反応性ガス混合物を、基体の入った化学蒸着室へ導入
し、前記メチル含有シランと前記酸素付与ガスとの間の
反応を25℃〜500℃の温度で引き起こし、反応中存
在する酸素の量を調節して、3.6以下の誘電率を有す
る水素、珪素、炭素及び酸素を含むフィルムを基体上に
形成する、ことからなる水素化オキシ炭化珪素フィルム
の製造方法。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、基体上に低い誘電
率を有する水素化オキシ炭化珪素フィルムを製造する方
法に関する。
【0002】
【従来の技術】珪素含有材料から、半導体装置上にSi
2、SiNC又はSiC薄膜を形成するために化学蒸
着(CVD)を用いることは当分野でよく知られてい
る。化学蒸着法は、典型的にはガス状珪素含有材料と反
応性ガスとを、半導体基体の入った反応室へ導入するこ
とを含む。熱又はプラズマのようなエネルギー源によ
り、珪素含有材料と反応性ガスとの反応を引き起こし、
それにより半導体装置上にSiO2、SiNC又はSi
Cの薄膜を蒸着する結果を与える。プラズマ促進化学蒸
着(PECVD)は、低温(<500℃)で行われるの
が典型的であり、そのためPECVDは半導体装置上に
誘電体及び不動態のフィルムを形成するのに適した手段
になっている。珪素含有材料には、シラン(SiH4)、
オルト珪酸テトラエチル(TEOS)、シラシクロブタ
ン及びトリメチルシランのようなアルキルシランが含ま
れる。
【0003】化学蒸着により二酸化珪素(SiO2)、無
定形SiNC、及び炭化珪素(SiC)を形成するため
にメチル含有シランを用いることは当分野で知られてい
る。例えば、米国特許第5,465,680号明細書に
は、結晶質SiCフィルムを形成する方法が記載されて
いる。この方法は、基体を600℃〜1000℃に加熱
し、然る後、標準的化学蒸着法で基体をトリメチルシラ
ンに曝すことからなる。EP出願0774533には、
有機珪素材料と酸素源からなる反応性ガス混合物のCV
DによりSiO2被覆を形成する方法が記載されてい
る。EP出願0771886には、有機珪素材料と窒素
源からなる反応性ガス混合物のCVDによりSiNC被
覆を形成する方法が記載されている。
【0004】半導体装置の構造が次第に小さくなるに従
って、誘電率のみならずフィルムの一体性が重要になっ
てきている。既知のCVD法により生成するフィルム
は、大きな誘電率(即ち、3.8以上)を有する。従っ
て、低い誘電率のフィルムを与える結果になる方法及び
材料に対する必要性が存在する。低kフローフィル(Low
-k Flowfill)(登録商標名)として知られている新しい
蒸着法は、3.0未満の誘電率を有するフィルムを生ず
る。この方法は、メチルをドープした酸化珪素フィルム
を生成させるのにメチルシランと過酸化水素との化学蒸
着反応を用いている〔S.マククラッチー(McClatchi
e)、K.ベークマン(Beekmann)、A.キールマッツ(Kie
rmasz)による「CVD法を用いて蒸着した低誘電率酸化
物フィルム」(Low Dielectric Constant Oxide Films D
eposited Using CVD Techniques)、1988年DUMI
C会議予稿集、2/98, p. 311-318参照〕。しかし、この
方法は非標準的CVD装置を必要とし、安定性の低い酸
素源(過酸化水素)を用い、副生成物として半導体装置
には望ましくないことがある水を生じている。
【0005】
【発明が解決しようとする課題】本発明の目的は、化学
蒸着により水素化オキシ炭化珪素の低誘電率薄膜を製造
する方法を与えることにある。
【0006】本発明は、基体、好ましくは半導体装置上
に低い誘電率を有する水素化オキシ炭化珪素(H:Si
OC)の薄膜を製造する方法に関する。
【0007】
【課題を解決するための手段】本発明は、メチル含有シ
ラン及び酸素付与ガスを含む反応性混合物をプラズマ促
進又はオゾン促進化学蒸着することからなる。反応/蒸
着工程中に利用される酸素の量を調節することにより、
水素、珪素、炭素及び酸素を含むフィルムを形成する。
これらのフィルムは、典型的には、3.6以下の誘電率
を有し、中間層誘電体として特に適している。
【0008】本発明は、基体、好ましくは半導体基体上
に水素化オキシ炭化珪素フィルムを形成する方法に関す
る。このフィルム形成方法は、アルキルシランと酸素付
与ガスを含む反応性ガス混合物を、反応中に存在する酸
素の量を調節して、化学蒸着反応させることからなる。
「半導体基体」とは、焦点面アレー、光電子装置、光電
池、光学的装置、トランジスタ型装置、3−D装置、絶
縁体上珪素装置、及び超格子装置を含めた半導体部品の
製造で使用することを目的とした珪素を基にした装置及
び砒化ガリウムを基にした装置を含めることを意味す
る。半導体基体には、一つ以上の配線層を有する好まし
くはウエーハ段階の集積回路又は金属配線を適用する前
の集積回路が含まれる。
【0009】ここで製造される水素化オキシ炭化珪素フ
ィルムは、一般式Siwxy z(式中、wは10
〜33、好ましくは18〜20原子%の値を有し、xは
1〜66、好ましくは18〜21原子%の値を有し、y
は1〜66、好ましくは31〜38原子%の値を有し、
zは0.1〜60、好ましくは25〜32原子%の値を
有し、w+x+y+z=100原子%である)により表
される。
【0010】水素化オキシ炭化珪素フィルムは、メチル
含有シランと酸素付与ガスを含む反応性ガス混合物から
形成する。ここで有用なメチル含有シランには、メチル
シラン(CH3 SiH3)、ジメチルシラン((CH3)2
SiH2)、トリメチルシラン((CH3)3 SiH)、テ
トラメチルシラン((CH3)4 Si)が含まれ、好まし
くはトリメチルシランである。
【0011】蒸着室には制御された量の酸素が存在す
る。酸素は、用いられる酸素付与ガスの種類、或は使用
される酸素付与ガスの量により調節する。もし蒸着室内
に余りにも多くの酸素が存在すると、SiO2に近い化
学量論的組成を有する酸化珪素フィルムが生成し、誘電
率は希望のものより高くなる。酸素付与ガスの例は、空
気、オゾン、酸素、亜酸化窒素及び酸化窒素であり、好
ましくは亜酸化窒素である。酸素付与ガスの量は、典型
的には、メチル含有シラン1体積部当たり5体積部未満
の酸素付与ガスであり、好ましくはメチル含有シラン1
体積部当たり0.1〜4.5体積部の酸素付与ガスであ
る。当業者は、酸素付与ガスの種類及び蒸着条件に基づ
き、酸素付与ガスの量を容易に決定することができるで
あろう。
【0012】反応性ガス混合物中には他の材料が存在し
ていてもよい。例えば、ヘリウム又はアルゴンのような
キャリヤーガス、ホスフィン又はジボランのようなドー
パント、フッ素のようなハロゲン、又はフィルムに付加
的な望ましい性質を与える他の材料が存在していてもよ
い。
【0013】反応性ガス混合物は、基体、好ましくは半
導体基体の入った蒸着室中に導入し、そこでメチル含有
シランと酸素付与ガスとの反応が引き起こされて基体上
にフィルムを蒸着する結果になり、そのフィルムは水
素、珪素、炭素及び酸素を含み、基体上で3.6以下の
誘電率を有する。基体温度を500℃未満にした化学蒸
着(CVD)法を用いることができる。500℃より高
い温度は、典型的には、半導体基体、特にアルミニウム
配線を有する半導体基体には適さない。プラズマ促進化
学蒸着(PECVD)が、使用できる温度が低いこと及
び工業的に広い用途を有するために好ましい。オゾン促
進CVDを用いることもできる。
【0014】PECVDでは、ガス混合物は、プラズマ
領域内にそれを通すことにより反応する。そのような方
法で用いられるプラズマは、電気放電、無線周波(radi
o frequency)又はマイクロ波範囲の電磁場、レーザー
又は粒子ビームのような種々の源から誘導されたエネル
ギーを有する。プラズマ蒸着法で一般に好ましいのは、
無線周波(10kHz〜102MHz)又はマイクロ波
(1.0〜10GHz)エネルギーを中間的電力密度
(0.1〜5ワット/cm2)で使用することである。し
かし、特定の周波数、電力及び圧力は、一般に装置に適
合させる。フィルムは20〜1000Wの電力、1〜1
0,000ミリトール(0.0133〜1132.2P
a)の圧力、及び25〜500℃の温度でPECVDを
用いて形成するのが好ましい。CVD成長中、変動する
表面トポグラフィーを平坦化するのに役立つ方法とし
て、屡々高密度プラズマと呼ばれている閉込め(confin
ed)低圧(1〜5ミリトール)マイクロ波周波数プラズ
マを、RF周波数励起と組合せることができる。この方
法は、中間層誘電体の形成に有用である。
【0015】ここでは種々の厚さのフィルムを生成させ
ることができる。本発明の方法により0.01〜10μ
mの厚さを有するフィルムを製造することができる。好
ましくはそれらフィルムは0.5〜3.0μmの厚さを
有する。
【0016】本発明の方法の一つの利点は、酸素付与ガ
スとして亜酸化窒素を用いた場合、反応性ガス混合物中
の亜酸化窒素の量がかなり変動した場合(1.2:1〜
4.5:1体積部のN2O対メチル含有シラン)でも、
フィルムの組成及び性質が本質的に同じままになってい
ることである。
【0017】本発明の方法の別の利点は、CVD工程
中、適当な時間に酸素付与ガスを増大又は排除すること
により、例えば、SiO2/H:SiOC/SiO2又は
SiC:H/H:SiOC/SiC:Hの多層構造体を
生ずるように、順次成長工程を接続させることができる
ことである。反応性ガス流を停止し、酸素付与ガスの量
を調節し、然る後、反応性ガス流を再び流して次の層を
形成することにより別個の層を生成させることが好まし
い。
【0018】製造されたフィルムは、誘電率が低いた
め、ゲート誘電体、プレメタル(premetal)及びインター
メタル(intermetal)誘電体、及び不動態被覆のような半
導体集積回路を製造する時の中間層誘電体として特に適
している。製造されたフィルムは、3.6以下、好まし
くは3.2以下、一層好ましくは3.0以下の誘電率を
有する。
【0019】
【実施例】当業者がここに教示する発明を理解し認識で
きるように、次の実施例を与える。
【0020】実施例1〜9及び比較例1〜2では、誘電
体特性を、金属・絶縁体・半導体を用いて測定し(実施
例4〜9)、また金属・絶縁体・金属キャパシタを用い
て測定した(実施例1〜3、比較例1〜2)。測定は金
属ゲート蒸着(頂部電極)直後に行い、350〜400
℃の温度範囲でN2中で一回以上のアニール工程後に再
び行なった。キャパシタの幾何学的形態及びフィルムの
厚さから相対的誘電率Kを計算した。流量はsccm
(標準cm3/分)単位で表中に示してある。
【0021】実施例1〜9 トリメチルシラン(3MS)及び亜酸化窒素を含む反応
性ガス混合物(ガス流量については表1及び2参照)
を、基体として0.5μmのAlで被覆した熱酸化
(0.1μmSiO2)珪素ウエーハ又は裸の珪素ウエー
ハを用いた容量結合平行板(capacitively coupled par
allel plate)PECVD装置中へ導入した。PECV
D装置は、350Wの電力、2700ミリトール(35
9.69Pa)の圧力、及び250℃の温度で操作し
た。キャリヤーガスとしてヘリウムを用いた。実施例1
〜9の誘電率、成長速度、及びフィルム応力(圧縮応
力)の結果は、表1及び2に示してある。実施例4〜9
で製造されたフィルムの組成及び密度を表3に示す。表
2から分かるように、亜酸化窒素の量が著しく変化して
も、得られるフィルムは本質的に同じ組成及び性質を持
っていた。
【0022】
【表1】
【0023】
【表2】
【0024】
【表3】
【0025】比較例1〜2 実施例1〜8の場合と同じ手順を用いて、トリメチルシ
ラン及び酸素からなる反応性ガス混合物を、プラズマ促
進化学蒸着で用いた。結果を表4に示す。得られたフィ
ルムは、反応性ガス混合物中に用いた多量の酸素のた
め、本質的にSiO2フィルムであった。
【0026】
【表4】
【0027】比較例3 この例は、EP出願0774533の実施例3である。
6sccmのトリメチルシラン(TMS)及び523s
ccmの亜酸化窒素を含む反応性ガス混合物を、基体と
して珪素ウエーハを用いた容量結合平行板PECVD装
置中へ導入した。PECVD装置は、50Wの電力、1
000ミリトールの圧力、及び300℃の温度で操作し
た。ヘリウム(500sccm)をキャリヤーガスとし
て用いた。用いた亜酸化窒素(N2O)の量が多いた
め、得られたフィルムはSiO2フィルムであった。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) // H01B 3/12 336 H01B 3/12 336

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 メチル含有シラン及び酸素付与ガスを含
    む反応性ガス混合物を、基体の入った化学蒸着室へ導入
    し、前記メチル含有シランと前記酸素付与ガスとの間の
    反応を25℃〜500℃の温度で引き起こし、 反応中存在する酸素の量を調節して、3.6以下の誘電
    率を有する水素、珪素、炭素及び酸素を含むフィルムを
    基体上に形成する、ことからなる水素化オキシ炭化珪素
    フィルムの製造方法。
  2. 【請求項2】 酸素付与ガスの量が、メチル含有シラン
    1体積部当たり5体積部未満である、請求項1に記載の
    方法。
  3. 【請求項3】 反応性ガス混合物をプラズマに曝すこと
    により反応を引き起こす、請求項1又は2に記載の方
    法。
  4. 【請求項4】 反応性ガス混合物が、更にキャリヤーガ
    スを含む、請求項1〜3のいずれか1項に記載の方法。
  5. 【請求項5】 水素化オキシ炭化珪素フィルムが、0.
    01〜10μmの厚さを有する、請求項1〜4のいずれ
    か1項に記載の方法。
  6. 【請求項6】 メチル含有シランと酸素付与ガスとの反
    応中、酸素付与ガスの量を増大又は減少して、Si
    2、H:SiOC、及びSiC:Hからなる群から選
    択された連続的層を含むフィルムを形成する、請求項1
    〜5のいずれか1項に記載の方法。
JP11150323A 1998-05-29 1999-05-28 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法 Withdrawn JP2000049157A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US086811 1998-05-29
US09/086,811 US6159871A (en) 1998-05-29 1998-05-29 Method for producing hydrogenated silicon oxycarbide films having low dielectric constant

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009245328A Division JP4881422B2 (ja) 1998-05-29 2009-10-26 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法

Publications (1)

Publication Number Publication Date
JP2000049157A true JP2000049157A (ja) 2000-02-18

Family

ID=22201077

Family Applications (2)

Application Number Title Priority Date Filing Date
JP11150323A Withdrawn JP2000049157A (ja) 1998-05-29 1999-05-28 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法
JP2009245328A Expired - Lifetime JP4881422B2 (ja) 1998-05-29 2009-10-26 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009245328A Expired - Lifetime JP4881422B2 (ja) 1998-05-29 2009-10-26 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法

Country Status (6)

Country Link
US (2) US6159871A (ja)
EP (1) EP0960958A3 (ja)
JP (2) JP2000049157A (ja)
KR (1) KR100453612B1 (ja)
SG (1) SG72955A1 (ja)
TW (1) TW491910B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001257206A (ja) * 1999-12-07 2001-09-21 Applied Materials Inc 半導体デバイス内の固定電荷を低減する方法及び装置
JP2002198366A (ja) * 2000-10-05 2002-07-12 Applied Materials Inc 化学気相成長法によって堆積されるsioc層のk値を減少させる方法
JP2006054487A (ja) * 2005-10-13 2006-02-23 Fujitsu Ltd 半導体集積回路装置
JP2006519496A (ja) * 2003-02-26 2006-08-24 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜の生成方法。
JP2008510075A (ja) * 2004-08-18 2008-04-03 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US7615783B2 (en) 2001-02-26 2009-11-10 Samsung Electronics Co., Ltd. Thin film transistor array substrate using low dielectric insulating layer and method of fabricating the same
US7651725B2 (en) 1998-02-11 2010-01-26 Applied Materials, Inc. Low dielectric constant film produced from silicon compounds comprising silicon-carbon bond
US8349722B2 (en) 2002-10-30 2013-01-08 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6515355B1 (en) * 1998-09-02 2003-02-04 Micron Technology, Inc. Passivation layer for packaged integrated circuits
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
KR100292409B1 (ko) * 1999-05-24 2001-06-01 윤종용 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
EP1128421A3 (en) * 2000-02-28 2002-03-06 Canon Sales Co., Inc. Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices
EP1172847A3 (en) * 2000-07-10 2004-07-28 Interuniversitair Micro-Elektronica Centrum Vzw A method to produce a porous oxygen-silicon layer
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
US6372661B1 (en) * 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6521302B1 (en) 2000-09-26 2003-02-18 Applied Materials, Inc. Method of reducing plasma-induced damage
WO2002029892A2 (en) * 2000-10-03 2002-04-11 Broadcom Corporation High-density metal capacitor using dual-damascene copper interconnect
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6936533B2 (en) * 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
TWI272694B (en) * 2001-01-03 2007-02-01 Dow Corning Metal ion diffusion barrier layers
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6514850B2 (en) 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2004526318A (ja) * 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6896968B2 (en) * 2001-04-06 2005-05-24 Honeywell International Inc. Coatings and method for protecting carbon-containing components from oxidation
US6376392B1 (en) * 2001-05-18 2002-04-23 Industrial Technology Research Institute PECVD process for ULSI ARL
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
DE10131156A1 (de) * 2001-06-29 2003-01-16 Fraunhofer Ges Forschung Arikel mit plasmapolymerer Beschichtung und Verfahren zu dessen Herstellung
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP3745257B2 (ja) 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US7001823B1 (en) 2001-11-14 2006-02-21 Lsi Logic Corporation Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6858548B2 (en) * 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US7211607B2 (en) * 2002-04-24 2007-05-01 The Regents Of The University Of California Method for producing high surface area chromia materials for catalysis
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
KR100466818B1 (ko) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
DE10240176A1 (de) * 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6872588B2 (en) * 2002-11-22 2005-03-29 Palo Alto Research Center Inc. Method of fabrication of electronic devices using microfluidic channels
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP2004253791A (ja) * 2003-01-29 2004-09-09 Nec Electronics Corp 絶縁膜およびそれを用いた半導体装置
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
JP4892973B2 (ja) * 2003-02-18 2012-03-07 コニカミノルタホールディングス株式会社 有機薄膜トランジスタ素子の製造方法
US20040168505A1 (en) * 2003-02-27 2004-09-02 Dudley Newton Howard Air conditioning system UV leak detection kit
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7151315B2 (en) * 2003-06-11 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of a non-metal barrier copper damascene integration
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR101154215B1 (ko) * 2004-08-18 2012-06-18 다우 코닝 코포레이션 SiOC:H 피복된 기판 및 이의 제조방법
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
EP1872413A1 (en) * 2005-04-14 2008-01-02 Renewable Energy Corporation ASA Surface passivation of silicon based wafers
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
EP1931613B1 (en) * 2005-09-12 2015-11-11 FujiFilm Electronic Materials USA, Inc. Additives to prevent degradation of cyclic alkene derivatives
TWI411663B (zh) 2005-09-12 2013-10-11 Fujifilm Electronic Materials 防止環烯烴衍生物降解之添加劑(二)
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20070264843A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing
US7476971B2 (en) * 2006-05-11 2009-01-13 Toshiba America Electronic Components, Inc. Via line barrier and etch stop structure
US20070286995A1 (en) * 2006-06-09 2007-12-13 Exatec, Llc Polycarbonate glazing system having solar reflecting properties
DE102006028809B4 (de) * 2006-06-21 2015-10-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer-Träger-Anordnung, Schichtverbund zur Verwendung bei der Herstellung einer solchen Wafer-Träger-Anordnung sowie entsprechende Verfahren und Verwendungen
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
DE102007010071A1 (de) 2007-02-28 2008-09-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Schichtverbund umfassend eine Lack- und eine Trennschicht sowie Lack-Träger-Anordnung zur Übertragung von Lack
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
DE102007040655B4 (de) 2007-08-27 2011-07-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 80686 Funktionsschichtübertragungsanordnung, Verfahren zu deren Herstellung, Übertragungsverfahren für eine Funktionsschicht und Verwendung einer plasmapolymeren Schicht oder einer Funktionsschichtübertragungsanordnung zum Übertragen einer Funktionsschicht auf ein Substrat
KR100884632B1 (ko) 2007-09-14 2009-02-23 (주)피앤테크 에스아이오씨 박막 제조용 프리커서와 박막 형성방법
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
US8764993B2 (en) * 2008-04-03 2014-07-01 General Electric Company SiOC membranes and methods of making the same
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8173213B2 (en) 2008-05-28 2012-05-08 Air Products And Chemicals, Inc. Process stability of NBDE using substituted phenol stabilizers
CN102089405B (zh) 2008-07-08 2013-10-16 富士胶片电子材料美国有限公司 防止环烯烃衍生物降解的添加剂
JP6258569B2 (ja) * 2008-08-04 2018-01-10 ザ、トラスティーズ オブ プリンストン ユニバーシティ 薄膜トランジスタ用のハイブリッド誘電体材料
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9340880B2 (en) 2009-10-27 2016-05-17 Silcotek Corp. Semiconductor fabrication process
JP5735522B2 (ja) 2009-10-27 2015-06-17 シルコテック コーポレイション 化学気相成長コーティング、物品、及び方法
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
CN102762763B (zh) 2010-02-17 2014-12-31 乔治洛德方法研究和开发液化空气有限公司 SiCOH低K膜的气相沉积法
US20150101662A1 (en) * 2010-04-23 2015-04-16 Solexel, Inc. Surface passivation of high-efficiency crystalline silicon solar cells
KR101790206B1 (ko) * 2010-10-05 2017-10-25 실코텍 코포레이션 내마모성 코팅, 물건 및 방법
JPWO2012105672A1 (ja) * 2011-01-31 2014-07-03 東レ・ダウコーニング株式会社 ケイ素含有炭素系複合材料
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9975143B2 (en) 2013-05-14 2018-05-22 Silcotek Corp. Chemical vapor deposition functionalization
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20150030885A1 (en) * 2013-07-29 2015-01-29 Silcotek Corp. Coated article and chemical vapor deposition process
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
WO2016069807A1 (en) 2014-10-29 2016-05-06 Ppg Industries Ohio, Inc. Protective coating system for plastic substrate
US10316408B2 (en) 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10323321B1 (en) 2016-01-08 2019-06-18 Silcotek Corp. Thermal chemical vapor deposition process and coated article
KR102541448B1 (ko) * 2016-03-08 2023-06-09 삼성디스플레이 주식회사 디스플레이 장치
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10487403B2 (en) 2016-12-13 2019-11-26 Silcotek Corp Fluoro-containing thermal chemical vapor deposition process and article
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
EP3765282A4 (en) 2018-03-14 2021-12-15 Helicon Chemical Company DIELECTRIC COMPOSITE CONTAINING DISPERSED PRIMARY NANOPARTICLES OF ALUMINUM OR ALUMINUM OXIDE
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
JP2022544951A (ja) * 2019-08-16 2022-10-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物、及びそのケイ素化合物を使用する膜を堆積するための方法

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1134214A (en) * 1978-03-08 1982-10-26 Roy G. Gordon Deposition method
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
JPS59222659A (ja) * 1983-05-31 1984-12-14 Fuji Heavy Ind Ltd 無段変速機の油圧制御装置
US4557946A (en) 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
US4717585A (en) 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
KR910006164B1 (ko) * 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4842888A (en) * 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
JP2660297B2 (ja) * 1988-10-05 1997-10-08 工業技術院長 柏木 寛 酸化シリコン膜の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
FR2651782B1 (fr) 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) * 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5284730A (en) * 1990-10-24 1994-02-08 Canon Kabushiki Kaisha Electrophotographic light-receiving member
JP2876545B2 (ja) * 1990-10-24 1999-03-31 キヤノン株式会社 光受容部材
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5208069A (en) * 1991-10-28 1993-05-04 Istituto Guido Donegani S.P.A. Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus prepared thereby, and method of utilizing apparatus prepared thereby
US5182000A (en) * 1991-11-12 1993-01-26 E. I. Du Pont De Nemours And Company Method of coating metal using low temperature plasma and electrodeposition
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
CA2137928C (en) * 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
JP2665299B2 (ja) 1992-07-06 1997-10-22 三菱電機株式会社 エレベーターの乗場表示装置
US5825078A (en) * 1992-09-23 1998-10-20 Dow Corning Corporation Hermetic protection for integrated circuits
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5465680A (en) * 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
DE4404690A1 (de) * 1994-02-15 1995-08-17 Leybold Ag Verfahren zur Erzeugung von Sperrschichten für Gase und Dämpfe auf Kunststoff-Substraten
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (ja) 1995-01-04 1996-09-11 Air Prod & Chem
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JP3388651B2 (ja) * 1995-04-07 2003-03-24 株式会社アルバック 絶縁膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5530581A (en) * 1995-05-31 1996-06-25 Eic Laboratories, Inc. Protective overlayer material and electro-optical coating using same
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW362118B (en) * 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
TW328971B (en) * 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5798319A (en) 1996-01-16 1998-08-25 Exxon Chemical Patents Inc. High stability and low metals esters based on 3,5,5-trimethyl-1-hexanol
JPH09251997A (ja) * 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
GB2331626B (en) * 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
JP3086424B2 (ja) * 1996-12-24 2000-09-11 株式会社半導体エネルギー研究所 層間絶縁膜の作製方法
JPH10242143A (ja) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) * 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
DE19904311A1 (de) * 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JPH11251293A (ja) * 1998-03-03 1999-09-17 Hitachi Ltd 半導体集積回路装置の製造方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
JP2000188332A (ja) * 1998-12-22 2000-07-04 Seiko Epson Corp 半導体装置及びその製造方法
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6147012A (en) * 1999-11-12 2000-11-14 Lsi Logic Corporation Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7651725B2 (en) 1998-02-11 2010-01-26 Applied Materials, Inc. Low dielectric constant film produced from silicon compounds comprising silicon-carbon bond
JP2001257206A (ja) * 1999-12-07 2001-09-21 Applied Materials Inc 半導体デバイス内の固定電荷を低減する方法及び装置
JP2002198366A (ja) * 2000-10-05 2002-07-12 Applied Materials Inc 化学気相成長法によって堆積されるsioc層のk値を減少させる方法
US7615783B2 (en) 2001-02-26 2009-11-10 Samsung Electronics Co., Ltd. Thin film transistor array substrate using low dielectric insulating layer and method of fabricating the same
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US8349722B2 (en) 2002-10-30 2013-01-08 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US8778814B2 (en) 2002-10-30 2014-07-15 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP2006519496A (ja) * 2003-02-26 2006-08-24 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜の生成方法。
JP2008510075A (ja) * 2004-08-18 2008-04-03 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
JP2006054487A (ja) * 2005-10-13 2006-02-23 Fujitsu Ltd 半導体集積回路装置
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置

Also Published As

Publication number Publication date
TW491910B (en) 2002-06-21
KR100453612B1 (ko) 2004-10-20
SG72955A1 (en) 2000-05-23
KR19990088593A (ko) 1999-12-27
EP0960958A3 (en) 2000-03-22
JP2010028130A (ja) 2010-02-04
US6159871A (en) 2000-12-12
EP0960958A2 (en) 1999-12-01
US6593655B1 (en) 2003-07-15
JP4881422B2 (ja) 2012-02-22

Similar Documents

Publication Publication Date Title
JP4881422B2 (ja) 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法
JP3930840B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム
US6593248B2 (en) Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US7354873B2 (en) Method for forming insulation film
US6825130B2 (en) CVD of porous dielectric materials
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
US6458718B1 (en) Fluorine-containing materials and processes
EP0517548B1 (en) Chemical vapor deposition method for forming silicon oxide film
JP4881153B2 (ja) 水素化シリコンオキシカーバイド膜の生成方法。
JP2004515057A (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
JP5614589B2 (ja) 絶縁膜材料を用いた成膜方法および絶縁膜
TW531835B (en) Film forming method, semiconductor device and manufacturing method of the same
TWI710659B (zh) 矽氧烷組合物及使用該組合物沉積含矽膜的方法
KR100339820B1 (ko) 성막방법 및 반도체장치의 제조방법
JP4117768B2 (ja) 半導体基板上のシロキサン重合体膜及びその製造方法
JP2856307B2 (ja) 薄膜形成方法
TW451396B (en) Method of manufacturing a low dielectric constant fluorinated silicate glass (FSG)
JP3070894B2 (ja) 薄膜形成方法
JPH10340898A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090623

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090714

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20091027