KR100914053B1 - 반도체 웨이퍼와 같은 워크피이스를 마킹하는 방법 및 장치와 이에 이용하는 레이저마커 - Google Patents

반도체 웨이퍼와 같은 워크피이스를 마킹하는 방법 및 장치와 이에 이용하는 레이저마커 Download PDF

Info

Publication number
KR100914053B1
KR100914053B1 KR1020047018604A KR20047018604A KR100914053B1 KR 100914053 B1 KR100914053 B1 KR 100914053B1 KR 1020047018604 A KR1020047018604 A KR 1020047018604A KR 20047018604 A KR20047018604 A KR 20047018604A KR 100914053 B1 KR100914053 B1 KR 100914053B1
Authority
KR
South Korea
Prior art keywords
marking
delete delete
wafer
laser
positioning
Prior art date
Application number
KR1020047018604A
Other languages
English (en)
Other versions
KR20050024275A (ko
Inventor
피.카힐 스티븐
에스.에르만 죠나단
알. 쥬니어 길스피 죤
씨.리 유
네미츠 크리스
슈램 레이너
이.설리번 케빈
제이.레슬리 월터
웰키 마이클
펠슈 커트
퍼크멜 마이클
Original Assignee
지에스아이 루모닉스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지에스아이 루모닉스 코포레이션 filed Critical 지에스아이 루모닉스 코포레이션
Publication of KR20050024275A publication Critical patent/KR20050024275A/ko
Application granted granted Critical
Publication of KR100914053B1 publication Critical patent/KR100914053B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • B23K26/042Automatically aligning the laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • B23K26/042Automatically aligning the laser beam
    • B23K26/043Automatically aligning the laser beam along the beam path, i.e. alignment of laser beam axis relative to laser beam apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • B23K26/0853Devices involving movement of the workpiece in at least in two axial directions, e.g. in a plane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/361Removing material for deburring or mechanical trimming
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/70Auxiliary operations or equipment
    • B23K26/702Auxiliary equipment
    • B23K26/705Beam measuring device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K1/00Methods or arrangements for marking the record carrier in digital fashion
    • G06K1/12Methods or arrangements for marking the record carrier in digital fashion otherwise than by punching
    • G06K1/126Methods or arrangements for marking the record carrier in digital fashion otherwise than by punching by photographic or thermographic registration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/007Marks, e.g. trade marks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/22Apparatus or processes specially adapted for manufacturing resistors adapted for trimming
    • H01C17/24Apparatus or processes specially adapted for manufacturing resistors adapted for trimming by removing or adding resistive material
    • H01C17/242Apparatus or processes specially adapted for manufacturing resistors adapted for trimming by removing or adding resistive material by laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/5442Marks applied to semiconductor devices or parts comprising non digital, non alphanumeric information, e.g. symbols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • H01L2223/5448Located on chip prior to dicing and remaining on chip after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Laser Beam Processing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

반도체 웨이퍼(3)의 장치가 제공된다. 이 시스템은 (a) 웨이퍼에 대한 레이저마킹 필드을 위치결정하는 제 1 위치결정 서브시스템과; (b) 위치일치 시각 서브시스템과; (c) 레이저 마킹 빔을 이용하여 마킹 필드내의 위치를 마킹하는 레이저를 포함하는 레이저 마커와; (d) 시스템의 하나이상의 서브시스템을 캘리브레이션하느 캘리브레이션 프로그램과; (e)컨트롤러를 구비한다. 마킹 필드는 웨이퍼보다 실질적으로 작고 레이저 마커는 웨이퍼 휨과 관련된 바람직하지 않은 마크 변수와 필드내의 깊이의 변수를 방지하도록 웨이퍼상의 빔에 의해 형성된 스폿을 마킹필드내의 위치주위의 허용가능한 범위내에 광학적으로 유지시키는 스켄 렌즈를 포함한다.
레이저 마커

Description

반도체 웨이퍼와 같은 워크피이스를 마킹하는 방법 및 장치와 이에 이용하는 레이저마커{METHOD AND SYSTEM FOR MARKING A WORKPIECE SUCH AS A SEMICONDUCTOR WAFER AND LASER MARKER FOR USE THEREIN}
본 발명은 반도체 기판, 웨이퍼 패키지 등을 포함하는 워크피이스(workpiece) 레이저 마킹에 관한 것이다. 본 발명은 한정되지 않았지만, 제한마킹 빔에 의해 손상될수 있는 고밀도의 상호접속을 갖거나, 또는 코드 공간이 제한된 칩 스케일 패키지의 제 1 면상에 고밀도의 회로 패턴을 가지는 반도체 웨이퍼의 제 2 면상에 기계 판독 가능한 마킹을 하는데 적합하다.
다음 대표적인 특허 인용참증은 웨이퍼와 전자 어샘블리의 레이저 마킹, 조명 및 검사 및 판독 마크의 여러특성에 관한 것이다(예를들어, 미국특허 4,522, 656;4,945,204;5,329,090;6,309,943;6,262,388;5,929,997;5,690,846;5,894,530;5,737,122).
다음 대표적인 인용참증은 여러 레이저 마킹 방법 및 장치 구성 및 소자에 관한 일반적인 정보를 제공한다(다음: Galvanometric and Resonant Low Inertia Scanners", Montagu, in Laser Beam Scanning, Marcel-Dekker, 1985, pp 214-216; "Marking Applications now Encompass Many Materials", Hayes, in Laser Focus World, Feb 1997, pp. 153-160; " Commreical Fiber Lasers Take on Industrial Markets", Laser Focus World, May 1997, pp 143-150. Patent Publications: WO 96/16767, WO 98/53949, 미국특허 5,965,042; 5,942,137; 5, 719,372; 5.635,976; 5,600,478; 4,856,053; 4,323,755; 4,220.842; 4,156,124).
인쇄회로 기판, 집적회로 등과 같은 물품을 마킹하는 레이저 스케닝 방법 및 장치라는 제목의 공개 특허 출원 WO0154845(2001년 8월 2일자) 및 3차원 검사 장치에 이용하기 위한 기준 높이 데이터를 자동으로 발생하기 위한 방법 및 장치라는 제목의 WO0161275(2001년 8월 23일자)는 본 발명의 양수인에게 양도되었다. 두개의 출원은 참고를 위해 본 명세서에 포함했다.
공개된 미국 특허 출원 2003/0024913-A1은 마이크로-BGA 및 CSP와 같은 반도체 패키지를 포함하는 인쇄회로기판, 집적회로 등과 같은 부품 및 전자 디바이스 프로세스 조립 라인의 마킹 스테이션에 있어서의 부품의 고 해상도 마킹용 방법 및 장치를 개시하고 있다.
미국 특허 제 5,690,846호는 레이저 빔이 조사되어 처리해야할 대상물를 지지하여 이동시키는 X-Y스테이지를 갖는 워커피이스 처리 장치를 개재하며 이 레이저 빔은 처리해야할 대상물을 트리밍하거나, 국부적 마킹 또는 유사한 목적을 위해 이용된다. 처리해야할 대상물은 동일한 형상의 다수의 직사각형 평면을 가지며, 이 직사각형 평면 영역은 행렬 형태로 되도록 근접하고 있지만, 직사각형 형상은 중첩하기 않고 그 사이에 어떠한 공간도 존재하지 않는다.
미국특허 제 5,329,090호는 레이저를 기반으로 한 웨이퍼 마킹용 장치를 개시하고 있다. 이 장치는 빔 확대기와, 레이저원으로부터 작업 테이블상의 기록 위치에 위치한 실리콘 웨이퍼의 표면의 촛점까지의 조사를 위한 광 빔 통로를 형성하는 평탄한 필드 집속 렌즈를 포함한다. 회전식 검류계 거울은 빔을 이동하는데 이용되고 통신 채널을 통해 컨트롤러에 연결되어 있다. 이 검류계는 종래의 것으로 간주되고 웨이퍼 마킹 기술분야에 익숙한 자에게 공지되어 있다. 이 평탄한 필드 렌즈는 집속길이가 100mm이고, 거울에 의해 발생한 편향에 관계없이, 빔을 웨이퍼의 표면상에 집속되게 한다.
특정 형태의 반도체 웨이퍼는 다수의 다이와, 한층 미세한 구조 수치로 제조되지만, 유사한 워크피스는 휨으로 인해 깊이 변형량이 증가하게 된다. 이러한 워크피이스를 마클할 수 있으면서, 마크 품질의 저하와 지정된 영역이 외에, 마킹을 야기하는 위치 에러를 방지할 수 있는 이러한 워크피이스를 마크할 수 있는 웨이퍼 마킹 장치가 요구된다. 시각 장치(또는 오퍼레이터 시각 검사에 의해)에 의해 관찰할 수 있는 레이저 마크의 가시성은 마크 깊이 및 브스러기 등을 포함하는 여러 인자에 의존하여 레이저 물품 상호작용에 의존할 것이다. 어느 웨이퍼 마킹 응용에 있어서는 종래의 지식을 이용하며, 마킹 깊이가 상대적으로 크며, 판독성이 높게 되지만, 표면 손상을 받기가 쉽다.
웨이퍼 마킹 장치는 본 발명의 양수인이 오래전에 제공한 것이다. 수년 동안 본 발명의 양수인에 의해 만들어진 WaferMark상표의 장치는 실리콘 웨이퍼에 대한 최초의 산업용 레이저 마킹 장치라고 믿어진다. 사향으로는 300mm 웨이퍼용의 마킹 도트 직경의 120㎛ 하드 마킹(hard marking)을 포함한다. 이는 SEMI 규격 시방 M1.15. A 소프트 마킹 시방을 만족한다. "소프트 마킹 사향"(soft marking specification)은 200mm 웨이퍼의 이면의 거치른 면 마킹을 포함하는 웨이퍼 이면의 소프트 이면 마킹을 위해 존재한다. 시그마 크린(sigma Clean) 장치상에, 200mm까지의 웨이퍼용의 전면 및 이면 마킹의 양자에 대하여 이면 마킹 옵션이 제공된다.
마크사이에 피치가 감소하는 경우, 기판 손상을 억제하면서, 높은 컨트라스의 기계적 판독가능한 마크를 생성할 수 있는 웨이퍼 마킹 장치가 요구된다. 피치가 감소하면, 마킹 장치의 스폿 크기가 감소하게 된다. 마킹 속도는 미세 피치에 무관하게 개선되는 것이 바람직하다.
본 발명의 양수인에 양수된 미국특허 제 6,309,943호는 카메라를 사용한 웨이퍼의 앞쪽 표면에 대한 스크라이브 그리드(scribe gride)의 위치를 식별하여 결정하는 것에 관한 것이다.
본 발명의 양수인에게 양도된 미국 특허 제 6, 496,270호는 3차원 검사 장치에 이용하기 위한 기준 높이 데이터를 자동적으로 발생하는 방법 및 장치를 설명한 것으로, 최초로, 대상물에 대한 국부 기준 영역을 결정한 다음, 이들 국부 기준 영역의 높이를 결정되어 기준 높이 데이터를 생성하는 것에 관한 것이다.
WH-4100 레이저 마팅 장치는 본 발명의 양수인에 의해 생성된 상업상 이용가능한 이면 레이저 마킹 장치이다. 정밀 위치 결정 시각 하부장치는 웨이퍼가 마킹 장소에 위치되는 경우 발생하는 회전 에러 또는 오프셋 에러(X, Y각)를 보정한다. 수동 "티처 톨"(teach tool)에 의해 유저는 장치의 운영을 학습하여 보정을 위해 이용될 웨이퍼상의 3개의 일직선상에 있지 않은 3개의 점을 인식하도록 장치를 트레인 할 수 있다. 이 장치는 여러 조정에 관한 대화식 시행 착오 프로세스와 그 결과의 수동 평가를 필요로 한다. 이 정보는 다음 웨이퍼의 이면 상의 마크 위치를 결정하는데 이용된다.
미국특허 제 5,894, 530호 및 5,929,997호는 초소형 전자 부품의 검사 및/또는 위치 맞춤 프로세서를 위한 관찰 장치에 관한 것이다. 이 '530 특허에서, 기판이 어떤 방향에 있어도, 광자 부품은 어느 양면에 배치된 특정 필드(indicia field)의 이미지를(같은 배율로)로 볼 수 있도록, 또는 기판의 양면에 배치된 특정 필드의 이미지가 동시에 동일한 배율로 볼수 있도록 선택적으로 선택된다. (300mm 웨이퍼상의 10.000개를 초과하는 다이)반도체 디바이스, 여러 디자인 및 회로의 레이아웃의 밀도와 복잡성으로 인해, 작업량과 재품을 향상시키기 위해 최신식 상호작용 공구를 제공할 필요가 지속적으로 있을 것이다.
미국특허 제 6, 501,061호는 집속된 레이저 빔을 정확히 위치시키기 위해 스케너 좌표을 결정하는 방법을 개시하고 있다. 집속된 레이저 빔은 레이저 스케너에 의해 워크(work)작업 표면상의 대상물(예를 들어, 구멍) 영역에 걸쳐 주사된다. 집속된 레이저 빔의 위치는 소정의 기간 또는 공간 중 어느 하나에서 집속된 빔이 워크 표면의 구멍을 통해 나타나는 경우에, 광검출기에 의해 검출된다. 집속된 레이저 빔의 검출된 위치는 집속된 레이저 빔이 검출될 때의 레이저 스케너의 위치를 기반으로 스케너 위치대 빔 위치 데이터를 생성하는데 이용된다. 이 스케너 위치대 빔 위치 데이터는 개구 중심 또는 집속된 레이저 빔의 바람직한 위치에 대응하는 스케너 위치 좌표를 결정하는 데 이용될 수 있다.
특정의 워크피이스 처리 장치에서는 레이저 처리 파라미터의 온라인 또는 오프 라인 조절을 용이하게 하면서, 3차원에서 복수의 하부장치의 교정을 필요로 한다. 장치 및 워크피이스 내의 허용오차의 누계는 마크 품질을 저하시키거나 마크 위치 맞춤 에러를 야기한다. 예를 들어, 특정 형식의 반도체 웨이퍼는 다수의 다이와 미세한 기계 수치로 제조되지만, 웨이퍼 두께는 점점 얇아 지며, 유사의 워크피이스의 휨으로 인해 변동량이 크게된다.
본발명의 목적은 반도체 웨이퍼와 같은 워크피이스를 마킹하는 개량된 방법 및 장치 및 이에 이용되는 레이저 마커에 관한 것이다.
본 발명의 상기 목적 및 기타 목적을 수행하는 경우, 이 장치는 (a) 웨이퍼에 대해 레이저 마킹 필드를 위치조절하는 제 1 위치 맞춤 하부장치; (b) 위치일치 시각 하부 장치; (c) 레이저 마킹 빔을 이용하여 마킹 필드내의 위치에 마킹하는 레이저를 포함하는 레이저 마커와; (d) 장치의 하나 이상의 하부장치를 산출하는 교정 프로그램; 및 (e)컨트롤러를 구비한다.
스폿 위치 정밀도는 마킹 필드에 걸쳐 하나의 스폿 직경 내에 있으면 좋다.
이 주사 렌즈는 3 부품 렌즈이다.
위치 맞춤 시각 하부장치는 또한 실질적인 텔레센트릭 촬상 렌즈를 포함한다.
이 레이저 마커는 레이저 마킹 빔을 컴퓨터 제어 장치를 이용하여 웨이퍼에 집속하는 가동식 광학 부품을 포함한다.
마킹 빔의 집속 위치에서의 스폿의 크기는 범위가 약 25-40마이크론이며 이 마킹 필드 크기는 약 75-100mm이다.
이 장치는 웨이퍼의 평면에 실질적으로 수직한 방향을 따라 레이저 마커에 대해 웨이퍼를 자동적으로 위치 조절하는 제 2 위치 결정 하부장치를 더 포함한다.
제 2 위치 결정 하부 장치는 소정의 크기의 웨이퍼를 지지하여 웨이퍼의 상대적인 위치조절을 위한 적어도 2이상의 자유도를 제공하는 수단을 포함한다.
교정 프로그램은 다수의 3차원 위치에서 마커를 산출하는 3차원 교정 알고리즘을 포함한다.
제 1 위치 결정 하부 장치는 X-Y스테이지를 포함하고 교정 프로그램은 위치 맞춤 시각 장치, X-Y스테이지 및 레이저 마커를 산출하는 수단을 포함한다.
이 장치는 마커를 검사하기 위해 카메라를 포함하는 시각 검사 하부장치를 더 포함하다.
검사 하부장치는 카메라에 대한 웨이퍼를 위치 결정하는 검사 위치 결정 하부장치를 포함한다. 이 검사 위치 결정 하부장치는 제 1 위치 결정 하부장치로부터 분리되어도 좋다.
이 장치는 웨이퍼의 제 1 면을 촬상하는 제 1 촬상 하부장치와, 웨이퍼의 제 2 면을 촬상하는 제 2 촬상 하부장치를 더 포함한다. 이 촬상 하부장치는 웨이퍼의 제 2 면상의 마커를 제 1면상의 대응 부분의 화상과 중첩시는데 이용된다.
이 장치는 중첩된 타킷 화상이 대응하도록 제 1 및 제 2 촬상 하부장치로 얻어진 제 1 및 제 2 워크피이스 화상을 실질적으로 일치하는 교정 타킷과 알고리즘을 더 포함한다.
레이저는 약 50ns이하의 펄스폭과 녹색 출력 파장을 갖는 주파수 이중 바나 데이트(Vanadate)이면 좋다.
본 발명의 상기 목적 및 다른 목적을 수행하는 경우에, 이 방법은 반도체 웨이퍼를 마킹위한 것이어서 마킹 필드는 웨이퍼보다 실질적을 작다. 이 방법은 제 1 방향으로 따라 웨이퍼에 대해 레이저 마킹 필드를 위치조절하는 단계를 구비한다. 이 방법은 또한 웨이퍼 휨 또는 필드 깊이의 기타 변동과 관련한 바람직하지 않은 마크 변동을 방지하기 위해 스폿 위치 정밀도를 마킹 필드내에 광학적으로 유지하여 이 웨이퍼를 마킹하는 단계를 포함한다.
이 유지하는 단계는 마킹 필드의 부분을 선택하는 단계을 포함하고 이 마킹 필드에 대해 웨이퍼를 위치 결정하는 단계는 마크될 웨이퍼 위치를 마킹 필드의 선택된 부분내에 위치시키므로써 스폿 위치 정밀도가 향상된다.
마킹 필드의 선택된 부분은 텔리센트릭 에러가 감소한 바람직한 축을 갖으면 좋다.
이 마킹 필드의 선택된 부분은 바람직한 축과 일치되게 배열된 직사각형 필드이면 좋다.
열 이동 특성이 감소한 사분면의 실질적인 부분이면 좋다.
이 방법은 마킹 빔이 웨이퍼상의 마킹 위치에 입사하도록 웨이퍼의 평면에 실질적으로 수직한 축을 따라 웨이퍼에 대해 마킹 빔을 자동적으로 위치결정하는 단계를 포함한다. 이 웨이퍼는 마킹 빔의 집속위치에 대한 깊이의 현저한 위치 변수로 마킹될 수 있다.
위치 결정단계는 여러 위치에 대해 반복될 수 있다.
이 위치결정은 웨이퍼와 마킹 빔의 집속 위치를 상대적으로 위치결정하는 단계를 포함한다.
횡방향과 축을 따라 상대적으로 위치 결정하는 하나 이상의 단계는 깊이 센서를 이용하여 웨이퍼 위치의 측정을 토대로 한다.
방향과 축을 따라 상대적으로 위치 결정하는 하나이상의 단계는 웨이퍼에 고정된 평면을 기반으로 한다.
웨이퍼는 이 축을 따라 이동 될 수 있다.
위치결정은 이동가능한 렌즈 소자를 이용하여 수행될 수 있다.
제 1 방향으로 그리고 축을 따라 상대적으로 위치결정하는 단계는 동시에 수행될 수 있다.
이 방법은 이 방법을 수행하는 장치를 산출하는 단계를 포함한다.
마킹 필드내의 웨이퍼의 부분은 다수의 위치의 마킹 필드내에 있을 수 있다.
본 발명의 상기 목적 및 기타 목적을 수행하는 경우, 워크피이스를 마킹하는 레이저 마커가 제공된다. 이 마커는 워크피이스의 휨 또는 워크피이스의 깊이의 다른 변동과 관련된 바람직하지 않은 마크 변동을 방지하도록 워크피이스 보다 실질적으로 작은 마킹 필드에 걸쳐 약 하나의 스폿 직경내로 스폿 위치를 보정하는 텔리센트릭 주사 렌즈를 포함한다.
텔리센트릭 에러는 눈동자 보정을 이용하여 더 감소될 수 있다.
텔레센트리시티 에러는 부가적인 주사 렌즈 소자를 이용하여 더 감소될 수 있다.
이 주사 렌즈는 칼러 보정된 텔리센트릭 주사 렌즈일 수 있다.
이 마킹 필드는 빔 위치결정 정밀도를 더 향상시키도록 선택된 서브 필드일 수 있다.
이 선택된 서브필드는 바람직한 축과 정렬된 직사각형 필드일 수 있다.
마커의 특징은 주사 렌즈을 통해 보정된 방사를 검출함으로써 결정될 수 있다.
하나이상의 위치 맞춤 시각 하부장치와 레이저 마커는 집속 또는 높이 센서를 포함한다.
바람직하지 않은 마크 변동은 마크 위치, 마크 라인 폭 및 깊이를 갖는 마크 컨트라스트 변동을 포함한다.
이 주사 렌즈는 텔레센트릭 렌즈이면 좋다.
본발명의 목적은 기계적인 판독가능한 마크를 워크피이스 및 반도체 장치에 발생하는 고속 레이저를 기반으로 한 마킹 방법 및 장치를 제공하는 것으로 이에 의해 발행한 표면 아래의 손상이 감소한다.
본발명의 상기 목적 및 기타 목적을 수행하는 경우, 반도체 웨이퍼를 레이저 마킹하는 방법은 펄스된 레이저 빔을 발생하는 단계를 포함한다. 이 빔은 파장, 펄스폭, 반복속도 및 에너지를 갖는 레이저펄스를 갖는다. 이 방법은 기계적으로 판독가능한 마크를 반도체 웨이퍼에 발생하기 위해 스폿 반경에 걸쳐 펄스된 레이저 빔을 이용하여 반도체 웨이퍼를 방사하는 단계를 더 포함한다. 이 마크는 마크 깊이를 갖는다.
펄스폭은 약 50ns이하이고 방사 단계는 약 10마이크론 이하의 마크 길이를 갖는 마크를 생성하도록 스폿 직경에 걸쳐 방사한다. 반도체 웨이퍼에 대한 바람직하지 않은 서브스패이스가 방지된다.
마크깊이는 약 3-4.4마이크론의 범위에 있으면 좋다.
표면에 입사하는 펄스 에너지는 약 230-250마이크로 주울의 범위이면 좋고 이 펄스폭은 약 10-15나노초의 범위이면 좋으며, 반복 속도는 약 15-30KHz의 범위이면 좋다.
방사단계는 다수의 위치에서 수행되고 이 스폿 직경은 약 25-40마이크론의 범위이면 좋고 마킹 속도는 적어도 150mm/sec의 범위이면 좋다.
방사단계는 다수의 위치에서 수행되고 이 스폿 직경은 약 30-35마이크론의 범위이면 좋고 마킹 속도는 적어도 150mm/sec이면 좋다.
이 스폿 직경은 약 25-40마이크론의 범위이면 좋고 마킹 필드 크기는 약 75-100mm의 범위이면 좋다.
반도체 웨이퍼는 실리콘 웨이퍼를 포함하고 발생단계는 녹색 출력 파장을 갖 는 주파수 이중 Nd:YVO4를 이용하여 수행될 수 있다.
레이저 반복속도는 적어도 10KHz일수 있거나 적어도 15KHz일수 있다.
바람직하지 않은 표면 아래의 손상은 마이크로크랙킹을 포함할 수 있다.
본발명의 상기 목적 및 기타 목적을 수행하는 경우, 본발명의 방법을 수행하는 레이저를 포함하는 펄스된 레이저 장치가 제공된다.
레이저는 녹색 출력 파장을 갖는 Nd:YVO4주파수 이중 레이저일 수 있다.
본 발명의 상기 목적 및 기타 목적을 수행하는 경우에, 약 3-4.5의 깊이를 갖는 기계적으로 판독가능 한 마크를 갖는 반도체 장치가 제공된다.
반도체 장치는 본 발명의 방법에 의해 발생된 약 3-4.5마이크론의 깊이를 갖는 기계적으로 판독가능한 마크를 갖는다.
본 발명의 목적은 워크피이스 또는 웨이퍼 마킹 장치에서 기계 비젼을 토대로한 특징부 검출과 마크 검증을 위한 개량된 방법 및 장치를 제공하는 것이다.
본발명의 상기 목적 및 기타 목적을 수행하는 경우에, 정밀 레이저는 다이, 칩 스케일 패키지, 회로 패턴 등을 포함하는 물품을 갖는 반도체 웨이퍼을 마킹하는 방법을 토대로 한다. 이 마킹은 웨이퍼 마킹 장치에서 그리고 물품 위치에 관한 지정된 영역 내에서 발생한다. 이 방법은 (a) 기준 데이터가 물품의 위치가 한정된 정보, (b)하나 이상의 물품의 최소한의 부분의 시각 모델을 이용하여 얻어질수 있는 하나 이상의 위치를 결정하는 단계를 포함한다. 참조 데이터는 제 1 센서로부터의 하나 이상의 신호를 이용하여 웨이퍼의 제 1 면에 특징부를 위치하도록 얻어진다. 이 방법은 레이저 빔을 웨이퍼의 제 2 면상의 마킹 위치에 위치시키도록 웨이퍼에 대한 마킹 필드를 위치 결정하는 단계를 포함한다. 이 소정의 패턴이 레이저 마킹 출력 빔을 이용하여 웨이퍼의 제 2면에 마크된다. 결정단계는 제 1 웨이퍼 위치로부터 얻어진 이미지의 하나 이상의 특징부를 측정하는 단계와; 측정된 특징부를 웨이퍼 맵에 연관시키는 단계와; 제 1 웨이퍼에 실질적으로 동일한 웨이퍼를 마킹하는 경우에 이용하기 위한 데이터를 저장하는 단계를 구비한다. 측정, 연관 및 저장 단계들은 자동적으로 수행된다.
측정단계는 다수의 물품의 평균 피치를 측정하고 웨이퍼 맵에 평균 피치를 연관시키는 단게를 포함한다.
물품은 다이의 행렬을 포함하고 결정단계는 이 행렬 패턴의 한 쌍의 수직 패턴을 위치시키는 단계와 에지로부터 바운딩 박스(bounding box)를 형성하고 이 바운딩 박스로부터 다이 박스 패턴 좌표계를 형성하는 단계를 더 포함한다.
웨이퍼의 상대적인 위치 결정은 하나이상의 포지션너의 이동과 실질적으로 일치하게 위치일치된 일차 좌표계에서 수행된다. 이 방법은 일차 좌표계를 다이 패턴 좌표 계에 연관시키기 위해 변화 좌표를 더 포함한다.
결정 단계는 물품의 위치가 형성된 정보를 제공하기 위해 웨이퍼 맵을 이용하여 좌표를 얻은 단계와; 시각 모델을 발행하기 위해 물품의 최소한의 부분을 촬상하는 단계를 더 포함한다.
본발명의 상기 및 기타 목적을 수행하는 경우에, 웨이퍼의 다른 쪽으로부터 그리고 웨이퍼를 통해 방사에너지를 전달하지 않고 웨이퍼의 한쪽위의 기계적으로 판독 가능한 마커을 검사하는 방법이 제공된다. 웨이퍼는 다이, 칩 스케일 패키지, 회로 패턴등을 포함하는 물품을 갖는다. 이 마킹은 웨이퍼 마킹 장치 및 물품 위치에 관한 지정된 영역 내에서 발생한다. 이 방법은 웨이퍼의 제 1측에 촬상하는 단계와, 제 1 면 화상의 일부와 제 2 면 화상 일부 사이에 일치를 설정하는 단계와; 물품에 관한 마커의 위치를 결정하기 위해 제 1 및 제 2 측으로부터의 화상 데이터를 중첩시키는 단계를 포함한다.
이 장치는 중첩된 화상 부분이 대응하도록 제 1 및 제 2 면으로부터 얻어진 화상을 일치시키는 단계를 포함한다. 일치 단계는 교정 및 매칭 알고리즘을 이용하여 수행된다.
이 방법은 소정의 영역이 물품의 화소의 최소 부분 내에 형성되게 하도록 유저 인터패이스를 이용하여 입력을 제공하는 단계를 포함한다.
이 소정의 영역은 조절가능한 오퍼레이터 일 수 있다.
중첩된 데이터는 물품에 대한 마크의 위치를 결정하는데 이용될 수 있다.
이 방법은 마킹을 위해 이용되는 포지셔닝 하부 장치로부터 분리된 웨이퍼 포지셔닝 하부 장치를 갖는 검사 정보를 제공하는 단계를 포함한다.
본발명의 상기 목적 및 기타 목적을 수행 하는 경우에, 정밀 레이저는 반도체 웨이퍼를 마킹하는 장치를 기반으로 하였다. 이 웨이퍼는 다이, 칩 스케일 패키지, 회로 패턴 등을 포함하는 물품을 갖는다. 이 마킹은 웨이퍼 마킹 장치에서 그리고 물품 위치에 대한 지정된 영역 내에서 발행한다. 이 장치는 (a) 물품의 위치가 한정된 정보와 (b)하나 이상의 물품의 부분의 시각 모델을 이용하여 참조 데이터가 얻어지는 하나이 상의 위치를 결정하는 수단을 포함한다. 이 장치는 제 1 센서로부터의 하나 이상의 신호를 이용하여 웨이퍼의 제 1 면에 특징부를 위치하기 위해 기준 데이터를 얻는 수단을 더 포함한다. 이 장치는 레이저빔을 웨이퍼의 제 2 면상의 마킹 위치에 레이저 빔을 위치하도록 웨이퍼에 대한 마킹 필드를 위치결정하는 수단을 더 포함한다.
이 장치는 레이저 마킹 출력 빔을 이용하여 웨이퍼의 제 1 면상에 소정의 패턴을 마킹하는 수단을 포함한다. 결정 수단은 제 1 웨이퍼 부분으로부터 얻어진 이미지의 하나 이상의 특징부를 측정하고, 이 측정된 특징부를 웨이퍼 맵에 연관하여 제 1 웨이퍼에 실질적으로 동일한 웨이퍼를 마킹하는 경우에 사용하는 데이터를 저장한다. 측정, 연관 및 저장은 결정수단에 의해 자동적으로 수행된다.
본 발명의 상기 및 기타 목적을 수행하는 경우, 웨이퍼의 다른 쪽으로부터 그리고 웨이퍼를 통해 방사 에너지를 전달하지 않고 웨이퍼의 한쪽상의 기계적으로 판독 가능한 마크를 검사하는 장치가 제공된다. 이 웨이퍼는 다이, 칩 스케일 패키지 및 회로 패턴을 포함하는 물품을 갖는다. 이 마킹은 웨이퍼 마킹 장치에서 그리고 물품 위치에 관한 지정된 영역 내에서 발생한다. 물품은 제 1 면상에 패턴을 갖는다. 장치는 화상을 얻기 위해 웨이퍼의 제 1 면을 촬상하는 수단과, 화상을 얻기기 위해 웨이퍼의 제 2 면상에 마크를 촬상하는 수단과; 제 1 면 화상의 일부와 제 2면 화상의 일부사이에 일치를 설정하는 수단과; 무품에 관한 마크의 위치를 결정하기 위해 제 1 및 제 2 면으로부터의 화상 데이터를 중첩하는 수단을 포함한다.
촬상하는 하나 이상의 수단은 즘 렌즈를 포함한다.
일치를 설정하는 수단은 교정 타킷과 알고리즘을 포함한다.
본 발명의 상기 목적과 기타목적을 성취하기 위해, 레이저 마킹 빔을 이용하여 반도체 웨이퍼 또는 유사 기판과 같은 기판의 레이저 마킹을 위한 레이저를 기판으로 한 장치가 제공된다. 기판은 행과 열에 배열된 물품의 반복 패턴을 갖는다. 각각의 물품은 화상 하부 장치로 검출가능한 특징부를 갖는다. 레이저 마킹 헤드, 이미징 및 측정용 촬상 하부 장치, 촤상 하부장치에 대해 기판을 위치시키는 스테이지를 갖는 모션 하부장치 및 촬상 하부장치 및 모션 하부장치에 연결된 유저 인터패이스를 갖는다. 레이저 마크는 물품에 대한 소정의 위치에 위치되도록 되어 있다.
소정의 패턴 특징부를 이용하여 빔위치 제어의 레이저 마킹의 방법이 더 제공된다. 이 방법은 기계 시각 알고리즘을 이용하여 패턴의 부분이 식별되게 하여 자동 검출과 측정을 하도록 유저 인터패이스을 통해 입력을 제공하는 단계를 포함한다. 이 방법은 패턴의 하나이상의 행 또는 열을 따라 패턴을 이동하여 특징부 위치의 제 1세트에서 이미지 데이트를 얻도록 촬상 하부장치에 대한 제 1 기판을 위치 결정하는 단계를 더 포함한다. 이 크기는 다수의 물품의 하나 이상의 검출 가능한 특징부, 알고리즘 및 화상 데이터를 이용하여 측정된다. 제 2 세트의 특징부 위치의 3개 이상의 검출가능한 특징부 위치는 크기 데이터를 이용하여 패턴에 대해 결정된다. 적절히 설정된 제 2 세트의 특징부 위치는 패턴 좌표계와 스테이지 좌표계 사이의 관계를 한정한다. 제 1 기판은 제거되고 제 2 기판은 촬상 하부장치에 대해 마크되도록 위치된다. 제 2 세트의 특징부 위치의 3개 이상의 대응하는 특징부 위치는 제 2 기판상의 대응하는 패턴으로부터 얻어진 화상 데이터에 위치된다. 제 1 기판상의 패턴의 좌표는 제 2 기판상의 대응하는 패턴에 관계한다. 이 기판은 기판을 마크하도록 제 2 세트의 3개이상의 특징부 위치를 기반으로 마킹 빔에 대하여 위치되어 있다.
크기의 제 1 추정은 패턴의 작은 영역에 걸쳐 기판과 촬상 하부장치의 상대적인 위치결정에 의해 얻어질 수 있고 디스플레이 된 이미징에서의 특징부를 확인하고 유저 인터패이스를 이용하여 특징부의 이미지 위치를 전달하는 단계를 포함한다.
기판은 반도체 웨이퍼일 수 있고 물품은 다이이고 구조는 다이의 모퉁이이다.
크기측정은 하나이상의 로우 및 칼럼의 실질적인 수에 걸쳐 측정된 평균 다이 피치일 수 있다.
이 평균 다이 피치는 웨이퍼 맵과 관련이된다.
패턴 좌표계는 패턴의 경계에 대해 한정된 좌표의 원점을 갖는다.
결정단계는 패턴 위치를 조사하고 이 조사는 패턴계좌표을 기반으로 한 스테이지를 제어함으로써 수행될 수 있다.
제공단계는 패턴의 부분의 이미지를 사용하여 시각 모델을 발생하는 단계를 포함한다.
본발명의 목적은 레이저 처리 장치를 산출하는 개량된 방법 및 장치와, 이를 이용한 레이저 마킹 장치를 제공하는 것이다.
본발명의 상기 목적 및 기타 목적을 수행하는 경우 레이저 마킹 장치를 교정하는 방법이 제공된다. 이 방법은 3차원으로 레이저 마킹 장치를 교정하는 단계를 포함한다. 교정 단계는 다수의 높이에 대응하는 데이터를 제공하는 단계를 포함한다. 이 방법은 마크될 워크피이스의 위치측정을 얻어 저장된 교정 데이터를 위치 측정과 관련시키는 단계를 포함한다.
데이터는 다중 교정 파일에 저장될 수 있다. 교정 파일은 다수의 소정의 마킹 시스템 파라미터 설정에 대응한다.
다중 교정 파일은 높이 레벨에 대응하고 하나의 마커 시스템 파라미터 설정은 마킹 필드 크기일 수 있다.
마킹 시스템 파라미터 설정 중 하나는 스폿크기일 수 있거나 작업거리일 수 있다.
마킹 장치는 웨이퍼의 상측으로부터 기준 데이터를 얻기 위한 정밀 일치 카메라를 갖는 뒤쪽 웨이퍼 마킹 장치를 갖는다.
본 발명의 상기 목적 및 기탁목적을 실행하는 경우에, 웨이퍼의 제 1면 및 웨이퍼보다 실질적으로 작은 마킹 필드 패턴에 대한 소정의 위치에 마크될 웨이퍼의 제 2면 상에 패턴을 갖는 반도체 웨이퍼의 레이저 마킹을 위한 장치가 제공되어 있다. 이 장치는 장치의 마커 수단을 교정한는 수단과 이 교정을 기반으로 웨이퍼에 대해 마킹 빔을 제어할수 있게 위치결정하는 수단을 포함한다.
장치는 웨이퍼를 상대적으로 위치 결정하는 X-Y병진기, 교정용 마커수단 및 마커수단에 대한 병진기를 교정하는 수단을 구비한다.
본발명의 상기 목적 및 기타 목적을 수행하는 경우에 회로를 포함한 상측을 갖는 웨이퍼을 마킹하는 레이저를 기반으로 한 웨이퍼 마킹 장치가 제공된다. 이 회로는 회로 구성을 갖고 웨이퍼는 마크될 뒤쪽을 갖는다. 장치는 웨이퍼보다 실질적으로 작은 마킹 필드와 주사 렌즈를 갖는 교정된 검류계 마킹 헤드를 포함한다. 이 장치는 마커될 웨이퍼 위치를 마킹 필드내에 위치하기에 충분히 근 이동 범위로 웨이퍼를 수용하는 교정된 포지셔닝 스테이지를 더 포함한다. 이 장치는 웨이퍼보다 실질적으로 작은 시야와 교정된 정열 카메라를 포함한다. 프레임은 카메라와 마킹 헤드에 대하여 획실히 스테이지를 설치한다. 컨트롤러는 마킹 헤드의 좌표위치용 맵 및 웨이퍼가 정면측상의 회로구성에 대한 뒤측상에 정확히 마크되도록 스테이지와 마킹 헤드를 서로에 대하여 위치시키는 스테이지 및 위치일치 카메라를 갖는다.
이 위치일치 카메라와 마킹 필드는 웨이퍼의 반대측에 위치될 수 있다.
위치일치 카메라는 마킹 헤드로부터 오프셋될 수 있다.
마크 검사 카메라는 마킹 필드로부터 오프셋될 수 있다.
컨트롤러는 검사 카메라로부터 얻어진 마크의 위치를 정렬 카메라로 얻어진 회로의 위치와 비교한다.
이 주사 렌즈는 텔리센트릭 렌즈이면 좋다.
본 발명의 상기 목적 및 기타 목적을 수행하는 경우에, 반도체 기판 등을 마킹하는 레이저를 기반으로 한 마킹 장치가 제공된다. 이 장치는 기판보다 실질적으로 작은 마킹 필드을 갖는 레이저 마크, 마킹 필드를 상대적으로 위치시키기 위한 Y-X스테이지를 갖는 포지셔닝 하부장치 및 구성의 위치를 기반으로 기판과 마킹 필드를 상대적으로 위치시키는데 이용되는 기판에 구성을 위치시키 위한 위치 맞춤 시각 하부장치를 갖는다. 이 장치를 교정하는 방법은 위치 맞춤 시각 하부장치를 이용하여 정렬 타킷에 배치된 다수의 기준점을 측정하여 측정된 기준점을 기반으로 위치 맞춤 시각 하부장치를 교정하는 단계를 포함한다. 이 스테이지는 위치 맞춤 시각 하부 장치로 얻어진 스테이지와 데이터의 운동을 기록하는 데이터를 이용하여 스테이지를 교정하도록 정렬 타킷에 대하여 위치된다. 스테이지의 교정은 다음 위치 맞춤 시각 하부장치를 교정하는 단계 다음에 수행된다. 이 교정 단계는 마크될 시험 기판을 위치 결정하고 마크를 얻기 위해 기판을 필드 내의 다수의 위치에 마킹하고 측정을 얻기 위해 교정된 광학 측정 장치를 이용하여 마크위치를 측정하고 레이저 마커을 교정하도록 측정을 이용하는 단계를 포함하고 이에 의한 장치가 교정된다.
정렬 타킷에 배치된 기준점의 소정의 위치는 측정을 위한 산업상 규격에 순응한다.
이 방법은 정열 타킷을 정지하게 하는 단계를 포함하고 X-Y 스테이지는 위치 맞춤 시각 하부장치와 하나 이상의 마커를 위치시킨다.
기준점의 공간은 약 2.5mm이고 정렬 타킷은 시각 장치 위치일치용 패턴을 포함한다.
이 방법은 장치로부터 교정 타킷을 제거하고 이 교정 타킷을 마크될 시험 기판과 대치하는 단계를 포함한다. 교정 타킷 및 시험 기판은 동일한 크기를 갖고 장치의 보통 장소 내에 위치될 수 있다.
이 방법은 X-Y스테이지와 정렬 타킷을 이동하여 이동 단계 동안 마커와 위치 맞춤 시각 하부장치를 유지시키게 하는 단계를 포함한다.
교정된 광 측정 장치는 위치일치 시각 하부장치일 수 있다.
교정된 광 측정 장치는 마커사이의 공간보다 크고 위치일치 시각 하부장치의 해상도보다 큰 해상도를 갖는 도량형 장치일 수 있다.
본발명의 상기 목적 및 기타 목적과 장점은 수반한 도면을 참조하여 발명을 수행하는 최선의 방식의 다음 상세한 설명으로부터 용이하게 이해될 수 있을 것이다.
도 1A는 물품을 반도체 웨이퍼의 제 1면과 여러 물품을 커버하는 시야의 도시하고, 각각의 물품의 레이저 마킹은 웨이퍼의 이면의 대응하는 필드에서 행해진다.
도 1B는 도 1A의 물픔의 확대도.
도 1C는 도 1A에 도시된 필드내의 4개의 물품의 부분 확대도.
도 1D는 볼 그리드 어레이 및 회로 트레이스 패턴과 같은 여러 물품 상에 존재하는 회로의 두 개의 예의 도면.
도 2A 본 발명의 마킹 장치의 워크피이스과 대표적인 광학 요소 및 기계 구성요소를 나타내며, 도2B는 본 발명의 마킹 장치의 제어장치를 나타내며, 도 2B는 본 발명의 마킹 장치의 컨트롤러를 나타낸다.
도 2C는 특히 전면의 특징부에 기초하여 적용되는 경우의 예시적인(스케일 아님)비 텔레센릭 위치일치로 관련하는 조사 레이 다이어그램 및 마킹 장치를 나타낸다.
도 3A-3C는 물품을 포함하는 도 1A의 시야에 대응하는 마킹 필드를 갖는 웨이퍼의 제2의 면(이면)을 나타낸다. 도 3B는 제2의 면상의 지정 영역에 형성된 마크의 절단도. 도 3C는 마킹된 물품의 확대도이다.
도 4는 이면 마킹을 위한 본 발명의 실시예에 이용될 수 있는 검류계 빔 위치 결정 장치의 예시도.
도 5A는 제조 장치에 이용하기 위한 반도체 웨이퍼용 레이저 마킹 장치의 하부 장치를 도시한 개략도.
도 5B는 웨이퍼 마킹 공정 시간 효률적인 시퀸스의 개략도.
도 6A-6B는 레이저 바킹을 위헤 단독으로 또는 결합으로 이용될 수 있는 두개의 대안적인 빔 포지셔너의 도면.
도 7A-7D는 본 발명의 실시예에 이용하기 위한 위크피이스 위치 결정 메카니즘의 상면도, 단면도, 측면도 및 사시도.
도 8A-8D는 (자유도 2도를 포함하는) 직사각형 워크피이스를 지지하여 위치결정하는 도 7의 두 개의 포지셔너의 이용을 도시한 상면도, 단면도, 측면도 및 사시도.
도 9A-도9C는 (자유도 3도를 갖는)300mm와 같은 3개의 원형 워크피이스를 지하여 위치하는 3개의 포지셔너의 이용을 도시한 상면도, 측면도 및 사시도.
도 10A는 일반적인 웨이퍼 마킹(예를들어 도시된 상측마커)용 레이저 및 광 학계의 개략도.
도 10B는 본 발명의 방법 및 장치를 이용하여 생성된 마커에 비교할 때 증가한 레이저 칩투 깊이를 갖는(크랙킹으로 인한)마크 품질의 저하를 나타낸 개략도.
도 11A-11D는 여러 교정 타킷을 가진 도 2A와 도 2B의 워크피이스 처리 장치의 2 및 3차원 교정을 나타내는 도면.
도 11E-11J는 레이저 마킹 장치의 여러 하부장치를 교정하는 여러 교정 타킷 구성의 도면.
도 12A-12C는 웨이퍼의 제 1면의 시야에 배치할 수 있는 특징부를 나타내며, 특징부의 위치는 예를 들면, 반대측에 마칭 광선의 위치를 결정하기 위해 사용된다.
도 12D는 좌표계 및 웨이퍼의 저장된 표시를 이용하여 마킹된 웨이퍼의 좌표를 관련하기 위해 사용되는 예시적인 회로 특징부를 나타낸다.
도 13A-13C는 (1)80mm 넓은 필드이상이며 (2) 깊이범위가 공칭 웨이퍼 휨 및 젖혀짐 사양에 대응하는 편차가 약 1 스폿의 직경보다 작은 변동 값을 갖는 정밀 웨이퍼 제작 장치에 사용되는 텔리센트릭 렌즈설계를 나타낸다.
도 14는 반도체 웨이퍼상의 레이저 마크의 개략적인 특징부의 도면.
도 15는 웨이퍼위치 결정 장치의 개략도로, 웨이퍼는 수평위치에 장착된 후에, 위치결정, 마킹 및 검사 작업을 위해 수직 위치에 이동된다.
도 16은 웨이퍼를 수평방향, 수직방향 및 상하 방향으로 지지할 수 있는 웨이퍼 홀더의 도면.
도 17A-17B는 마크 검사 및 위치 검사가능한 교정 타킷 및 별개의 화상 장치를 사용하여 얻어진 예시적인 중첩 화상을 도시한다.
개관
약 300mm 웨이퍼의 레이저 마킹 및 검사용 장치(100)의 여러 소자가 도 5A에 개략적으로 도시되어 있다. 로벗(101)은 웨이퍼를 FOUP(Front Opening Unified Portal) 공급 장치로부터 프리 얼라이너(pre aligner)(102)에 이동하고, 이 프리 얼라이너는 후속 처리를 위해 웨이퍼를 지향하도록 웨이퍼의 노치 또는 평탄부를 발견하는 데 이용된다. 판독 장치(103)는 후속 처리 단계에서 이용될 수 있는 어떤 코드된 정보를 추출하는데 이용된다. 정밀 스테이지(104)에는 프리 얼라이너(예를들어, X, Y회전)의 잔류 에러를 보정하기 위한 정밀 위치 맞춤 수단이 포함되어 있다. 웨이퍼가 마크된다. 모든 마크 또는 지정된 서브세트가 검사된다. 도 5A의 구성에서 검사용 장치는 개별 검사 스테이지(105)가 이용된다.
FFOUP의 개방에 연속한 마킹 순서는 아래의 순서를 포함한다.
1. 로벗이 웨이퍼를 프리 얼라이너에 이동시키고 노치- 다이 위치적인 관계를 정한다.
2. 웨이퍼 ID는 OCR에 의해 판독된다.
3. 마크 정보가 네트워크로부터 얻어진다.
4. 로벗은 예비 위치 맞춤된 웨이퍼를 정밀 X-Y스테이지에 이동시킨다.
5. 프리 얼라이너의 최소 보정 잔류 에러가 얻어질 때까지, 웨이퍼의 X-Y-θ미 조정을 행한다.
6. 웨이퍼를 "마크-인덱스 필드-마크-인덱스 필드" 반복 시퀸스를 이용하여 마크한다.
7. 이 웨이퍼가 검사된다.
8. 웨이퍼는 FOUP에 귀환시킨다.
도 5B는 장치 내의 시간효율이 좋은 웨이퍼 조작의 예시적인 순서를 예시한다. 여러 처리 단계는 평행으로 행하여도 좋다. 예를 들어, 제 2 웨이퍼는 예비 조정을 위해 이송되고, 동시에 제 1 웨이퍼의 정밀한 위치 맞춤이 행해진다.
예시적인 300mm웨이퍼는 수천개의 부품(예를 들어, 칩 스케일 패키지, 집적회로)를 갖을 수 있다. 각각의 부품상의 회로의 밀도는 제한된 영역에 1차원 또는 2차원과 같은 기계적으로 판독가능한 마크를 배치하는데 어려움을 야기할 수 있다. 예를 들어, 300mm 웨이퍼상의 다이 크기는 복잡한 밀도 패턴에 있어서 약25mm에서 .5mm이하로 다향하다. 더구나, 고 에너지 마킹 빔에 의해 야기될 수 있는 회로의 손상은 방지되아야 한다. 본 발명의 양수인에게 양수된 WO0154854는 전자 디바이스의 고해상 마킹의 방법을 개재하고 있는데 이는 본명세서에 포함되어 있다. 레이저 마크 위치 결정은 센서로 측정된 회로 특징부분으로부터 얻어질 수 있고 일 실시예에서 센서는 마킹 헤드로부터 오프셋되어 위치된다. 다이와 같은 PCB 멀티-업(multi-up), 칩 스케일 패키지 등의 패키지 트레이 내의 다이 등을 마킹하기 위한 실시 예가 '854에 포함된다.'845특허의 페이지4, 9-16라인, 페이지6, 라인 1-5 및 22-29, 페이지8, 라인 10-17, 페이지 9, 라인 15-페이지 10, 라인 30, 페이지 11, 라인 14-20을 포함하는 '854개재의 색션과 " 스켄 헤드", "마킹 조작", 및 "위치 결정" 이라는 제목의 상세한 설명의 색션과 이 색션의 관련된 도면은 본 개재에 관한 것이고 정밀 마킹 방법 및 이에 개재된 장치의 여러 태양에 대한 부가적인 지원을 제공한다.
도 1A를 참조하면, 본 발명의 일 실시예는 반도체 웨이퍼(3)를 마킹하는 정밀 레이저를 기반으로 한 방법을 제공하고 이 방법은 패키지, 기판 또는 유사한 워크피이스를 마킹하는데 적합하다. 웨이퍼(3)는 다이, 칩 스케일 패키지, 회로 패턴등을 포함하는 물품(2)(도 1B에서 확대도에 도시된 것)를 갖는다. 이 물품은 실질적으로 동일하지만, 이러한 제한은 받드시 필요한 것은 아니다.
마킹 후의 일반적인 반도체 제조 공정에 있어서, 물품은 웨이퍼를 정밀 커팅함으로써 분리될 수 있다. 또 다른 정보는 미국특허6,309,943호에 개재되어 있고 웨이퍼의 이면에 배치된 위치 맞춤 위치 마크(35)(도 3A-3C)는 정밀 커팅의 경로를 규정하는데 사용된다. 도 3을 참조하면, 물품상의 마크(36)는 물품위치에 관한 지정된 영역(30) 내에 형성될 것이다. 이 예에서, 웨이퍼의 이면(33)은 물품의 모퉁이 부근에 마킹된다. 회로(34)는 회로(4)의 배면도에 대응한다.
대형 웨이퍼(예를 들어 300mm)를 마킹하는 바람직한 실시 예에서,위치 맞춤 시각 장치 좌표(예를 들어, 센서 중앙 위치 및 최상의 촛점에서 "제 1 면"위치)와 빔 위치 맞춤 하부장치 좌표(마킹 필드의 중앙에서 레이저 빔 웨이스트 위치)를 관련하기 위해 교정프로세스를 사용할 수 있다. 바람직하기로는 이 교정은 3차원 보정을 제공한다. 넓은 필드에 걸쳐 국부화한 영역에서의 마크의 정밀한 배치에 대한 요구가 높게 되면, 빔 위치결정의 정밀도 향상 및 미세한 선 폭 또는 문자 사이즈를 얻기위한 스폿 사이즈 요건이 간소화된다. 대형 워크피이스에 대하여 촛점 선도에 대한 휨이 중요하며 이는 설계 파라미터의 불일치를 야기한다. 바람직하기로는 레이저 스폿 크기는 스폿 배치 정밀도를 유지하면서 장치 작동 중에 조절될 수 있다. 도 2A의 구성과 관련하여 하나의 실시 예는 제 1 센서 하부 장치(14)(예를 들어, "위치 맞춤 시각 장치")와 빔 포지션너 하부 장치(19)(예를 들어, "마킹 헤드")을 교정한다. 이 교정은 제 1 면의 위치와 마킹 빔 위치를 관련시키는데 이용되고 이 하부장치는 마크될 워크피이스(11)의 일부인 시야를 갖는다. 2차원 기능 및 3차원 기능을 제공하는 교정 프로세스의 여러 단계에 관한 또 다른 상세는 "2D/3D 교정"이라는 제목의 색션 1에 제공되어 있다. 워크피이스 처리를 위한 여러 교정 절차에 대한 또 다른 상세는 (1) "레이저 포인팅 장치의 조정"라는 제목의 미국 특허5,400,132호 (2) "교정 레이저 트리밍 장치"라는 제목의 미국특허 제 4,918,284호 (3)"레이저 교정 장치 및 방법"이라는 제목의 WO0064622호에 개시되어 있다.
도 2C는 전면 데이터(이러게 제한되지 않을지라도)를 이용하여 왜곡된 웨이퍼(143)의 이면에 마킹하는 경우, 비 텔리센트릭 광학계의 깊이의 빔 위치 에러의 증가를 나타낸다. 웨이퍼의 두께(146)는 수백 마이크론이다. 상측의 위치 맞춤 카메라(142)는 예시를 위해 광학 중심선(149)을 따라 마커 헤드(147)와 위치가 일치되어 있다. 평면(148, 144)은 마커와 카메라로부터의 작동거리에 대응하는 기준평면이다. 깊이의 변동이 없는 경우에, 이들 평면은 웨이퍼의 표면 위치에 카메라 관찰과 마킹 빔이 교차한다. 광선(140)을 따른 참조 데이터가 웨이퍼의 교차점에 있어서의 웨이퍼 표면에서의 반사광로부터 얻어진다. 데이터는 보정 없이 마킹 좌표에 관련된 평면(144)과의 교차에 대응하는 좌표로서 표시된다. 힁 위치 에러(1400)가 야기된다. 예시를 위해 마크는 웨이퍼 교차 열에서의 광선(140)을 따라 택해진 참조 데이터에 대응하는 위치에서의 웨이퍼의 이면에 배치된다고 가정한다. 마킹 빔은 보정 없이 참조 데이터( 및 위치 에러)에 대응하는 평면(148) 내의 점에 직향한다. 그러나 이는 마킹 빔(141)을 웨이퍼와의 실질적인 교차점에 있어서의 마킹 빔(141) 중심 광선에 의해 나타나 있듯이 지정된 영역외의 마크를 야기하게 된다.
색션 1의 3차원 교정 프로세스는 웨이퍼의 적절한 높이 측정값을 이용하여 빔 포지셔너에 적용된 보정을 결정하기 위해 사용할 수 있다.
바람직한 텔리센트릭 광학계에 있어서 , 적절한 코스트의 렌즈를 이용하여("정밀한 텔리센트릭 렌즈"라는 제목의 다음의 색션 5를 참조) 에러는 약 1 스폿 또는 보다 미세하게 감소된다. 바람직하기로는, 텔리센트릭 설계에 의해, 최악의 웨이퍼 휨과 부가적인 장치 "스택업"(stackup)에러를 보상한다. 바람직한 구성에 의해, 비교적 높은 마킹 속도를 지원하는 필드크기가 유지된다. 텔리센트릭 경우, 교정 프로세스는 감소하지만, 워킹 볼륨(wprking volume)에 걸쳐서 레이저 스폿크기를 제어하여 유지하는데 사용되는 복수의 교정 파일이 바람직하다. 이는 일관성이 있는 마킹과 마킹 컨트라스트 제어를 가능하게 한다.
광학 계의 촛점의 깊이에 대한 워크피이스의 변동을 고려하여 위치 맞춤 및 마킹 하부 장치에 대하여 3차원 허용오차를 고려할 필요가 있다. 특정 위치의 위치 정도를 개선하기 위해, 위치 맞춤 장치 배율을 확대하거나, 촛점의 깊이가 감소하게 된다. 여러 Z축을 따라서 워크피이스에 대해 하부장치(14) 전체 및/또는 (텔리센트릭 렌즈로 도시되지만 이로서 제한되는 것은 아닌) 렌즈 장치(15)를 배치하기 위해 여러 집속 방법이 이용된다. 예를 들어, 다이 위치에서의 최대 에지 컨트라스트에 대응하는 Z축 위치는 가능한 측정이다. "포인트" 또는 작은 타킷(픽셀의 순소중 하나)의 최대 휘도 측정값은 깊이 변화에 대하여 감도가 높을 수 있다.
웨이퍼 휨은 웨이퍼 두께의 사양으로부터 예측가능하다. 약 300㎛에서 775㎛의 두께 범위의 웨이퍼를 갖는 모델(고정된 에지 및 단순한 지지)을 기반으로 예측은 후자의 경우에 약 60㎛의 변동을 나타낸다. 보다 얇은 웨이퍼에 대해서는 변동이 증가하고 휨과 기타 스택업에 의해 전체 변동은 또한 증가할 것이다. 표면 변동을 추정하여 특정의 보정을 위해 사용될 수 있다. 색션 5에서 설명했듯이, 텔리센트릭 광학계는 4" 마킹 필드에 걸쳐 1㎛ 보다 작은 스폿 배치 에러를 야기한다고 예측된다. 스켄 헤드, 위치 맞춤 시각 장치 및 검사 장치를 포함하는 여러 하부장치는 적어도 높은 검출을 위한 옵션을 추가할 수 있다. 유사하게, 웨이퍼 표면의 다수의 위치에서의 특히, 높이 측정을 위해 다른 하부장치를 추가할 수 있다.
하나의 구성에서, 위치맞춤시각하부장치(14)는 특징부의 검출을 위해 사용되는 영역을 포함하지만, 이로 한정하지 않는 샘플 포인터에 상대적으로 위치를 일치시킨다. 미리 언급했듯이, 촛점 검출은 위치 맞춤 시각 장치를 사용하여 z축을 따른 위치에 있어서 이미지 컨트라스트를 샘플링함으로서 성취될 수 있다. z축위치가 기록된다. 대안적으로, 상업상이용 가능한 모듈인 삼각 측정 또는 집속 센서는 표면을 맵핑 하기 위해 위치 맞춤 및 교정 알고리즘(및 공지된 웨이퍼 두께)과 합께 이용되는 표면 포인트를 측정하기 위해 이용된다. 유사하게 제 2면의 직접적인 측정값은 시각 검사 모듈(20)과 같은 시각 검사 하부장치로 포함된 센서로 얻어질 수 있다. 다른 구성에 있어서는 상업상 이용가능한 무아레(Moire)카메라와 같은 전 필드(full field)장치를 사용할 수 있다. 어떤 경우에 데이터는 표면의 마킹 빔 웨이스트를 위치일치시키기 위해 바람직하게 사용된다. 색션 1의 바람직한 교정 방법에 따라 바람직한 스폿 크기는 마킹 위치에 유지될 것이다. 하나의 구성에 있어서, 마킹 빔 웨이스트는 중심 에지 보상에 관하여 80mm 필드 내의 9개의 위치에 별개의 스탭으로 위치 맞춤시킬 수 있다. 미 접촉 광학 검출이 바람직하지만, 캐패시턴스 및 터치 프로브이어도 좋다.
변동이 단순한 제 2 차 곡선에 대응하여 대칭인 경우, 웨이퍼 표면은 3개이상의 위치(에지 영역, 중심, 에지 영역)를 사용하여 대각선에 따라 샘플링할 수 있다. 휨이 더 높은 차원의 곡선(예를 들어, 포테이토 칩)으로 나타나면, 예를 들어, 9개 이상의 위치로한 추가적인 테이터를 얻게 된다. 제 1 면의 위치 맞춤 장치를 이용하여 데이터가 얻어지는 경우, 제 2 면의 위치는 오퍼레이터가 측정 또는 지정할 수 있는 웨이퍼의 두께를 이용하여 근사하게 할 수 있다.
유사하게, 교정 및 마킹에 관하여, 마킹 빔 집속 기능이 마킹 필드의 다수의 위치에서 샘플링될 수 있다(저 출력에서). 이 장치는 2-셀 또는 4- 셀 구성의 "특징이 없는"표면을 측정하기에 적절한 검출 장치를 포함할 수 있다. 대안적으로, 광 개징(gaging) 재품(Rochester, NY)시판의 측정 장치가 제공된 옵션과 동일한 "돌출 그리드(projected gride)"를 사용할 수 있다. 집속공구는 웨이퍼 마킹중의 작동 거리 측정외에 위치 맞춤 및 장치 셋업 조작을 위채 적절히 사용된다.
도 2a와 도 2b의 장치에 있어서, 빔 위치 맞춤 하부장치 및 위치 맞춤 장치는 깊이에 관한 각도 주사에 의한 마킹 빔의 변동을 감소하거나 제거하는 텔리센트릭 광학계(351, 15)를 포함한다. 색션 5는 약 80mm의 필드크기 및 최악으로 경우 예상되는 휨에 대응하는 깊이 범위에 1 스폿 직경 보다 양호한 스폿 위치 정도를 제공하는 텔리센트릭 광학계를 나타낸다. 이 80mm필드에 의해, 비 텔리센트릭 필드에 비교하여 상당히 고속의 마킹속도가 가능하게 된다. 더구나, 30㎛ 스폿 크기는 웨이퍼 마크 장치보다도 섬세하고, 마킹의 컨트라스트 및 해상도를 제어하기위해서는 바람직한 특징이 있다.
그러나, 다른 대안은 깊이에 관한 위치결정에 대한 적절한 보상에는 다른 방법을 사용할 수 있다. 예를 들어, 일 실시예에서, 텔리센트릭 렌즈(15)가 사용되지 만, 도 6의 47과 같은 구성이 (상술했듯이) 마킹을 위해 이용될 수 있다. 예를들어, 일 실시 예에서, 텔리센트릭 렌즈(15)가 이용될 수 있지만 도 6의 46와 유사한 구성이 (상술했듯이) 마킹을 위해 이용될 수 있다.
도 2A를 참조하면, 바람직한 위치일치하부장치는 소프트웨어 및 "소프트웨어 즘"기능을 이용하여 작은 영역을 추출하여 처리하기 위한 소프트웨어를 갖는1280*1000CCD 이미징 어레이와 같은 고 해상도 카메라(13)와 같은 높이 센서를 갖을 수 있다. 대안적으로, 교정된 즘 광학 장치가 이용될 수 있다. 조명 장치(21)는 위치일치에 이용되는 특징부의 컨트라스트를 강조하기 위해 어두운 필드 조명기와 밝은 필드 조명기의 결합한 특정 조명 설게를 포함한다. 하나의 실시 예에서, LED어레이는 수동 조절 가능한 앵클에 있어서의 로우 앵클 조명을 제공한다. 고 해상도 카메라를 사용한 구성에 있어서, 노출이 고정되며, 설계는 간소화되고 화상 "휘도"와 배율과의 상관관계가 없게 된다.
일실시 예에서, 레이저 마커와 같은 마킹 하부장치(19)는 도 4에 도시된 편광 장치(40, 41, 42)를 갖는 X. Y 검류계 및 와 빔 확대 어샘블리(49)를 제공하는 장치를 포함한다. Montagu의 상술한 참고문헌의 227-228페이지에 포함된 도 6은 대안적인 전측 대물 렌즈(46)(예를 들어, 텔리센트릭)와 후측 대물렌즈(47)의 주사 구성을 도시한다. 후자는 부가적인 동적 집속 변환기(48)를 포함한다. 본 발명의 소자의 바람직한 텔리센트릭 광학계에 있어서, 동적 집속 변환기(48) 및 도 4의 확대기(49)의 컴퓨터 제어 버젼의 스폿크기 조정기용 내부 구성을 포함한다.
위치 맞춤 조절 장치는 이송 및 프리 어라이너(pre-aligner)와 관련된 잔류 X-Y각도 에러의 보정을 행한다. 작은 변동만이 발생하거나 특정된 일실시 예에서, 위치 맞춤 장치는 3개의 위치(예를 들어, 기준점)에서 얻어진 측정값을 이용하여 X, Y, θ(예를 들어, 각도)변동을 보증할 수 있다. 그러나, 회로/또는 웨이퍼의 밀도가 높게되어 허용오차가 엄격하게 되면,밀도 정도의 향상이 바람직하게 된다. 위치맞품서브하부장치(14)는 웨이퍼의 물품(2)과 관련된 부가적인 특징부를 인식 및 추정 기능(예를 들어, 머신 시각 기능/패턴 인식 기능)을 가지고 있다. 특징부의 위치가 결정될 것이다. 알고리즘은 참조 데이터를 얻기 위해, 그리고 제 1 센서(13)로부터의 하나이상의 신호를 이용하여 워크피스(3)의 제 1면의 물품료(2)과 곤련된 특징부를 결정하기 위해 사용된다. 예를 들어, 도 1B의 확대하여 도시된 도 1A의 물품(2)은 웨이퍼의 적어도 일부에서(필요하면, 웨이퍼 전체에서는 필요 없지만) 전도체 트레이스(7) 또는 패드(5)를 가진 회로 패턴을 가질 수 있다. 다. 바람직한 장치에서, 패턴 인식 알고리즘은 기준 웨이퍼 상에서의 "트레닝"에 기초하여, 예를 들어, 워크피이스의 적어도 일부를 자동적으로 학습하여 패드, 트레이스 또는 유사의 특징부의 상대 위치를 결정한다. 예를 들어, 다이 에지의 위치 결정 및/또는 중심의 측정을 행하기 위한 특징부로서 다이(물품)(6)의 직사각형의 외형 또는 코너 위치를 이용할 수 있다. 이 위치는 도 3A의 마킹 필드(1)내 또는 허용오차가 인정되는 경우에는, 이 필드의 외측 내에 위치 결정된 4개 중의 적어도 1개의 다른 위치에 관련될 것이다. 예를 들어, 워크피이스에 걸쳐서 직선상에 있지 않은 최저 3개의 위치가 결정되고, 워크피이스 전체에 대하는 오프셋 보정 및 회전 보정을 위해 사용된다. 다른 방법 및 균등물로서, 솔더 볼 또는 헤드(8)의 어레이 위치에 의해 다른 패턴을 규정할 수 있다. 또 다른 패턴은 도 1A-1D에 도시된 것보다 매우 큰 밀도를 갖는 물품의 내부 회로의 구역을 포함할 수 있다. 알고리즘은 그레이 스케일 또는 이진 상관 알고리즘과 같은 머신 시각 하부장치를 사용하는 워크피이스의 특징부를 마킹하는 단계를 포함할 수 있다. 패턴 인식과 마킹 인식을 위한 모듈 및 알고리즘은 본 발명과 함께 이용하기 적합한 것으로 상업상 이용가능하다(예를 들어, Cognex Inc.). 이 워크피이스는 동일하고 반복적인 패턴을 갖는다.
바람직한 구성에서, 매칭은 전체 물품에 걸쳐 그리고 인간의 간섭 없이 자동적으로 수행된다. 주지해야 할 것은 특정 마킹 요건(예를 들어, 비닝(binning))에 대하여 웨이퍼상에는 수많은 패턴이 존재할 수 있고 바람직한 알고리즘은 실질적인 유연성을 가질수 있다는 것이다. 학습은 복잡한 패턴의 인식 및 매칭에 대하여 머신을 효과적으로 프로그램하기 위해 반자동 오퍼레이터가 지시하는 학습 단계를 더 포함 할 것이다.
본 발명의 양수인에 의해 양수된 WO0161275에는 그레이 스케일 및/또는 높이 정보를 사용하여 회로 특징부의의 자동학습을 위한 그리고 그 후 검사을 위해 저장된 정보의 사용을 위한 검출 및 인식 알고리즘이 개재되어 있다. 예를 들어, 페이지 7, 4-26라인; 페이지 8, 라인 1-5 및 라인 17-25; 페이지 9, 라인 5-10; 페이지 10, 라인 25-25; 페이지 11, 라인 1-18; 페이지 15, 라인 29-30; 페이지 16, 라인 1-10; 페이지 17, 라인 19-28에 개재되어 있고 이와 관련된 도면은 여러 패턴 인식 및 학습 알고리즘의 응용을 개재한다. 웨이퍼 및 유사한 부품의 정밀한 마킹을 위한 기준 위치를 얻기 위해, 특징부를 검출하여 매칭시키는 여러 단계의 상세한 설명은 아래의 "특징부 검출 및 정보 위치 결정"의 색션 2에 개시되어 있다.
300mm마킹 장치의 일실시 예에 있어서, 고속용으로, 80mm 마킹 필드가 사용되고, 대략 16mm의 위치 맞춤 시각 필드가 사용된다. 1024*1024 어레이를 사용하여 16㎛ 픽셀 사이즈가 제공되면, 이는 마킹 빔의 스폿 크기보다 다소 더 미세하다. 예를 들어, 뒤쪽 웨이퍼 마킹 장치의 실시 예에서, 40㎛이하의 스폿 사이즈가 바람직하고 가장 바람직한 범위는 약 25-35㎛이다. (도 3에 도시 되고 도 1-A의 영역(4)에 대응하고 웨이퍼(3)의 이면(33)에 있다) 마킹 필드 1 범위는 워크피이스 (3) 범위(특정된 수치의 웨이퍼를 마킹하도록 구성된 장치 내의 300mm 최대 웨이퍼 크기)의 비교적 작은 일부이다. 예를 들어, 300mm 웨이퍼를 마킹하는 일실시 예에서 약 750mm-100mm의 범위의 크기를 갖는 9이상의 마킹 필드가 이용되고, 높은 마킹 정도 및 고속처리가 가능하게 된다. 워크피이스가 극단적으로 휘어지는 경우에, 표면의 특징 및 사양에 기초하여 주사각도의 크기를 제어함으로써 마킹 밀도를 제어할 수 있다. 정밀 마킹은 도 3에 도시되어 있듯이, 워크피이스(33)의 제 2 면상의 마킹 위치(30)에 레이저 빔을 위치 결정하도록 , 레이저 마커와 같은 빔 포지셔너 장치(19)(또는 하부장치 구성 요소) 및 워크피이스(11)를 상대적으로 위치 결정하는 것을 포함하며, 위치결정은 제 1의 면상 특징부의 위치를 기반으로 한다. 특징부의 위치 결정은 물품의 위치(예를 들면, 단부 또는 중심)을 규정할 수 있고, 그렇지 않으면, 제 2의 면에 위치결정된 마킹에 관한 지정 영역(30)에 관련된다. 아래에 설명되어 있듯이, 여러 방법 및 하부장치를 사용할 수 있다.
도 3A-도 3C에 도시되어 있듯이, 소정의 코드 또는 다른 기계적으로 판독 가능한 특징(36)은 적절하게는 텔리센트릭 렌즈(351)를 사용하여, 전형적으로는, 도 2A의 24에 의해 형성된 필드내의 주사 레이저 마킹 출력 빔(예를 들어, 벡터 또는 도트 매트릭스)를 사용하여 워크피이스상에 마킹된다. 기계판독 가능한 마크는 지정영역에 형성된다. 또한, 물품에 대한 레이저 유발의 손상은 제2면에 마킹함으로써 방지된다.
참조 데이터를 취득하여 상대적 위치결정을 행하는 마킹을 행하는 각 단계는 반복하여 행해지고, 제 1의 면상의 적어도 하나의 물품에 관련하여 특징부가 위치되며, 특징부의 위치에 기초하여 제2의 면상의 전체의 지정 영역 내에 마킹빔이 위치결정되도록 되어 있다.
빔 위치결정 하부장치는 도 4에 도시되어 있듯이, 2차원 검류 스케너(40, 41, 42, 43)을 포함하는 것이 바람직하다(도 2A에 도시되고 그리고 도 6의 장치(46)에서 개략적으로 도시되어 있듯이, 워크피이스에 텔리센트릭 빔을 조사하도록 되어 있다.). 대안적으로, 하부장치는 평행 이동 스테이지 또는 빔 전달 광학 부품을 가지는 회전 스테이지를 포함할 수 있다. 레이저 및 광학 장치는 파이버 전달 장치와 일체식으로 또는 원격적으로 접속할 수 있다. 빔 포지션너의 시야는 수 레이저 스폿의 직경에서 비교적 넓은 각도 필드의 범위에 있지만, 본 발명에 의한 정밀 마킹에 관해서는, 필드는 장치로 마킹될 최대 위크피이스의 일부일 수 있다. 예를들어, 100, 200 및 300mm의 웨이퍼를 마킹할 수 있고, 마킹 필드의 크기(예를 들어, 도 1A에서의 제 1 면, 도 3A에서의 제 2 면)는 약 100mm일 것이다. 특정의 경우에는, 공개 WO961676 및/또는 미국특허 5,521,628에 예시되어 있듯이, 평행 빔을 사용하여 워크피이스 상에 패턴을 마킹할 수 있다(예를 들면, 비교적 저출력의 레이저를 이용하여). 미국특허6,262,388에 개시되어 있는 다증 마킹 헤드를 사용하여 직열 처리 및 병렬 처리의 여러 조합을 사용할 수 있다.
상대적인 위치결정은 (i)2차원 검류계 디플렉터를 포함하는 빔 포지셔너를 제공하고; (ii)위치가 마킹 필드 내의 위치에 있는 경우, 미러(42, 43) 위치를 조정(도 4참조)하고; (iii) 위치가 마킹 필드외에 있는 경우, 이 위치를 마킹필드(1)내에 위치결정하도록 워크피이스(11) 및 빔 결정 하부장치(19)를 상대적으로 평행이동시킨다. 마킹 빔의 위치결정을 위해, 위에서 설명했듯이. 물품(2)(도 2A의 점선으로 표시되어 있듯이)에 관련하는 특징점이 사용되고, 이 위치는 3차원 좌표인 것이 바람직하다. 더구나, 웨이퍼의 지정 또는 측정된 두께는 전면 위치에 대한 빔의 초점위치를 결정하기 위해 사용되는 파라미터인 것이 바람직하다. 하나이상의 워크피이스를 마킹하는 장치에 있어서, 정밀한 위치결정을 위해 스테이지(18)와 같은 위치결정 하부장치(도 5의 104에서도 표시)에 더하여, 적어도 하나의 워크피이스 포지셔너가 사용된다. 위치 결정 하부 장치는 여러 특정 수치의 워크피이스(11)를 지지하여 위치하도록 구성되어 있지만, 도 2A에 나타나 있듯이, 방사 빔( 마킹 필드(24)상의 마킹 빔 및 광원(21)로부터의 정밀 위치 맞춤 카메라 필드(25)의 조명/관찰 빔)는 워크피이스의 제 1 의면 및 제 2의 면을 직접 조사하도록 되어 있다. 일 실시예에서, 웨이퍼 척(17)(이하 "워크피이스 척/포지셔너공작물 척/포지셔너라"라는 제목의 색션 3참조)은 웨이퍼 또는 기타 워크피이스를 지지하기 위해, 옵션의 소형 웨이퍼 인서트를 가지는 Z축(방향(26))구동장치를 구비한다. 이 장치는 워크피이스를 자동적으로 고정, 파지 또느 다른 방법으로 지지하는(도2A에 1개의 개략도로 표시한다)척(17)과 같은 제 1 위치결정 하부 장치에 워크피이스를 이송하는 엔드 이팩터(end effector)의 구성에 있어서도, 자동화되는 것이 바람직하다. 표면 손상 및 현저한 변경이 방지된다.
언급된 3차원 변동 및 허용오차 범위 요건을 고려하면, 도 4에서 나타난 마킹 빔의 초점 위치(예를 들어, 빔 웨이스트) 및 도 2A에서 화살표 22로 표시된 크기( 집점면에 대한 롤(roll), 피치)는 조절되는 것이 바람직하다. 예를 들어, 누계 허용오차에 더하여, 웨이퍼의 휨의 변동은 적어도 약 + 또는 -2 미리미터의 전 조정 범위에 의해 보상할 수 있다. 도 2A를 참고하면, 이 조정은 레이저의 빔 웨이스트가 워크피이스와 실질적으로 일치하도록, 레이저 빔의 위치결정 하부 장치(19) 및 워크피이스를 워크피이스와 실질적으로 직교하는 방향을 따라서 상대적으로 Z-축(깊이)방향으로 위치결정하는 것을 포함한다. 이 조정은 각각의 웨이퍼에 대하여 동적으로 행할 수 있다. 조정은 레이저 빔의 집점면이 워크피이스의 국부 평면 영역과 실질적으로 평행하도록, 레이저빔 포지셔너 및/또는 워크피이스의 기울기 조정기(22)(피치, 롤)를 포함한다(예를 들면, 마킹 필드 위쪽). 대안적으로 평면 영역은 워크피이스 위치 쪽의 최적 평면(best fit plane)에 일치하여도 좋다. 특정 조정은 예를 들어, 교정 또는 설정 시에, 빔 포지셔너의 수동 또는 반자동 위치 결정을 결합하여 행할 수 있다. 유사하게, 엔드 에팩트(들) 및 정밀 스테이지(18)에 연결된 척(17)은 워크피이스(11)가 소정의 각도(롤, 피칙) 및 깊이로 위치결정하도록 제어된다. 첨부의 색션 3에서는 높이와 크기를 조절하기 위한 액츄레이터를 이용하여 원형(예를 들어, 300mm 웨이퍼) 또는 직사각형 워크피이스를 자동적으로 정밀 위치 결정하는 1 개의 실시 예가 상세히 설명되어 있다. 이 구성은 높이 조절에 특히 적합하다. 도 7C 및 7D의 지지 베이스(53)에서의 구면 또는 점접촉과 같은 여러 변경 예에 의해, 두께 300㎛이하의 워크피이스의 기울어진 웨이퍼의 정밀한 각도 위치 결정(롤, 피치)이 용이하게 된다.
대안적인 구성에서, 웨이퍼는 수직 위치에 지지 된다. 예를 들어, 미국 뉴욕의 로체스터의 Chapman instrument 회사의 제조의 최대 웨이퍼 크기 300mm크기의 웨이퍼용으로 제조되고 적절히 변경된 자동화된 "웨이퍼 단부고정구"를 사용할 수 있다(미국특허 제5,986,753호 참조). 웨이퍼를 프로그래밍하기 위해 6자유도를 포함한다. 경사 스테이지, 웨이퍼 척X-Y-Z 스테이지 및 제어장치의 상세한 설명은 문헌"Wafer Edge Measurement-New Manual Fixture Provides More Features"에 개시되어 있다. 웨이퍼 마킹의 하나의 실시 예에서, "분할 그랜티"(split granty)스테이지(1)는 한 개의 방향(예를 들어, 종이 내의 방향 "X"수평방향)에 따른 수평방향으로 설치된 마칭 헤드의 자동 위치 결정 수단 및 적어도 제 2의 방향(예를 들어, 종이와 평행한 방향 "Y"방향), 광학 축에 따는 "Z" 방향, 및 바람직하기로는 롤 및 피치 조정 기능을 포함하는)웨이퍼 위치 결정 수단을 갖는다.
삭제
도 15는 다른 위치 결정 장치의 사시도로, 복수의 구성요소의 마킹 장치를 나낸다. 웨이퍼는 2차원 방향으로 평행 이동된다(예를 들어, 도2A의 종이에 대하여 직교하는 평면에서 평행이동). 이 웨이퍼는 엔드 에팩트에 의해 노치(702)에 대하여 지향하며, 홀더(70) 내에 장착된다. 힌지(703)를 수평위치로 장착하기위해 사용된 다음, 주사 렌즈(351)를 통해 입사하는 빔으로 마킹 하기 위해 수직으로 이송된다. 2개이상의 회전축(704) 및 (705)를 구비한다. 이 구성에 의해, 이면 마킹 및 카메라(13)를 사용한 정밀 위치 맞춤가능하고, 전면의 특징부의 위치는 마킹 빔을 위치결정하기 위해 사용된다.
도 16은 웨이퍼를 여러 방향으로 유지하는 하나의 장치의 상세를 나타낸다. 이 장치에 있어서, 웨지(800)는 수평, 수직 및 상하 방향으로의 설치가 가능하도록, 진공 개방형 스프링(801)에 의해 계합된다.
(1) 워크피이스 포지셔너(18) 및 (2) 빔 위치 결정 하부장치(예를 들어, 마킹 헤드)(19) 및/또는 (3) 19의 내부 구성 부품(예를 들어, 동적 집속 하부장치(48) 및/또는 빔 확대기(49))의 작동(수동 또는 자동)의 여러 조합을 사용할 수 있고 제어 장치(27)와 정합하도록 되어 있다. 예를 들어, 웨이퍼 처리 스테이션(100)에 있어서의 정밀 위치 결정을 위해 5개의 회동 축 (예를 들어, X, Y, Z 및 롤 및 피치)를 사용할 수 있다. 또는, 예를 들면, 1개 또는 그 이상의 축에서 대략 위치결정을 행할 수 있다(가능하면, 수동 또는 반자동).
레이저 펄스 특성의 선택은 마킹 속도, 컨트라스트 및 전체 품질에 중대한 영향을 주는 경우가 있다. 실리콘 웨이퍼의 이면의 마킹에 대하여 약 15ns의 펄스폭, 약 25KHz의 반복률 및 532nm의 파장에서 약 .23-.25미리 주울의 출력 에너지가 바람직하게 제공되었다. 짧은 중공 녹색 바나데이트 레이저(Vanadate laser)가 사용된다. 매 약 150mm/sec의 마킹 속도가 성취되고 적절한 레이저 파라미터를 사용하면 약 350mm가 실현될 수 있다고 고려했다. 이 마킹 속도는 고 해상도에서 마킹될 다수의 물품을 고려하면, 마킹에 대한 상대적 개선을 행한다. 예시적인 동작 범위는 실리콘 웨이퍼 상의 마킹을 위한 약 30-35μ집속스폿 크기에 관하여 약 10-15ns의 펄스폭, 약 15-30KHz의 반복률을 포함한다. 다른 범위로는 약 50ns까지의 펄스 폭, 및 약 10KHz의 최소 반복률을 포함할 수 있다. 마이크로 크랙킹을 약 10㎛이하의 제한에 의해 미소한 크랙킹을 방지할 수 있다. 1.067㎛의 파장은 실리콘 웨이퍼 마킹의 동작 주파수의 2배의 주파수에서 금속의 워크피이스를 마킹하는데 적절하다고 고려했다. 적절한 레이저 및 관련의 특성에 관한 상세는 이하의 "레이저파라미터 및 마킹 품질"의 색션 4에 나타나 있다.
도 2A를 참조하면, 제 2 면을 관찰하는 시각 검사 장치(20)는 전체적으로 조면기, 카메라 또는 촬상 장치 및 검사 소프트웨어를 포함한다. 바람직한 장치에 있어서, 검사 필드는 정밀한 위치 맞춤 시각 필드로 교정된다. 예를 들어, 도 2A에 도시되어 있듯이, 중심선(29)은 필드사이에 큰 오버랩하도록 정열시킬 수 이있다. 이는 카크 수동 또는 자동 시각 검사를 위해 마크를 다이상에 증첩시킨다. 이하의 "전면 다이 등록에 의한 이면 마킹의 검사"의 색션 6에는 마킹된 웨이퍼를 검사하기 위한 실시 예의 상세가 설명되어 있다. 전체의 마크(100%검사)는 검사될수 있고 유저 지정의 서브세트이다. 예를 들어, 웨이퍼상의 소수의 위치는 마킹된 결과가 분석된다. 결과가 기준을 만족하면, 웨이퍼의 나머지 전부의 지정 영역을 마킹할 수 있다. 시각 장치는 다른 스테이지에 설치될 수 있고 제 1의 웨이퍼가 검사되고, 동시에 제 2의 웨이퍼가 마킹된다(도 5A). 도2A는 검사 및 마킹을 행하기 위해 워크피이스를 위치 결정하는 단일의 스테이지(18)를 사용한 다른 장치를 나타낸다.
검사 장치는 수득률을 최대로 하기 위해, 마킹 품질의 피드백을 가능한 빨리행하는 것이 바람직하다. 예를 들어, 마킹 필드는 적어도 천개의 다이를 갖는다. 마크를 관찰하기 위한 "기준"조명을 가지는 개별의 검사 장치는 웨이퍼의 각 스테이지와 마찬가지로 마크가 관찰될 디바이스 조립 스탭과의 사이의 상호관계를 확립하는 경우가 바람직하다. 검사 장치의 광학 축이 다른 실시 예에 있어서, 검사는 연속하여 행해지는 제 1의 장치의 마킹 후에 검사된다. 다수의 물품을 검사는 경우에는 제 1의 필드의 검사는 근접하는 제 2의 필드의 마킹 중에 행해진다.
검류계 미러 쌍을 사용하는 실시 예에 있어서, 적어도 필드상의 샘플의 다이(또는 기타 물품)를 나타내는 데이터는 "렌즈를 통한"시각 장치(예를 들어, 웨이퍼의 마킹 검사의 경우, 간단한 제 2의 시각 장치)에 의해 얻어진다. 데이터 처리 조작은 근접 필드의 위치 맞춤(인덱싱(indexing))과 오버램(overlap)하는 경우가 있다. 동축 시각 장치는 완전한 검사 기능을 구비한 시각 장치를 필요로 하지 않는 경우가 있다는 것에 유의하는 것이 좋다. 예를 들어, 반사 주사 빔의 휘도 또는 방사 패턴은 전 마크 결함또는 다른 처리 상의 문제를 조기에 검출하기 위해 해석할 수 있다. 예를 들어, 반사 마킹 빔을 해석하기 위해 단일의 광검출기를 사용할 수 있다. 탤리센트릭 시법(視法)(예를 들어, 렌즈(351)로부터 수광)에 의해 각도 변동은 감소하여 개선된 신호 구별이 가능하게 된다.
여러 변형 예 중의 상세한 설명
바람직한 실시예에서, 워크피이스(11)는 미킹필드로의 위치 맞춤(indexing)의 경우 평행이동된다. 그러나, 워크피이스(11)와 레이저 마커와 같은 빔 위치 결정 하부장치(19)와의 상대 운동은 빔 포지셔너(또는 구성요소)의 적어도 일부의 평행이동을 포함할 수 있다. 웨이퍼를 마킹하는 경우에, 웨이퍼를 이동하는 단일의 X-Y스테이지는 위치시각맞춤 하부장치(14),마킹 렌즈(351),시각 검사 하부 장치(20) 및 옵션의 마커 검사 판독 장치의 위치 결정을 가능하게 한다. 웨이퍼가 평행이동하는 실시 예에서, 위치 맞춤 및 빔 주사는 단순화할 수 있다. 위치 결정 하부장치 또는 하부장치의 일부가 평행이동된 실시 예에 있어서, 바람직하기로는, 원격 레이저 원으로브터 마킹헤드까지의 파이버 빔 전송을 사용할 수 있다.
Z축 스테이지(28)를 웨이퍼 마킹하는 일 실시예가 이용될 수 있다. 적어도 + 또는 -2mm의 범위가 바람직하다. 빔 포지셔너(19) 및 렌즈(351)가 이동가능하지만 웨이퍼의 이동이 바람직하다. Z축의 이동은 위치 맞춤 카메러 장치의 구성요소(13, 15)의 집속에 의해 측정된다. 웨이퍼의 휨은 위치결정 하부장치(18, 17)를 이용한 웨이퍼의 이동(평행 이동, 롤, 피치) 또는 상술했듯이, 빔 포지셔너(19)의 이동에 의해 보상되는 것이 바람직하다.
하나이상의 변환기로 행하는 약 12mm의 전범위 Z의 이동은 로버트의 엔드 에펙트를 장착할 수 있도록 동시에 웨이퍼와 마킹 빔의 초점 위치와의 상대 이동에 의하여 웨이퍼의 휨을 보상하수 있도록 사용된다.
오염을 제어하는 방법은 유리할 수 있다. 위치 맞춤 장치의 렌즈(15)와 카메라(13)의 촛점 위치는 Z축 위치를 측정하여 정밀하게 위치결정 하기 위해 사용될 수 있다. 일 실시 예에서 웨이퍼가 평행이동된다. 대안적으로, 카메라 장치는 집속되고 그 위치가 기록된다. 이 위치는 다음 빔 포지셔너 좌표(예를 들어 렌즈 위치)에 관계하고 이에 따라 렌즈와 포지셔너는 평행이동된다. 일 실시 예에서, Z축 위치의 변화가 100mm 마킹 필드에 걸쳐서 복수의 마킹위치에서 생기는 경우가 있다. 예를 들어, 9개의 위치에서 Z 방향의 평행 이동이 생기는 경우가 있다(예를 들면, 중심으로부터 단부까지 보상하기 위해).
X-Y 테이블은 위치 피드백을 위한 리니어 엔코더와 더불어 약 12-18인치의 이동 범위를 갖는다.
검사 모듈은 약 4 마이크론의 광학 해상도를 갖을 수 있다.
텔리센트릭 렌즈는 정밀 위치 맞춤 장치와 함께 사용할 수 있다.
검사 모듈(20)은 특정의 위치 맞춤 조작(예를 들어, 기준점을 이면에 배치하는)하기 위해 사용할 수 있고, 정밀 위치 맞춤 카메라(13)의 좌표 장치와의 대응 관계를 규정하기 위해 투명한 위치 맞춤 타킷을 사용하여 교정할 수 있다. 기판을 파손하지 않는 최적한 판독을 위한 권고된 마킹 깊이는 약 3.5마이크론일 수 있다. 레이저 장치는 약 10마이크론의 최대 마크 깊이로 구성된다.
본발명의 실시예는 프로그램 가능한 필드 크기 및 필드의 수(예를들어, 150-300mm의 범위의 직경을 갖는 웨이퍼의 9-16개의 시야), 집속 옵션(예를 들어, 두께 775마이크론으로 얇은 웨이퍼 보다 정도가 큰 웨이퍼에 관하여 3개의 초점 위치), 및 여러 마킹 속도(예를 들어, 매초 150-250mm/sec)의 웨이퍼를 마킹하기 위해 사용할 수 있다.
여러 예시와 비 한정적인 시스템 파라미터 및 관련된 허용오차는 다음을 나타낸다.
파라미터 허용오차
엔코더 해상도 .1마이크론
Z-축 이동 10mm
Z-스테이지 수직 정도 .1 mRad
Z-축 스테이지 정도 +/-5마이크론
정밀 위치 맞춤 반복 정도 1-2마이크론
스폿 크기 ≤60 마이크론 공칭
25-30 마이크론 정밀도(바람직)
Galvo(캘리브레이션된 필드) +/-30-50마이크론 정밀도
마킹 렌즈 옵션(휨으로 인해) 텔리센트릭, +/-마이크론,
300 마이크론, 웨이퍼 두
께, 평탄 필드, +/-10마
마킹 렌즈 옵션 웨이퍼 두께, 300mm웨이퍼
수많은 대안이 본발명을 실행하는데 이용될 수 있다.
여러 포지셔너 형식, 포지셔너의 수, 시각 장치, 집속 하드웨어, q-스위치된 파이버 레이저를 포함하는 레이저 형태가 이용될 수 있다.
더구나, 클러스트 공구와 통계적 공정 관리를 포함하는 효율적인 관리 및 수득률 개선을 위한 복수의 마커 및 인스팩터(inspecctor)의 직렬/병렬 조작을 포함할 수 있다. 또한, 본 발명의 패턴 인식 및 마킹수법은 단독으로, 또는 전술의 '943특허에 기재된 "다이싱(dicing)" 처리와 같은 다른 생산공정과 조합하여 사용하는 것이 의도된다.
색션 1-2차원 및 3차원 교정
여러 시판되고 있는 마킹 및 워크피이스 처리 장치는 시험 미러 상의 그리드를 마킹하여 다른 좌표측정 또는 도량형 마진 상의 그리드를 측정함으로써 레이저 마킹 필드를 교정한다. 이는 반복적 프로세스이며 상당한 시간이 걸린다. 다른 레이저 장치는 동일면의 레이저 마킹 필드를 교정하기 위해 온라인의 주사 렌즈 시각 장치를 사용할 수 있다. 대안적으로, 기판 또는 처리가능한 워크피이스가 마크된다.
본 발명에 따라, 2차원 교정은 x-y 스테이지, 한 쌍의 워크피이스 평행 이동 스테이지 및/또는 마킹 헤드, 도11A의 위치맞츰 시각 하부 장치(14)에 의해 반대측의 반사측의 레이저 마킹 필드(24)를 교정할 수 있는 다른 장치를 사용한다. 교정은 제 1의 면으로부터의 시각 데이터 및 특징부에 기초하여 제 2 면을 마킹하기 위해 사용된다. 교정 장치에 의존하고, 수동식, 자동식 또는 반자동식인 것이 바람직하다. 예시적으로, 장치 교정 전체의 양태를 나타내기 위해 교정의 4개의 스탭을 아래에 나타내 었다.
1. 장치 내의 각각의 카메라에 관한 카메라 화소의 교정.
2. 제 2카메라에 대한 제1카메라의 좌표교정.
3. 카메라 좌표에 대한 스테이지 좌표의 교정.
4. 웨이퍼 네스트에 대한 주사 헤드의 교정.
도 11E는 상부 및 저부 카메라(501, 502)에 대한 일반적인 구성를 도시한다. 본 발명의 하나 이상의 실시 예에서, 각각의 카메라는 실질의 좌표에 대한 카메라 화소에 접합하도록 개별적으로 교정된다. 도 11F-11G는 카메라 (501,502) 시야 내에 상대적으로 배치된 "공구영역"(505)를 개략적으로 예시한다. 바람직하기로는, 카메라는 장치 내에 기계적으로 배치되어 있기 때문에, 시야는 실질적으로 오버랩하지만, 필드는 분할가능한 것이 바람직하다. 1개의 예시적인 구성에 있어서, +자선(506)은 약 5mm떨어져도 좋다. 교정은 +자선의 좌표의 측정과, 중심위치, 스케일팩터 및 공구에 대한 좌표계의 회전의 추정을 포함할 수 있다. 바람직하기로는, 적어도 카메라의 "화소수법"이 측정되게 된다. 다른 실시 예에서는 적절한 타겟은 다른 +자선의 추가 및 카메라 시야내의 서브필드의 교정을 포함할 수 있다.
도 11H는 교정 스텝을 나타내며, 바람직하기로는, 상측 카메라 및 하측 카메라(동시에) 관찰을 행하는 제 1의 카메라에 의해 타킷(511)이 관찰되고, 제 2의 카메라에 의해 같은 타킷은 파선(511)으로 나타나도록 관찰된다. 교정 타켓은 도시되어 있듯이 "공구 영역"내에 의존할 것이다. 스케일 보정 및 회전 보정이 적용된다. 일 실시 예에서, 타켓의 중심을 규정하기 위해 다른 +자선을 사용한다. 정밀한 교정을 이용하는 본 구성은 마크 검사의 목적에서 전면상에서 보이도록 한 웨이퍼 이면상에 다이 위치에 대한 마크를 표시하는 표시부를 설치하기 위해 특히 유용하다(색션6 참조).
X-Y스테이지의 허용오차를 보상하기 위해 다른 교정 스테이지가 적용될 수 있다. 도 11I는 교정에 사용되는 3개의 +자 선(520)을 나타내고, 전 네스트(nest)는 이동되고 카메라 좌표는 스테이지 좌표에 관련된다. 이와 같이 하여, 스테이지의 누계(stackup)허용오차가 보상된다.
또 다른 교정은 도 11A의 마킹 헤드(19)의 주사 렌즈(35I)를 스테이지 좌표에 대해 교정하기 하기 위해 적용될 수 있다. 도 11J는 그 중 1 개가 522로 표시되어 있고 5 개의 +자선으로 마킹할 수 있는 흑색양극산화 디스크(521) 등의 소모가능한 부분을 나타낸다. 소프트웨어를 디스크(521) 크기의 일부분이어도 좋고 X-Y 스테이지는 디스크 및 마킹 빔의 상대적 위치 맞춤를 제공한다.
상술한 기본 단계는 장치에서는 만족 또는 바람직한 것이며, 마킹 성능은 실질적으로 깊이에 의존하지 않는다(큰 집점 깊이, 비교적 큰 레이저 스폿, 예시적 두께 약 775마이크론으로 휨이 최소의 비교적 작은 웨이퍼 등).
일 실시 예에서, 도 11A의 위치 맞춤 시각 하부장치(14)는 최초로, 예상 마킹된 웨이퍼를 사용하여 또는 정밀 그리드를 사용하여 교정된다(예를 들어, 각각은 교정 기준에 적합하다). 웨이퍼의 마크는 도 1의 +자선(522)에 유사의 기준 그리드를 포함할 수 있다. 하나의 실시 예에서, 웨이퍼는 2.5mm 간격, 그리드의 중심에서 특별한 패턴을 가지는 77*77어레이의 +자선(522)을 갖는다. 카메라 집속은 그리드 및 네스트에 대하여 행한 기계적 조정에 관하여 체크하는 것이 바람직하다(예를 들어, 콘트라스트 측정). 대안적으로, 포지셔너(예를 들면, 도 9A-9C)는 본 장치에 사용하는 경우, 깊이 또는 크기를 조절한다. 또한, 마킹된 교정 웨이퍼는 X-Y스테이지(18)가 교정된 다음의 교정 단계를 위해 사용된다. 이동범위 전체에 대한 초기의 X-Y스테이지 교정은 완전하게 수시간 걸리며, 교정정보는 교정 웨이퍼상에 +자선 또는 기타 적절한 타켓트를 화상화하여 기록된다. 본 실시 예의 제 3의 교정 단계는 마킹 필드 교정이며, 200mm 웨이퍼(또는 마킹될 최대 크기 웨이퍼)는 도 11J의 패턴과 유사의 패턴, 또는 적절한 밀도의 다른 패턴으로 마킹된다. 바람직하기로는 X-Y 스테이지는 앞에서 설명한 바와 같이, 마커의 교정 전에 교정된다. 다음에, 마크의 위치는 정밀 위치 맞춤 카메라, 또는 다른 시각 하부장치를 이용하여 측정된다. 예를 들어, 마크는 Optical Gaging Product(OGP)회사 제품의 시판의 Voyager 측정기라는 "오프라인" 정밀 측정 장치를 이용하여 측정할 수 있다. 마크 필드 교정이 생산환경에 있어서의 마커 조작의 일부로 주기적으로 반복되는 경우, 위치 맞춤 시각 하부 장치를 사용할 수 있다. 바람직하기로는, 위치 맞춤 하부 장치의 해상도 및 정도는 최소 마크 조절을 상회하게 된다.
워크피이스의 휨의 보상은 다른 동작거리에 대하여 동일한 스폿 크기를 유지하는 것을 필요로 할 것이다. 또한, 선폭, 문자 크기, 마크의 콘트라스트, 헤드 마크, 소프트웨어, 처리 능력 등의 다른 용도 파라미터를 만족시키기 위해, 레이저 빔 스폿 크기를 동작중에 변경할 필요가 높게 된다. 3차원 교정은 Z 축을 따른 복수의 마킹 위치에서의 교정을 야기한다. 그 결과, 레이저 미킹 필드 기능은 레이저 빔의 위치 정도를 유지하면서 레이저 빔의 작동 거리 및/또는 스폿 크기를 자동적으로 변경하기 위해 실시된다. 또한, 다른 용도 카메라를 만족하기 위해, 작동 중에 마킹 시각 장치의 시야 크기(FOB)를 변경할 필요성이 높아진다. 마킹 시각에 대한 3차원 교정에 의해 장치는 FOV 크기를 자동적으로 변경할 수 있고 동시에 시각 크기 정도를 유지할 수 있다.
하나의 구성에 있어서, 도 11A-11D를 참조하면, 제 2의 면상의 레이저 마킹 필드(24)에 대한 제 1의 면의 위치에 관련하는 2차원 교정 절차는 교정된 위치 맞춤 시각 하부장치(14)와, 미러(2)를 마킹한다(도 11b에서 95로 1개의 마크를 나타낸다)교정된 X-Y 스테이지(18)를 포함한다. 스테이지(18)의 교정 및 카메라 하부장치(14)는 아래에 나타나 있다(단계 1 및 2). 시험 미러는 레이저원과 마주하는 피복 표면에 대하여 소정의 작동 거리에서 위치 결정된다. 마킹 빔(93)은 미러(92)의 N*N 그리드(95)에 마킹을 행하도록 표면상의 복수의 위치 방향으로 향하게 된다. 도시의 실시 예에서, X-Y 스테이지(18)는 X, Y방향의 양방향으로 미러를 이동하기 때문에, 위치 맞춤 시각 카메라(13)는 미러의 피복되지 않은 표면(레이저원으로부터 반사측의 표면)으로부터 그리드상의 각각의 노드를 "볼"수 있다. 각각의 노드 좌표는 기록된다. 다음에, 교정 알고리즘 또는 록업 테이블은 좌료에 연관하여 생성된다.
삭제
본 명세서에 기재의 교정 방법은 "상면" 최상 및 "하면"마킹"에 한정되지 않는다. 본 프로세스는 척이 웨이퍼를 수직 위치로 유지하며, 마킹 및 조사 빔이 실질적으로 수평방향으로 되는 장치의 웨이퍼 마킹에 적용할 수 있다. 마찬가지로, 워크피이스는 하면으로부터의 교정 데이터 및 참조 데이터를 기초하여 상면으로 부터 마킹되어도 좋다. 마찬가지로, 본 프로세스는 분할된 위치 맞춤 서브 필드를 교정하는데에도 적합하여, 양자 모두 워크피이스의 단면을 커버한다.
다른 용도 파라미터를 위해 장치를 최적화하기 위해 1개 또는 그 이상의 마킹 설계는 작동 중에 조정 중에 필요로 하는 경우가 있다. 설정이 변경되어 장치의 정도에 영향을 주는 경우, 새로운 교정이 필요로 할 것이다. 3 차원 교정 프로세스는 다른 장치 설정에 관한 다층 교정 화일을 작성하기 위해 사용된다. 3차원 교정된 장치는 다른 설정 사이를 자동적으로 스위치할 수 있으며, 대응하는 교정 화일을 사용하여 소망의 성능 및 정도를 실현한다.
장치상의 다른 교정에 관한 3차원 교정을 실현하는 예시적인 방법을 아래에서 설명한다.
1. 레이저 빔 스폿 대 레이저 작동 거리: 시험 미러를 레이저 원에 대하여 다른 동작 거리에 상대적으로 배치하기 위해, Z스테이지(28), 및/또는 척(17)의 상대적 이동의 조합 및/또는 마킹 헤드(19)내의 광학 하부장치의 이동을 이용한다. 작동거리가 변화하면, 레이저 빔의 초점이 흐릿해져서 작동 표면에 다른 스폿 크기가 제공된다. 촛점이 흐릿해진 스폿은 특정의 워크피이스에서는 허용 가능한 마킹 물질을 제공한다는 것이 고려된다. 상술의 2차원 교정은 각각의 작동 거리에 대하여 반복된다. 결과적으로 작동거리에 대응하는 다른 스폿 크기에 대한 교정 알고리즘군 또는 록업 테이블 군이 생성된다.
2.레이저 빔 스폿 크기 대 레이저 빔 확대기 설정 집점 제어용 확대기, 즘 확대 제어 또는 그의 조합을 사용한다. 예를 들어, 고정의 작동거리에서 동작표면상에 다른 레이저 빔 스폿 크기를 얻기 위해, 도 4에 나타난 컴퓨터 제어식 확대기(49)를 사용한다. 레이저 빔 확대 및 초점의 여러 조합은 소망의 스폿크기를 얻기 위해 사용될 수 있다. 다음에, 상술의 2차원 교정을 각각의 빔확대기 설정에 대하여 반복한다. 결과적으로, 빔 확대기 설정에 대응하면 다른 스폿 크기에 대한 교정 알고리즘 및 록업 테이블 군이 생성된다.
3.레이지 빔 작동 거리대 레이저 빔 확대기 설정
집점 제어 확대기, 즘 확대 제어, 또는 이 조합을 사용한다. 예를 들면, 다른 다른 동작 거리 거리로 동작 표면상에 동일한 레이저 빔 스폿 수치를 얻기 위해, 도 4에 나타난 컴퓨터 제어식 확대기(49)를 사용한다. 작동 표면에 대한 레이저 빔집점은 일정하게 유지 하던가, 또는 동일한 스폿 크기를 유지하면서, 다른 확대 설정을 사용하여 다향하게 할 수 있다. 결과적으로, 빔 확대기 설정에 대응하는 다른 동작 거리에 대한 교정 알고리즘 군 및 록업 테이블 군이 생성된다.
4. 머신 시각 시야대 시각 렌즈/카메라 설정
작동 표면상의 다른 크기의 시야를 얻기 위해, 하부장치(14)의 시각 렌즈/카메라(13, 15)의 즘 및 초점 및 집점을 조절한다. 각각의 시각 렌즈/카메라 설정에 대하여, 교정 알고리즘 또는 록업 테이블을 반복하여 생성한다. 결과적으로, 렌즈/카메라 설정에 대응하는 다른 시야에 대한 교정 알고리즘 군 또는 록업 테이블 군이 생성된다. 다른 구성에 있어서, "소프트웨어 즘"기능은 가동부를 필요로하지 않고 사용가능한 작동 영역을 실현한다. 또한 다른 구성에 있어서, 디지털 방식 및 광학적 방식을 조합할 수 있다. .
바람직한 구성에 있어서, "휨" 또는 워크피이스의 젖혀짐의 존재하에서 거울을 지속하면서 시스템 파라미터(예를 들어, 레이저 빔 작동 거리 및 스폿크기)를 조정하기 위한 기능을 제공할 수 있다. 휨은 작은 스폿 크기, 특히 얇은 웨이퍼, 즉 워크피이스(예를 들면, 300㎛두께, 300mm직경)의 초점 깊이에 영향을 준다. 하나의 실시 예에 있어서, 위치 맞춤 시각 장치 (예를 들면, 제1면에 대하여 위치 결정된) 및 마커 좌표는 적어도 아래의 단계를 이용하여 교정할 수 있다.
단계 1
카메라 교정
정밀하게 만들어진 그리드 템플렛(도 11D에 도시)을 사용하여, 실제의 유닛에 대한 시야(25)에 걸쳐 정밀 위치 맞춤 카메라의 화소 크기를 교정한다. 이는 렌즈 장치의 기하학적 휨 및 다른 정적 에러를 보상하게 된다. 다른 실시 예에 있어서, 단일 "점" 타킷은 카메라 릴드를 통하여 평행이동시킬 수 있으며 시야(25)에 걸쳐서 정밀 기능이 제한된 스테이지를 제공하며, 추가의 교정시간이 요구되는 그리드가 요구되지 않는다.
단계 2
X-Y 테이블 교정
워크피이스 크기(예를 들면, 장치를 사용하여 처리된 최대 워크피이스)에 가깝거나 일치하는 정밀하게 만들어진 전 필드 크기의 그리드를 측정하기 위해, 정밀한 위치 맞춤 카메라 하부장치 및 X-Y스테이지(18)를 사용한다. 이 단계는 스테이지의 비선형성 및 비직교성을 포함하는 정적 에러(예를 들면, 허용오차 누계)를 보상하게 된다.
단계 3
마커 필드 교정
도 11C에 도시되어 있듯이, 레이저는 전 필드 필드(24)의 크기의 그리드를 미러(92)상에 마킹한다. 교정된 정밀 위치 맞춤 카메라(단계(1)로부터) 및 교정된 X-Y 테이브(단계(2)로부터)을 사용하며 마킹 필드(24)의 위쪽의 미러상의 그리드의 각각의 마크(95)를 측정한다. 이 단계는 레이저 주사 렌즈 및 검류계 장치의 기하학적인 왜곡 및 다른 정적 에러를 보상하게 된다.
삭제
단계 4
3차원 마커 필드 교정
웨이퍼의 휨 및 적혀짐을 보상하기 위해, 웨이퍼는 Z-축(26)을 따라서 복수의 레벨로 마캉된다. 다중 마커 필드의 교정이 요구되는 경우가 있다. 이 경우,(1)스테이지(18), (2) 카킹 헤드(19), 또는 내부 광학 부품, 예를 들면 도 4의 확대기 구성 요소 (3) 스테이지(28), 또는 (4) 척(17)의 1 개 또는 그 이상의 상대 이동은 마킹 빔과 그리드사이의 상대적 위치 결정을 행한다. 마킹은 Z-축에 따라서 복수의 소정의 레벨에서 행해진다. 각각의 레벨에 대하여 단계(3)는 반복된다.
단계 5
3차원 정밀 위치 맞춤 카메라 교정
여러 웨이퍼 두께를 보상하기 위해, 다소 다른 표면레벨로 정밀 위치 맞춤 카메라의 초점이 설정된다. 초점 일치 작동은 정밀 위치 맞춤 하부장치(1`4)의 Z-축에 따른 평행 이동 또는 렌즈 장치(15)의 조정 또는 이들의 조합을 포함할 수 있다. 마찬가지로, Z-축 스테이지를 사용하여 워크피이스를 평행이동할 수 있다. 다중 시각 필드 교정이 요구되는 경우가 있다. 이 경우, 정밀 위치 맞춤 카메라는 Z-축을 따라서 복수의 소정 표면 레벨로 초점이 모아지도록, 각각의 표면레벨에대해 단계(1)가 반복된다.
또한, 단계(4)의 기술은 다른 용도에 대하여 다른 스폿크기의 설정을 온 디 플라이(on the fly)로 가능하게 한다. 정도를 개선하기 위해, 각각의 교정 단계에 있어서, 본 기술분야에서는 공지의 여러 곡선 근사법을 적용할 수 있다. 또한, 마크 검출 카메라(20) 및 정밀 위치 맞춤 하부장치를 위치 결정하기 위해, 단계(5)의 기술을 적용할 수 있다. 예를 들면, 광학 중심선(29)은 셋업시에 개략 위치 결정될 수 있고 하부장치를 정확히 위치 결정하기 위해 교정 기술이 사용된다. 이들은 예를 들면, 대응하는 타입(시각 검사용)과 중첩하는 마크와 중첩하는 마크와 함께 검사된 마크를 표시할 수 있기 때문에 바람직하다. 스프트웨어는 특정의 용도를 위한 정확한 교정 파일을 선택하도록 프로그램된다.
색션2-특징부 검출 및 정밀 위치 맞춤
본발명의 양수인에 의해 제공된 GSI Lumonics WH4100에서, 정밀 위치 맞춤 시각 장치는 웨이퍼가 마킹 스테이션에 배치된 경우에 나타나는 회전 에러 또는 오프셋 에러(X, Y, 각도)를 보정한다. 수동 "수동학습 공구(teach tool)에 의해 유저는 보정에 사용되는 웨이퍼 상의 3차원 비선형 포인트를 인식하는 장치를 마킹할 수 있다. 오퍼레이터는 웨이퍼의 3개의 영역(예를 들어, 도 12의 전체 패턴(115)의 3개의 모퉁이)을 선택한다. 모델 4100 동작 동안 포지셔너는 웨이퍼 위쪽에 카메라를 설치하여 다이의 코너가 시각적으로 선택된다. 대화형시행 및 여러 조정을 수반한 웨이퍼 처리를 사용하여 영역의 "시각 모델"이 생성된다. 예를 들어, 조명의 조정은 콘트라스트를 향상시키기 위해 사용되기 때문에, 여러 측정위치에서 허용가능한 적합모델스코어가 얻어진다. 장치를 이용한 결과의 수동 평가가 필요하게 된다. 다음에, 모델 정보는 웨이퍼 하면의 마크 위치를 결정하기 위해 사용된다.
모델 4100 은"전 필드"의 이면 레이저 마커(예를 들면, 마커 필드는 웨이퍼 전체를 커버한다)를 사용하여 직경이 200mm까지의 웨이퍼를 처리하기 위해 사용된다. 그러나, 차세대 마킹 장치는 미세한 크기(예를 들면, 5mm)의 소형 다이 또는 패키지인 경우, 예를 들어, 최대 300mm의 웨이퍼의 마킹을 필요로 하게 된다. 또한, 더 작은 웨이퍼가 미리미터의 부분의 다이 사이즈로 장차 생성될 것이다.
도 1A 및 도 12A를 참조하면, 본 발명의 장치의 바람직한 실시 예에서, 다이 패턴의 레이아웃(115) 및 마크 위치 결정 (예를 들면, 제 1의 면으로부터의 참조 데이터)은 시각 장치를 이용한 웨이퍼(3) 전체의 회로 특징부의 패턴 마킹에 의해 감소되는 것이 바람직하다. 특정의 용도에 있어서, 해석될 영역의 수를 증가하여(3을 초과하여)추정을 개선할 수 있다.
예를들어, 도 12A는 매칭 프로세서에 사용될 수 있는 복수의 특징부를 예시한다. 회로 특징부는 다이(112)에 국부 기준점이 되도록 도시되어 있지만, 상보 접촉이어도 좋운 패드(5)를 포함할 수 있다. 고려되는 다른 특징부는 트레이스 에지 위치(7), 다이 단부(윤곽)(6) 및 코너(110)위치를 포함한다. 도 1D에 도시되어 있듯이, 유사한 정보는 다이 단부(6) 또는 그리드 어레이 볼 중심 위치(8)와 같은 상호 접속의 그리드 어레이부터 얻어질 수 있다. 컨트라스트가 높은 경우, 전술의 기술을 이용하는 것이 바람직하다. 그러나, 다이 단부와 주변 "스트리트(street)"사이의 위치(6)에서의 컨트라스트가 낮은 경우, 그리드 어레이 위치 또는 또는 특징부는 트레이닝용으로 선택할 수 있다 (예를 들면, 컨트라스트가 높은 경우). 유사하게, 장치는 각 다이의 사이에 간격(114)을 포함하도록 트레이닝할 수 있다. 복수의 다이사이에서의 표균측정 간격은 신뢰성이 높은 측정값이며, 이용가능한 "웨이퍼 맵"에 대하여 관련하는 것이 용이할 것이라고 예측된다. . 예를 들어, 평균 간격은 전체의 다이사이에서 측정하여 그 측정 결과를 평균화하여 얻을 수 있다. 패턴내의 다이 좌표와 마킹을 위한 관련 정보를 제공한다. 이와 같은 정보는 코너 부근의 다이 단부의 위치를 추정(최소 2이 근사)하던가, 또는 코너 위치 결정을 할 수 있다. 영역(116)의 그레이스케일 또는 2 값 화상에 적합시키기 위한 상관 수법을 사용하여 얻을 수 있다. 존재할 수 있는 다른 특징부는 국부 기준점(113)(만일 존재하는 경우), 또는 식별 마크(문자, 코드 등)를 포함한다. 이와 같은 특징부는 단독 또는 상술의 것과 조합하여 사용할 수 있다.
머신 시각 측정 및 패턴 식별의 당사자라면, 자동 교시수법에 사용되는 정보를 취득하기 위해, 다수의 도구를 사용할 수 있다는 것을 알 것이다. 예를들어, Cognex에 의해 제공된 AcuWin 시각 소프트웨어는 여러 내부 매칭 처리를 행하는데 적합하다. 또한, WO0161275에는 검사용 3차원 장치에 사용하기 위한 여러 자동 학습 알고리즘이 개시되어 있다.
일 실시 예에서, 트레닝 조작시에, 사전 위치 맞춤 스텝의 후에 웨이퍼는 장치 내에 장착된다. 다음에, 알고리즘은 웨이퍼 맵 정보에 기초하여 트레닝 용의 적어도 하나의 영역을 결정한다. 이 영역 정보는 흔히 웨이퍼 위에 휘어져서 단일패턴은 전체 웨이퍼에 적용된다. 장치는 교시 전에, 2차원 및 3차원 교정 프로세스를 이용하여 교정하는 것이 바람직하지 않지만, 통상 완전한 교정이 요구되는 것은 아니다.
도 2A를 참조하면, 웨이퍼(11)(도 1A의 3에 대응하는) 및 위치 맞춤 시각 장치(14)는 상대적으로 위치 결정되고, 영역을 관찰하도록 되어 있다. 특징부 검출 알고리즘이 실행되고, 최종적으로 다이( 및 이면 마크)에 관한 좌표 위치가 생성된다. 화상 특징부의 사이의 컨트라스트는 조명 조절 또는 초점 조정에 의하여 자동적으로 제어되어 능력이 개선되는 것이 바람직하다. 초점 제어 및 조명 제어는 머신 시각 측정 및 비접촉 광학 측정의 분야에 공지되어 있다. 프로세스는 각각의 영역에서 반복되는 것이 바람직하고, 후속 웨이퍼의 마킹을 위해 랭크된 결과로 선택된 여러 특징부에 관한 성능 통계 값을 얻도록 되어 있다.
도 12A는 전형적인 웨이퍼의 하부에서 노치(604)는 도 2A에 도시된 평탄부를 구비한 전면도를 도시한다. 런타임(run time)에 각각의 웨이퍼에 대하여 이 변형을 생성하기 위해, 위치 부착이 용이하여 웨이퍼 표면영역의 상당히 큰 부분에 미치는 최소 3점이 선택된다. 본 발명의 하나 이상의 실시 예에서, 양적 정보에 기초하여 계산할 수 있는 위치는 포인트 (다이 모퉁이-상부-좌측, 상부-우측, 저부-좌측 또는 저부-우측-및 다이 로우 및 칼럼 수와 같은)와 관련한다. 도 12A는 사용할 수 있는 예시적인 다이(602, 601, 603)를 도시한다. 각각의 포인트의 예상 위치는 이 정보에 기초하여 계산되고 XYZ스테이지의 운동에 실질적으로 정합하는 이론상의 다각형을 구축하기 위해 사용될 수 있다. 다음에, 다각형은 2개의 좌표계사이에서의 변환(예를 들어, 평행이동, 회전 및/또는 스테일)을 취득하기 위해 비교된다. 아래의 테이블은 임의의 소정의 부품 형성의 각각의 포인트에 대하여 이 형식의 임의의 웨이퍼가 장치에 의해 처리되기 전에 생성되는 기본 정보를 포함한다.
# 각각의 포인트에 대해 발생된 출력데이터
1 그 포인트에서 관련된 다이의 행열 번호
2 좌상, 우상, 좌하 또는 우하에 사용된 다이 코너
3 학습된 포인트위의 영역의 시각 모델
4 일차 좌펴계에서의 포인트의 좌표
정밀 위치 맞춤 트레닝 절차의 목적은 특정 부품 형식에 관한 이 정보를 생성하는 것이다. 아래의 테이블은 트레닝을 개시할 수 있기 전에 장치에 입력되는 부품 형식에 관한 바람직한 정보를 포함한다.
# 각각의 부분 형태에 대한 입력데이터
1 웨이퍼상의 실질 다이의 행열 번호
2 웨이퍼상의 다이의 X 및 Y 피치
3 X 및 Y다이 크기
4 웨이퍼의 크기
도 12A-12D를 참조하면, 각각의 포인트에 관한 출력 데이터 테이블에 나타난 정보를 생성하기 위해, 임의의 다이의 임의의 부분을 정밀 위치 맞춤 카메라의 시야 중심에 위치 맞춤하는 것이 바람직하다. 웨이퍼 상의 다이 패턴(115)의 위치 및 다이 패턴 좌표계(605)의 방향은 XYZ스테이지의 운동에 정합된 기점(607)을 갖는 주 좌표계에 관련한다.
이하의 3개의 정보가 요구된다.
a. 다이 패턴 경계 박스(606)의 좌측 단부의 주좌표계의 포인트(x1, y1)의 좌표(610).
b. 다이 패턴 경계 박스의 상측단부의 주좌표계의 포인트(x2, y2)의 좌표(611).
c. 주 좌표계(607)에 대한 다이 패턴 좌표계(605)의 회전.
이들 정보를 이용하여 주좌표계의 다이패턴 경계 박스의 좌상 코너(506)의 위치를 결정할 수 있다. 따라서, 다이 패턴 좌표계의 기점은 도시되어 있듯이, 상측의 die_pitch_y 및 좌측의 die_pitch_x이다. 공지의 다이 패턴의 좌표계의 위치방향을 이용하여 웨이퍼 상의 임의의 다이 위치에 대하여 스테이지를 이동시킬 수 있다.
다이 패턴의 좌단 및 상단에 따라서 2개의 실제의 다이 코너 위치를 결정하면, 시야내에서의 임의의 다이 위치를 위치 결정하기 위한 기능을 이용하여, 이들의 2개의 코너로부터 각각의 방향에 있어서의 최후의 다이를 구하여 검사이 행해진다(예를 들어, 상/하 및 좌/위의 검사). 이 알고리즘의 타킷 다이는 도 12A, 12B 및 도 1A에서 602, 602, 603이다. 각각의 포인트는 각각의 다이의 4개의 코너 중 하나로 택해진다. 각각의 코너를 포위하는 영역의 유니퀸스를 보장하기 위해, 다이(602)의 좌하 코너, 다이(601)의 좌 상 코너 및 다이(603)의 우상 코너가 선택되게 된다.
시각 모델은 각각의 코너의 주위의 영역에서 생성된다 (4개의 근접하는 전체의 다이 위치의 적어도 일부를 포함). 이 모델은 도 12A의 모델에 대응하는 여러 특징점을 포함할 수 있다(예를 들어, 코너나 단부 등). 이 부품의 타입의 모든 웨이퍼의 처리의 실생시에 이용되도록, 3개의 포인트 전체에 관한 데이터는 이후의 검사을 위해 부품 형식별로 격납되고 이 부품 형성의 웨이퍼를 처리하기 위해 런타임(run time)시에 사용된다.
반자동 또는 자동 트레닝 알고리즘을 실행하기 위해, 여러 옵션을 사용할 수 있다. 예를 들면, 웨이퍼 전체에 걸쳐 추가의 다이를 선택할 수 있고, 추정값을 높이기 위한 최소 2승 근사를 행할 수 있다.
정밀 위치 맞춤 프로세스 전체는 반자동식이어도 좋지만, 다이 피치 자동 측정 알고리즘을 사용하면 정도가 향상한다. 예시적으로, 프로세스는 웨이퍼를 네스트에 이동시키는 웨이퍼 운송 공구로부터 개시하여도 좋다. 유저 인터패이스 및 표시부에 의해, 오퍼레이터는 도 11A의 웨이퍼 스테이지(18)(대안적으로, 웨이퍼를 고정된 채로 마킹 헤드를 )를 이동하여 다이를 웨이퍼 중심에 위치 결정할 수 있다. 예를 들면, 도 12C에 도시된 패턴과 유사한 패턴이 선택되고, 이는 위치 맞춤 프로세스를 위해 사용될 수 있다. 웨이퍼 부의 화상은 표시되고, 예를 들어, 다이의 하부 코너의 특징점이 식별된다. 교시용의 선택 영역은 자동인식 및 본 발명의 양도인에 이해 이전에 제안된 WH4100 장치에 이용되도록 조절된 조명을 평가할 수 있다. Cognex회사의 AcuWin 시각 소프트웨어의 시판의 패턴 인식 소프트웨어를 사용할 수 있다.
하나이상의 실시예에서 다이피치는 적어도 제 2 및 제 3의 위치 맞춤 위치 또는 좌표를 변환하기 위해 사용되는 3개 이상의 위치(601, 602, 603)의 설정전에 측정된다. 이 오퍼레이터는 다이의 적절한 횡렬을 특정하고, 또한, 다이의 좌하 및 좌상이라는 다이 코너를 특정하기 위해, 스테이지를 위치 결정하여 웨이퍼를 관찰할 수 있다. 이 후, 스테이지는 다음의 다이로 제 1의 방향의 다이 피치가 측정되는 특정의 코너 위치에 이동할 수 있다(예를 들면, 대화형식으로).
바람직하기로는, 추정은 웨이퍼를 행렬에 따라 힁 운동시켜, 사용가능한 다이를 인식하고, 패턴 인식 알고리즘을 사용하여 다이의 특정 부(예를 들면, 코너)를 확인하여 추가의 데이터를 얻기 위한 프로그램을 사용하여 개선된다. 데이터는 각각의 행 또는 열에서 취득될 수 있고 여기서는, 유용한 데이터가 입수 가능하던가 또는 크게 증가한다. 평균 간격이 추정된 웨이퍼 맵과 관계한다.
본 발명의 장치에 있어서, '이용의 용이함' 및 최소한의 유저 개입이 유리한 개량 점으로 고려된다. 예를 들어, 오펴레이터 입력은 선택 다이의 코너가 사용될 수 있는 최상의 열에 있다는 것이 검증될 수 있다.
패턴 매칭을 위한 추가의 위치가 선택되고, 스테이지가 위치 결정되어, 정확한 패턴 인식 소프트웨어의 동작을 검증하기 위한 시험이 행해 진다.
색션 3 워크피이스의 척/포지셔너
제 1의 면의 데이터에 기초하여 제 2의 면의 마킹을 행하는 용도를 위해, 여러 형상의 워크피이스를 파지하여 유지하는 것이 바람직하다. 마찬가지로, 적절한 구성은 일반의 양면 레이저 처리 및/또는 검사 처리에 적합할 수 있다.
일반적으로, 하나의 워크피이스의 포지셔너는 워크피이스를 상대적으로 위치결정하기 위해 설치되고, 여러 특정 수치의 워크피이스를 지지하여 위치결정하도록 되어 있다. 이 구성에 의해, 방사 빔을 큰 동작영역에 걸쳐 워크피이스의 제1의 면 및 제 2의 면에 직접 조사할 수 있다. 또한 픽셔(fixture)에 부착으로 인한 워크피이스의 손상이 회피된다. 또한, 바람직한 구성에 의해, 로벗 구동 단부 에팩터는 척을 이동시키지 않고 워크피이스를 장진할 수 있다.
하나 이상의 실시 예에 있어서, 단부 처킹(chucking) 및 집점 일치를 위한 방법 및 장치에서는 여러 직경 및 두께의 부품 시장을 마쳐 실리콘 웨이퍼 및 블랭크 실리콘 웨이퍼가 사용된다. 또한, 이 방법 및 장치는 예를 들면, 방사 빔이 워크피이스의 양면을 조사하는 미세기계 가공 프로세스의 다른 용도로도 사용할 수 있다.
도 7A-7D는 포지셔너의 4개의 면(상부, 단 및 측면도 7A-C, 및 사시도 7D)를예시한다.
척 장치는 여러 수치의 워크피이스를 지지하고, 1자유도 또는 그이상의 자유도의 워크피이스를 정밀하게 위치 결정하기 위한 1개 또는 그 이상의 포지셔너를 포함한다. 이 척 장치는 도 2A의 X-Y 평행 이동 스테이지(18) 또는 기타 장치 구성 부품과 기계적으로 연결되어 있다. 더 7C의 측면도를 참고하면, 포지셔너는 제 1의 축 구동 장치(55)이다(리니어 스텝퍼 모터가 표시되어 있다). 구동장치는 아래의 여러 방법으로 실현할 수 있다는 것을 이해할 수 있을 것이다. 1. 공기 실린더의 위치 개 루프 시스템. 2. 리니어 스탭퍼 및 가이드 등의 다위치, 폐루프 장치. 공기 구동 방식은 최소 비용의 대안일 수 있지만, 위치의 자유도가 적지않다. 축 구동 장치는 제 2의 수직선(또는 법선)축( 마찬가지로, 여러 방식으로 실현할 수 있다)을 정확한 위치에 위치 결정하여 워크피이스를 보지하기 위해 사용되는 각 축사이의 링크(52)는 연결을 행한다. 제 2 법선 또는 수직선 축 구동 장치(54)는 마킹, 검사, 또는 다른 빔에 초점이 맞추어져서 이들 빔이 방사되는 워크피이스를 정확한 높이 및 방향( 예를 들어, X-Y-Z 좌표계의 평면)에 위치 결정하기 위해 사용된다. 제 2의 축구동장치(54)( 리드 스크루 및 리니어 가이드 레일(58)을 갖는 회전식 스텝퍼(57)에는 보지 또는 "척킹" 기구(51)가 부착되어 있다. 예시적으로, 도7C의 워크피이스 클램핑 기구는 클램프 암(59)을 갖는 회전식 액츄레이터이다. 대안적으로, 이 장치는 진공식 및 압입 식 기구 클램프(예를 들면, 공기 회전식 액츄레이터 및 지지 베이스)의 임의의 조합이어도 좋다. 임의적으로, 지지 베이스(53)는 위치 결정 후에 조사 및 검사된 워크피이스르 보지하기 위해, 진공 포트,또는 진공 장치는 가지지만, 클램프 장치를 갖지 않는 베이스를 구비할 수 있다. 도 7C에는 진공 포트가 없는 워크피이스 지지 베이스(53)가 나타나 있다. 도 7D의 경사도는 지지 베이스의 형상을 나타낸다.
워크피이스 포지셔너(예를 들어, 서브 장치를 위치 결정하는)는 도 8A-8D에 도시되어 있는 것처럼 구성되어 있으며, 각각이 상술의 구송을 갖는 2개의 포지셔너(62, 63)를 사용하여 직사각형 워크피이스(61)를 유지하여 조정하도록 되어 있다.
폐쇄 루프 선형 스텝퍼 또는 서보에 의해 구동되는 3개의 포지셔너를 필요로 하지 않지만 이들로 제한 되지 않는 척구성은 대부분의 워크피이스를 유지하는 바람직한 방법이다. 도 9A-9C는 3개의 포지셔너(66, 67, 68)를 및 예시적인 원형 워크피이스(64)의 위치를 나타내고, 3개의 포지셔너의 각각은 상술의 구조이어도 좋고, 원형 워크피이스는 실리콘 워크피이스(예를 들어, 직경이 100, 200 또는 300mm)이어도 좋다. 예를 들어, 웨이퍼는 반도체 제조 프로세스에서 사용되는 로벗 장치 기구의 구성 부품인 단부 에펙터(69)에 의해 이송된다.
동작시, 컴퓨터 프로그램의 제어에 의해 워크피이스는 워크피이스의 폭에 일치시키기 위해 제 1의 축구동 장치를 이용하여 서포트(53)사이의 거리를 조정하여, 장착된다. 적어도 높이가 바람직하기로는 자세(attitude)가 추가의 축을 이용하여 제어된다. 이것은 다른 장치 구성요소와 조합하여 사용되는 경우, 일반적으로, 적어도 5개의 조정 축(예를 들어, X, Y, Z, 롤 및 피치)을 제공한다. 더구나, 조정은 동적이어도 좋고 또는 아이드링 기간에 행해질 수 있다.
색션 4-레이저 파라미터 및 마크 품질
고 컨트라스트, 기계 판독 가능한 마크 및 지정 영역 (예를 들어, 길이, 폭 및 깊이에 의해 특정된)에 있어서 고속을 실현하는 것이 바람직하다. 또한, 공업규격에 순응하면, 손상을 방지할 수 있지만, 그렇지 않으면, 물품(예를 들면, 반도체 디바이스)의 기능 또는 작동에 악영향 준다.
도 10A는 여러 고속 워크피이스(77)제조 용도에 적용할 수 있는 실시 예를 나타낸다. 출력 파장이 1.064㎛인 Q스위치된 바나데이터(Vanadate) 레이저(71)로부터 발생된 펄스는 에너지를 효율을 좋게 워크피이스에 결합하기 위해 파장 시프터(72)에 의해 짧은 파장으로 시프트된다. 웨이퍼 마킹을 위해, 주파수 배가 수정은 약 532nm의 출력파장을 발생하게 된다. 광학 스위치(73)는 일반적으로는, 음향-광학적 변조기이며, 필요에 따라서, 펄스가 워크피이스(77)에 도달할 수 있도로록 컴퓨터 제어되다. 스테이지(79)에 탑제된 워크피이스 및 X-Y 검류계 디플렉터(75)의 이동은 컴퓨터에 의해 조정된다. 본발명의 양수인에 의해 양도된 미국특허 5,998, 559 및 6,300,590호는 반도체 메모리 수선에 적용될 때 고속 광학 스위치를 이용하여 요구시 펄스 제어 기술에 관계하는 여러 특징을 개시한다. 약 .3㎛의 빔 위치 결정 정밀도는 무진 이동식 반도체 링크에 대하여 실현된다.
바람직하기로는, 레이저 출력은 금속을 기반으로 한 기판을 처리하기 위해 1064nm의 Neodymium Vanadate 레이저으로 부터 발생된다. 출력 주파수는 비금속 기판(예를 들어, 실리콘 또는 갈륨 비화물)에 대하여 532nm까지 2차 고조파 발생기(72)를 사용하여 두배로 할 수 있다.
본 발명을 실행할 때, 펄스 에너지를 제어하기 위해 일련의 펄스를 사용하여"온디멘드의 마킹"( marking on demand)을 행하기 위해 펌프 다이오드 출력을 제어(펄싱)한 여러 변형 예를 사용한다. 미국특허 5,854,805호는 워크피이스 처리에 적용된 위의 방법이 설명되어 있다. 펌프, q스위치 및 광 스위치 제어의 여러 조합은 에너지 출력의 제어 및 신뢰성의 향상등에 유용하다.
마킹에 대한 바람직한 실시예에서, 텔리센트릭 렌즈(76)와 광 서브 장치(74)는 스폿 크기와 분포를 제어하기 위해 사용되며, 컴퓨터 제어하에서 스폿 크기와 집속 위치를 변경하기 위한 광학 부품을 포함하는 것이 바람직하다.
레이저 마킹의 용도에 있어서, 반복률( 및 대응하는 일시적인 펄스 간격), 펄스 폭 및 출력 에너지를 포함하는 한 세트의 예비결정된 펄스 특성을 갖는 것을 포함한다.
스위치(73)에 의해 게이트 제어되거나 또는 다른 방법(펄스의 "버스트" 또는 "스티링"이어도 좋다)으로 제어된 선택 펄스는 미러(75)의 마킹 필드내의 웨이퍼(77)표면의 제 1의 소정 마킹 위치를 조사한다. 스테이지(79)는 하나의 스탭이어도 좋고, 워크피이스가 마킹 필드(예를 들면, 도 2A의 경우에는 "제 2의 면"으로 표시)보다 큰 경우에는 반복적으로 스테이지가 사용된다. 도 10B를 참조하면, 레이저 펄스는 기계 판독 가능한 마크(781)을 마킹 위치에 생성하는데 충분한 깊이의 범위 내지 웨이퍼 표면(예를 들면, 실리콘)에 관통한다. 피크 에너지 및 펄스 폭 등의 펄스 성능을 제어하여 관통(782)의 깊이를 제어(1/e의 에너지 레벨에서 측정할 수 있도록)함으로써 웨이퍼에 대한 손상이 방지된다. 관통(784)가 깊이지면, 클랙(crack)이 발생된다. 바람직한 장치에 있어서, 532nm 레이저 에너지는 전형적인 실리콘 기판에 있어서, 10㎛의 최대 깊이로 흡수된다. 이 제어에 의해 세밀 크랙킹(783) 및 다른 기판 내부의 유해한 영향(예를 들면, 기포)이 방지된다. 조사 스탭은 복수의 마킹 위치에서 반복된다.
충분한 컨트라스트로 마킹을 생성하는 펄스폭은 약 10-15nsec까지 범위내에 있는 것이 바람직하다.
실리콘 웨이퍼에 고품질의 마크를 생성하는 표면에 입사하는 에너지/펄스는 0.00023-0.00023주울(예를 들어, 230-250마이크로 주울)의 범위에 있는 것이 바람직하다.
바람직하기로는, 마킹 속도는 레이저(71)의 비교적 고속의 소정 펄스 주파수를 사용하여 웨이퍼 표면(77)에서 높은 선속도까지 개선되는 것이 바람직하다. 예를들어, 약 15-30KHz의 반복 속도 예들들어 25KHz의 반복률은 근 자외선 및 녹색파장까지 사용되는 종래의 웨이퍼 마킹 장치에 대하여 대폭적인 개선을 제공한다. 약 30-35㎛의 바람직한 스폿 크기에 의해, 150mm/sec보다 큰 마킹 선 속도는 이전의 웨이퍼 마킹 장치에 대한 상대적인 개선이다. 약 350mm/sec의 속도는 바람직한 레이저 펄스 특성을 갖는 장치에 이용되는 것으로 기대된다. 고 반복률에서의 낮은 반도체 레이저 출력은 이전을 특정을 제어하며, 마크 품질르 제한하는 표면상의 스폿 분리가 관찰되었다.
레이저 펄스는 스폿직경에 집속되어 소정의 범위내의 에너지 밀도를 발생한다. 한쌍의 기계적으로 판독가능한 마크사이의 최소 거리는 광학 부품(74)을 사용하여 스폿 직경을 제어하여 짧게 할 수 있다. 이러한 구성은 74내에서 또는 바람직하기로는 컴퓨터 제어하에서 제거가능하고 부착가능한 다른 광학 부품(예를 들어, (도 4 및 도 6에 도시되어 있듯이) 내에, 즘 빔 확대기를 포함할 수 있다. 일반적으로, 마크의 선폭 및 컨트라스트를 제어하기 위한 스폿 크기 조정기가 바람직하다. 약 30에서 35㎛의 범의의 스폿 직경과 약 220mm-250mm의 워크피이스에 대한 작업 거리는 동작의 예시적인 범위를 나타낸다. 더 작은 스폿 크기는 전의 마킹 장치에 비하여 더 높은 마크 밀도를 발생하는 향상된 능력을 제공하고 펄스 특성에 의해 높은 속도가 제공된다.
실시콘 웨이퍼의 이면의 마킹의 결과는 백그라운드에 대하여 충분한 컨트라스트를 갖는 기계 판독 가능한 마크(781)를 생성하기 위해 마크의 깊이는 약 3-4.5㎛의 마크 범위의 깊이를 도시한다. 이 결과는 더 큰 흡수 깊이가 요구되는 기대에 역행한다. 이 결과는 손상을 방지하기 위해 부가적인 마진이 제공되었다.
도 14는 마크(950)의 평면도이며, 여러 레이저 파라미터를 갖는 평균 마킹 깊이(951)의 측정 변동을 표시한다. 아래의 테이블의 높이는 용융에 의해 제거된 물품에 기인하는 마크의 측면 상의 물품(952)를 나타낸다. 평균 깊이의 변동은 간섭계를 사용하여 측정되며 여러 마킹 속도에 있어서의 레이저 출력 및 반복률의 예시적인 특성을 나타낸다. 100% 정격에 의해 최고 성능을 추정할 수 있다. 아래의 데이터가 얻어졌다.
레이저 출력 (% 최대 정격) 표시속도 (KHz) 마크속도 (mm/sec) 평균마크 높이(㎛) 평균마크 깊이(㎛)
80 20 120 4.36 -4.75
80 20 200 4.53 -4.43
80 20 300 4.65 -5.61
100 10 120 3.58 -5.40
100 10 200 3.41 -4.33
100 10 300 3.64 -2.90
100 20 120 4.08 -9.91
100 20 200 3.58 -6.45
100 20 300 3.55 -4.35
마크의 또 다른 해석에 의해 약 3-4.5㎛의 범위에 걸친 기계적인 판독가능성에 대하여 충분한 컨트라스트가 나타났다.
10KHz의 반복률로, 약 120mm/sec의 마킹속도로 마킹된 웨이퍼의 간섭 주사를 행하였다. 깊이가 약 9㎛이상에서의 구조적 변동에 기인하는 선단이라고 하는 데이터(spiky date)에 의해 예시되었듯이 심한 크랙킹이 실증되었다. 또한, 주사는 약 4㎛의 최대깊이에서 양호한 결과를 얻었다.
시프트 파장은 워크피이스 재료의 흡수 에지 아래이지만, 532nm로 제한할 필요는 없다. 파장은 본 발명에 따라 마킹을 행하기 위한 실리콘의 에지(1.12㎛)보다도 실질적으로 낮은 것이 바람직하다.
적절한 레이저는 적외선 출력이 약 6와트, 녹색출력이 약 3와트의 시판이 다이오드 주입(DPL) Nd:YAG 레이저를 포함할 수 있다. 고가이지만, 적외선출력이 10와트, 녹색출력이 5와트의 10와트(W)DPL 레이저이다. 바람직하기로는, 광학 장치는 손실을 최소화하기 위한 고효율 광학 부품을 포함할 수 있다.
바나데이트(Vanadate) 레이저는 실리콘 웨이퍼를 마킹하는데 이용하는 것이 바람직하지만, 본 발명을 수행하는데 반드시 필요한 것은 아니다. 바람직한 펄스특성은 전체의 사양(예를 들어, 빔 품질, 안정성)을 만족하면, 다른 설계로 수행될 수 있다. 예를들어, 파이버 광 증폭 장치(예를 들어, 마스터 발진기 전력 증폭기)는 비교적 높은 속도로 짧은 펄스를 발생하기 위해 사용할 수 있다. 반복률은 비교적 느리지만, 충분한 출력을 갖는 파이버 레이저를 포함하는 반도체 레이저는 레이저 장치의 반복률을 높이기 위해 지연선 및 빔결합기를 갖는 "펄스신장기"라고 할 수 있다.
색션 5-정밀 텔리센트린 렌즈
정밀 레이저 마킹 및 매립 레지스터 트리밍이라고 하는 다른 유사의 물품 처리 용도에 있어서, 비교적 큰 3차원 필드에 걸쳐서 정미 스폿 배치를 유지하면서, 마크(또는 커프(kerf))의 폭 및 컨트라스트를 제어하기 위해, 정미한 스폿 크기를 생성할 필요가 있다. 예를 들어, 300mm 웨이퍼의 다이 크기는 다이의 범위 내에 형성된 마킹 영역이 엄격히 제한되며, 약 1mm 이상의 범위에 있을 수 있다. 약 300mm스폿 크기는 높은 컨트라스터의 마킹을 생성할 수 있지만, 초점 심도는 이전의 마킹 장치의 초점 심도의 약 1/4 이하이다. 얇은 웨이퍼의 경우, 휨은 초점 심도의 중요하 부분으로 되는 경우도 있기 때문에, 3차원 스폿 크기/스폿 위치의 검토는 유용하다.
비 텔리센트린 주사의 레이저 장치에 있어서, 워크피이스 평면에 있어서의 스폿 위치 에러는 깊이에 의해 변화하며, 장치의 정도를 현저히 열화시키는 경우가 있다. 이와 같은 z축 에러는 워크피이스의 경사, 비 초점, 휨, 비틀림 또는 이상 타킷 평면으로부터의 어떤 편차의 결과일 수 있다. 주사 빔의 비수직 입사각에 대하여, Z 연결은 개략적으로, 수직 입사각으로부터의 편각 x 국부 z에러이다. 바람직하기로는, 텔리센트릭 주사 렌즈는 마킹 렌즈를 필드상에 집속하기 위해 사용된다. 레이저 주사 분야에 있어서는 잘 알려진 텔리센트릭 주사 렌즈는 워크피이스에 대하여 대략 수직 값의 빔 입사도를 유지하며, 결과적으로 z 연결을 최소로하여 x 및 y 위치 에러를 최소로 하기 위해 사용된다. 또한, 필드에 대한 각도의 대략적인 불변성은 반사에 의한 방사광의 동축 검출을 가능하게 하는 등의 다른 이점을 제공한다. 동 축 검출은 비점 수차 검출이라는 초점 위치를 결정하기 위한 많은 공지의 방법과 함께 사용될 수 있다.
일차 렌즈 특성을 고려하여, 렌즈의 전면 초점면에 주사 기준을 배치하면, 텔레센트릭 주사가 제공된다. 실질적으로는 렌즈 설계에 있어서는 완전한 텔리센트릭으로부터 이탈된 비선형 에러가 존재한다. 주사 렌즈 설계의 당업자는 각각의 렌즈 부품을 수정함으로써, 및/또는 렌즈 설계에 다른 부품을 추가함으로써 이들 에러의 보정이 이루어질 수 있다는 것이 이행되고 있다.
일반적으로, xy검류계 주사 장치는 도 4에 도시되어 있듯이, 2개의 주사 미러를 가지고 있다. 물리적 간섭 및 빔 폐쇄를 방지하기에 충분한 거리는 미러를 분해한다. 미러의 분해에 의해, 각각의 축에 대해 벼개의 주사 기준이 생기기 때문에, 양축이 렌즈 전면의 초점면에 위치결정되는 것이 방지된다. 초점 면은 중간 위치에 위치하는 경우가 많다. 이에 의해 미러의 위치 및 렌즈의 초점거리에 기초하여 필드 존재의 다른 텔리센트릭 에러가 생긴다. 텔리센트릭 에러를 보정하기 위해, 예를 들어, 인용에 의해 명세서에 편입되어 있는 굿멘의 미국특허 제4685775호에 설명된 것과 같은 여러 방법을 이용할 수 있다. 빔의 행 이동 장치는 보정을 개선한다.
필드의존 에러에 관하여, 워크피이스에 서의 에러를 감소하기 위해 필드의 일부가 선택된다. 예를들어, 필드의 작은 중심부가 사용되며, 개선된 텔리센트릭에 의해 물품이 처리된다. 렌즈의 전부 집속면 가까이에 배치된 1개의 주사미러에 관하여, 이 미러에 의해 어드레스 지정된 제 2 축보다도 양호한 텔리센트릭을 제공하게 된다. 이 경우, 텔리센트릭이 개선된 필드의 일부분은 예를 들면 직사각형 필드인 제 1의 축을 따른 큰 수치와, 제 2 축의 작은 크기를 이용하여 선택될 수 있다. 직사각형 필드를 사용함으로써 제 1의 축은 정방형 필드의 에지보다도 큰 것을 고려하였다. 필드의 일부를 선택하면, X-Y검퓨계 디플렉터의 열적 드리프트의 필드 존재의 다른 에러를 감소할 수 있다. 예를 들면, 빔 위치 결정 에러를 감소하기 위해, 각각의 검류계의 오프셋 트리프트에 의해 이득 드리프트가 부분적으로 경감된 필드의 4분 원을 선택할 수 있다.
렌즈 관찰을 사용하는 실시 예에 있어서, 일반적으로, 주사 렌즈는 처리 파장 이외의 파장에서 타킷을 화상화할 필요가 있다. 관찰성을 개선하기 위해 설계상칼라 보정 부품을 이용할 수 있다. 렌즈 관찰용 칼라 보정의 텔리센트릭 주사 렌즈는 공지되어 있고 예를 들면, 시판의 GSI 루머닉(Lumonic) 모델 W672 레이저 트리머가 있다.
대규모 웨이퍼 및 유사의 용도의 정밀 레이저 마킹의 적절한 실시 예는 도 13A에 도시되어 있듯이, 3부품의 텔리센트릭 렌즈(990)를 포함한다. 이 렌즈의 유효초점 거리는 532nm에서 155mm이며,80mm 평방의 주사 필드에 걸쳐서 30마이크론 스폿를 형성할 수 있다. 미보정으로 공간을 두어 배치된 미러의 텔리센트릭 에러는 약 2도이다. 도 13B 및 도 13C에서는 두 개의 직교 축에 대한 텔리센트릭 에러(991, 992)를 도시한다. 이 두개의 경우 에러는 비선형으로 변동한다. +-300㎛의 웨이퍼 휨에 대응하는 깊이 범위에 걸쳐 최악의 경우의 스폿 배치 에러는 약 +-13㎛이고 1개의 스폿의 직경보다 작다.
3차원 허용오차가 장치 성능을 결정하는 정밀한 마킹 장치에 있어서, 렌즈계의 스폿 배치 정도는 3차원 보정을 위한 방법을 포함함으로써 유지되게 된다. 하나의 실시 예에서, 웨이퍼는 워크피이스 포지셔너를 사용하여 배치되기 때문에, 최적 평면(웨이퍼상)은 마킹 헤드에 대하여 수직으로 위치 맞춤된다. 다음에, 위치는 도 13A의 텔리센트릭 광학계의 최적한 초점위치에 대하여 결정된다.
빔포지셔너는 특징부 및 격납된 교정데이터에 기초하여 방향이 지향된다.
본 발명의 하나 이상의 실시 예는 종래의 비 텔리센트릭 주사 렌즈와 비교할때 텔리센트릭이 개선된 정밀 주사 렌즈를 포함할 수 있다. 하나의 예에서, 위크리이스 위치에서의 최대 입사각은 주사 렌즈 입구 동자(pupil)상의 빔 입사의 최대각의 약 1/2보다 작을 것이다. 다른 예에서, 워크피이스에 대한 최대 편각은 약 10도미만으로 제한될 것이다. 이 형식의 주사 렌즈는 작을 것이며 큰 텔리센트릭 주사 렌즈보다 복잡하지 않을 것이다. 즉, 텔리센트릭이 개선된 정밀 주사 렌즈는 워크피이스의 높이 변화 대한 마킹 정도 개선레벨과 감소한 렌즈 크기 및 복잡성과 코스의 양쪽에 관한 설계사의 타헙점을 제공하기 위해 사용될 수 있다.
색션 6 전면 다이 위치결정을 이용한 이면 마크의 시각 주사
특정의 이면 웨이퍼 마킹의 전술의 버젼에 있어서, 이면의 특징점을 관찰할 수 있도록 웨이퍼의 "백라이트"에 적외선 광원을 사용하였다. 긴급히 회로의 정밀도가 진행하고 있기 때문에 "헤드라이트" 수법은 미래에 항상 가능한 것은 아니다.
웨이퍼 이면에 마크를 형성하기 위해 사용된 웨이퍼 마킹 장치의 하나의 실시 예에서, 주사 특징은 마크 및 다이의 위치 결정된 표시부를 포함한다. 바람직한 실시 예에서, 검사 특징은 한쪽은 웨이퍼의 위치 쪽에 있고, 다른 쪽은 웨이퍼의 아래쪽에 있는 2 개의 카메라를 사용한다. 도 2A는 중심선(29)에 따라서 마크 검사 장치(20)와 위치 맞춤 된 정밀 위치 맞춤 시각 장치(14)의 카메라(13)를 표시한다. 대응하는 저면 및 후면 웨이퍼부 사이에, 예를 들면, 셋업 시에 수동조정을 이용하여 만족할 수 있는 정도의 화상 매칭을 행할 수 있다. 다음에, 정도를 높이기 위해 장치 교정을 사용할 수 있다.
장치의 하나 이상의 실시 예에서, 장치는 상측 카메라 장치에 대하여 하측 카메라 장치(20)를 교정한다. 카메라는 고정 위치에 있는 것이 바람직하다. 하나 이상의 카메라는 수동 조정가능한 즘렌즈를 갖을 수 있다. 1개의 구성에 있어서, 2개의 카메라사이에 표면이 투명한 교정 타킷을 배치한다. 화상은 2개의 카메라에 의해 얻어진다. 예를 들면, 코그넷 아엔씨로부터 상업상 이용가능한 공구인 패턴 매칭 소프트웨어르 사용하여 보정 오프셋, 각도 및 스케일을 계산하며, 하측 카메라의 화상을 상측 카메라의 화상에 위치 맞추어서 화상을 증첩시킨다. 도 17A는 교정 타킷을 나타내며, 이 화상은 오프세트, 스테일 및 회전에 의해 변화한다. 기타 여러 시판의 또는, 특별 주문의 타킷이 사용될 수 있다. 평행 이동, 스케일 및 회전 보정(좌표 축의 반전을 포함하는)은 소프트웨어로 자동적으로 결정된다.
검사시에, 상측 카메라는 웨이퍼 전면의 다이의 화상을 얻기 위해 사용된다. 하측 카메라는 웨이퍼 이면의 마크의 화상을 얻기 위해 사용된다. 2개의 화상의 좌표계를 중첩하여 해석을 하여 다이에 대한 마크의 정도를 구할 수 있다.
검사 시에, 이 교정 데이터는 마크 화상에 적용된다. 패턴 매칭 또는 OCR 소프트웨어를 사용하여 다이의 위치에 대한 마크의 정도를 구할 수 있다.
이 특징은 전면 및 이면 웨이퍼 마킹에 한정되는 것이은 아니고, 임의 2개의 면 또는 분해된 필드에 적용할 수 있다는 것을 이해할 수 있을 것이다.
마크의 검사는 온라인 또는 오프 라인으로 행해 질 수 있다. 검사는 다이의 무작위 표본 또는 100% 검사를 포함할 수 있다. 하나 이상의 실시 예에서, 오퍼레이터는 도 17B에 도시된 적어도 다이의 일부에 대응하는 이면 화상 내에 타킷(900)의 영역을 설정할 수 있다. 도 17C에 도시되어 있듯이, 오퍼레이터는 대상 영역(901)을 조정하여 웨이퍼 맵으로부터 또는 다이 사이의 미조정에 의해 임의의 소정의 행하여 얻는 것이 바람직하다. 전형적인 마크는 다이 영역의 50-60%를 점유하지 만, 최대 80%까지 가능하다.
본 발명을 수행하는 최상의 형태가 상세히 설명되었을 지라도 본 발명의 청구범위내에서 여러 수정과 변경이 가능하다는 것을 당업자는 알수 있을 것이다.

Claims (135)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 삭제
  84. 삭제
  85. 삭제
  86. 삭제
  87. 삭제
  88. 삭제
  89. 삭제
  90. 삭제
  91. 삭제
  92. 삭제
  93. 삭제
  94. 삭제
  95. 삭제
  96. 삭제
  97. 삭제
  98. 삭제
  99. 삭제
  100. 삭제
  101. 삭제
  102. 삭제
  103. 삭제
  104. 삭제
  105. 삭제
  106. 반도체 웨이퍼(3, 11, 143)의 마킹 장치(100)에 있어서,
    (a) 레이저 마킹 필드(1, 24)를 웨이퍼에 대하여 횡 방향에 따라서 위치 결정하는 제 1 위치 결정 하부 장치( a first positioning subsystem)(17, 18) , (b) 위치 맞춤 시각 하부 장치(alignment vision subsystem)(14); (c) 상기 마킹 필드 내에 마킹 빔(141, 93)을 사용하여 위치를 마킹하는 레이저(71)를 포함하는 레이저 마커(laser maker)(147, 19)와; (d) 상기 장치의 하나 이상의 하부 장치를 교정하는 교정 프로그램과, (e)컨트롤러(27)를 구비하며,
    상기 마킹 필드는 상기 웨이퍼보다 작으며, 상기 레이저 마커는 상위 웨이퍼의 휨 또는 필드 내의 깊이의 변동에 관련하는 마크 변동을 회피하기 위해 상기 빔에 의해, 웨이퍼 상에 형성된 스폿을 상기 마킹 필드내의 위치에 광학적으로 유지하기 위한 주사 렌즈(351, 990)를 지닌 수단을 구비한 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  107. 제 106항에 있어서,
    스폿 위치 결정 정밀도는 마킹 필드에 걸쳐 1 스폿 직경 내에 있는 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  108. 제 106항에 있어서,
    위치 맞춤 시각 하부장치는 텔리센트릭 촬상 렌즈(15)를 더 포함하는 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  109. 제 106항에 있어서,
    레이저 마커(147, 19)는 컴퓨터 제어 장치를 이용하여 상기 레이저 미킹 빔을 상기 웨이퍼위에 집속시키기 위한 가동식 광학 소자(48)를 구비한 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  110. 제 106항에 있어서,
    마킹 빔의 집속 위치 스폿의 크기는 25-40마이크론의 범위이며, 마킹 필드의 크기는 75-100mm의 범위인 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  111. 106항에 있어서,
    교정 프로그램은 마커를 다수의 3차원 위치에서 교정하기 위한 3차원 교정 알고리즘을 포함하는 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  112. 제 106항에 있어서,
    제 1 위치 결정 하부 장치는 X-Y스테이지(18)를 포함하며, 교정 프로그램은 위치 맞춤 시각 하부 장치(14), X-Y스테이지(18) 및 레이저 마커(147, 19)를 교정하는 수단을 포함하는 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  113. 제 106항에 있어서,
    마크를 검사하는 카메라를 포함하는 시각 검사 하부 장치(20)를 더 포함하며, 상기 시각 검사 하부 장치는 웨이퍼를 이 카메라에 대해 위치 결정하는 검사 위치결정 하부 장치를 포함하며, 이 검사 위치결정 하부 장치는 상기 제 1 위치결정 하부 장치로부터 분리된 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  114. 제 106항에 있어서,
    웨이퍼의 제 1 면을 촬상하는 제 1 촬상 하부 장치(501)와, 웨이퍼의 제 2면을 촬상하는 제 2 촬상 하부 장치(502)를 더 포함하며, 이들 촬상 하부 장치는 웨이퍼의 제 2면 상의 마크의 화상을 제 1 면의 대응하는 부분의 화상과 중첩시키는 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  115. 제 106항에 있어서,
    레이저는 녹색 출력 파장 및 50ns의 펄스 폭을 갖는 주파수 이중화 바나테이트 레이저( frequency doubled Vanadate laser)인 반도체 웨이퍼의 마킹 장치.
  116. 제 106항에 있어서,
    위치 맞춤 시각 하부 장치와 레이저 마커는 집속 또는 높이센서(13)를 포함하는 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  117. 제 106항에 있어서,
    주사 렌즈는 텔리센트릭 렌즈(351, 990)인 것을 특징으로 하는 반도체 웨이퍼의 마킹 장치.
  118. 반도체 웨이퍼(3, 11, 143)를 마킹하는 방법에 있어서,
    마킹 필드(1, 124)는 웨이퍼보다 작으며;
    이 방법은
    웨이퍼에 대해 레이저 마킹 필드(1, 24)를 횡 방향을 따라 위치 결정하는 단계와;
    웨이퍼 휨 또는 필드 내의 깊이 방향의 변동에 관련된 마크 변동을 방지하도록 마킹 필드 내의 스폿 배치 정도를 광학적으로 유지시키는 단계와;
    이 웨이퍼를 마킹하는 단계를 구비한 것을 특징으로 하는 반도체 웨이퍼를 마킹하는 방법.
  119. 제 118항에 있어서,
    마킹 빔(141)이 웨이퍼위의 마킹 위치에 입사되도록, 마킹빔을 웨이퍼의 평면에 직교하는 축(26)을 따라서 웨이퍼에 대하여 자동적으로 위치결정하는 단계를 더 포함하며, 상기 웨이퍼는 상기 미킹빔의 촛점위치에 대한 웨이퍼의 깊이 변동에 무관하게 상기 위치에서 마킹되는 것을 특징으로 하는 반도체 웨이퍼를 마킹하는 방법.
  120. 기판(3, 11, 143)에 마킹하는 레이저 마커(19, 147)에 있어서, 이 레이저 마커는 워크피이스 휨과 깊이 방향의 변동과 관련된 마크 변동을 방지하도록 워크피이스보다 작은 마킹 필드(1, 124)에 걸쳐 스폿 배치를 스폿 직경이내로 보정하는 텔리센트릭 주사 렌즈(351, 990)를 포함하는 것을 특징으로 하는 레이저 마커.
  121. 반도체 웨이퍼(11, 3, 143)를 레이저 마킹하는 방법에 있어서,
    펄스 레이저 빔(141, 71)을 발생하는 단계와, 이 빔은 소정의 파장, 펄스 폭, 반복 속도 및 에너지를 갖으며;
    반도체 웨이퍼(3, 11, 143)상에 소정의 마크 깊이(782)를 갖는 기계적으로 판독가능한 마크(36, 781, 950)를 생성하도록 반도체 웨이퍼에 스폿 직경에 결친 펄스 레이저 빔을 조사하는 단계를 구비하며,
    펄스 폭은 약 50ns 이하이고, 상기 조사하는 단계는 10마이크론 이하의 마크 깊이(36, 781, 950)를 갖는 마크를 생성하도록 스폿 직경에 걸쳐 조사되어, 반도체 웨이퍼에 대한 표면 아래의 손상(783)을 방지하도록 하는 것을 특징으로 하는 반도체 웨이퍼를 레이저 마킹하는 방법.
  122. 제 121항에 있어서,
    상기 조사하는 단계는 다수의 위치에서 수행되고 스폿 직경은 25-40 마이크론의 범위이며, 마킹 속도는 150mm/sec의 범위인 것을 특징으로 하는 반도체 웨이퍼를 레이저 마킹하는 방법.
  123. 제 121항에 있어서,
    표면 아래의 손상은 마이크로크랙킹(783)을 포함하는 것을 특징으로 하는 반도체 웨이퍼를 레이저 마킹하는 방법.
  124. 청구항 121의 방법을 실행하기 위한 q 스위치 펄스 레이저(71)를 포함하는 레이저 마킹 장치(100).
  125. 다이(6, 112), 칩 스케일 패키지, 회로패턴(8)을 포함하는 물품(2)을 갖는 반도체 기판(3, 11, 143)을 마킹하는 정밀 레이저를 기반으로 한 방법에 있어서,
    상기 마킹은 웨이퍼 마킹 장치(100)에서 그리고 물품위치에 관련하는 지정 영역(30)에서 행해지며, 이 방법은,
    (a) 물품의 위치를 특정하는 정보와, (b) 하나 이상의 물품의 일부의 시각 모델를 사용하여 이로부터 참고 데이터가 얻어지도록 하는 하나 이상의 위치를 결정하는 단계와;
    제 1 센서(13)로부터의 하나 이상의 신호를 사용하여 기판의 제 1면(4)에 특징부를 위치시키도록 참조 데이터를 얻는 단계와;
    레이저 빔((141)을 기판의 제 2면(33) 상의 마킹 위치에 위치 결정하도록 특징부의 위치를 기반으로 기판에 대해 마킹 필드(1, 24)를 위치 결정하는 단계와;
    레이저 마킹 출력 빔을 이용하여 기판의 제 2면 상에 소정의 패턴(36)을 마킹하는 단계를 구비하며;
    상기 결정하는 단계는 제 1 웨이퍼 부분으로부터 얻어진 화상 내의 하나 이상의 특징부를 측정하는 단계와, 측정된 특징부를 웨이퍼 맵에 관련시키는 단계와, 제 1 웨이퍼에 동일하게 웨이퍼를 마킹할때 사용하기 위한 데이터를 저장하는 단계를 포함하며, 상기 측정하는 단계, 상기 관련하는 단계 및 상기 저장하는 단계는 자동으로 수행되는 것을 특징으로 하는 정밀 레이저를 기반으로 한 방법.
  126. 웨이퍼의 다른 면으로부터 그리고 이 웨이퍼를 통해 방사 에너지를 전달할 필요 없이, 웨이퍼(3, 11, 143)의 한 면(33)에 기계적으로 판독가능한 마크(36)를 검사하는 방법으로, 상기 웨이퍼는 다이, 칩 스케일 패키지, 회로 패턴을 포함하는 물품(2)을 지니며, 상기 마킹은 웨이퍼 마킹 장치(100)에서 그리고 물품위치에 관련하는 지정영역(30) 내에서 행해지며, 상기 물품은 제 1면 상에 패턴(115)을 가지며, 이 방법은,
    웨이퍼의 제 1 면을 촬상하는 단계와;
    웨이퍼의 제 2면(33)을 촬상하는 단계와;
    제 1 면 화상의 일부와 제 2면 화상의의 일부 사이의 상관성을 확립하는 단계와;
    하나 이상의 물품에 대한 마크의 위치를 결정하기 위해 제 1 및 제 2 면으로터의 화상 데이터를 중첩하는 단계를 포함하는 특징으로 하는 판독가능한 마크를 검사하는 방법.
  127. 제 126항에 있어서,
    제 1 및 제 2 면으로부터 얻어진 화상을 중첩된 화상 부분이 대응하도록 일치시키는 단계를 더 포함하며, 상기 일치시키는 단계는 교정 타킷(511)과 매칭 알고리즘을 사용하여 실행되는 것을 특징으로 하는 판독가능한 마크를 검사하는 방법.
  128. 다이, 칩 스케일 패키지, 회로패턴을 포함하는 물품(2)을 갖는 반도체 기판(3)을 마킹하는 정밀 레이저를 기반으로 한 장치에 있어서, 상기 마킹은 웨이퍼 마킹 장치에서 그리고 물품 위치에 관련하는 지정 영역(30) 내에서 행해지며, 상기 장치는
    (a) 물품의 위치를 특정하는 정보와, (b)하나 이상의 물품의 일부의 시각 모델을 사용하여 이로부터 얻어진 참조 데이터가 얻어지게 하는 하나 이상의 위치를 결정하는 수단(27, 75, 605)과;
    제 1 센서(13)로부터의 하나 이상의 신호를 사용하여 기판의 제 1면 상에 특징부(5, 6, 7)를 위치 결정하기 위해 참조 데이터를 얻는 수단과;
    레이저 빔을 기판의 제 2면(33) 상의 마킹 위치에 위치 결정하도록 특징부의 위치를 기반으로 기판에 대하여 마킹 필드(1, 24)를 위치 결정하는 수단(18)과:
    레이저 마킹 출력빔을 사용하여 상기 기판의 제 2면 상에 소정의 패턴을 마킹하는 수단(19)을 구비하며,
    상기 결정하는 수단은 제 1 기판으로부터 얻어진 화상 내의 하나 이상의 특징부를 측정하고; 상기 측정된 특징부를 기판 맵과 관련시키고: 제 1 기판과 동일하게 기판을 마킹할때 사용하기 위한 데이터를 저장하도록 되어 있으며; 상기 측정, 상기 관련시키는 것 및 상기 저장하는 것은 상기 결정하는 수단에 의해 자동적으로 수행되는 정밀 레이저를 기반으로 한 장치.
  129. 반도체 기판(3, 11, 143)의 다른 면으로부터 그리고 이 기판을 통해 방사 에너지를 전달할 필요 없이, 기판의 한 면상의 기계적으로 판독가능한 마크를 검사하는 장치(20)에 있어서, 상기 기판은 다이, 칩 스케일 패키지, 회로 패턴을 포함하는 물품(2)을 지니며, 상기 마킹은 기판 마킹 장치(100)에서 그리고 물품 위치에 대한 지정 영역(30) 내에서 행해지며, 상기 물품은 제 1면(4)상에 패턴(115)을 가지며, 이 장치는,
    화상을 얻기 위해 웨이퍼의 제 1 면을 촬상하는 수단(501)과;
    화상을 얻도록 웨이퍼의 제 2면을 촬상하는 수단(502)과;
    제 1 면 화상의 일부와 제 2면 화상의 일부 사이를 상관성을 확립시키는 수단(27)과;
    물품에 대한 마크의 위치를 결정하기 위해 제 1 면 및 제 2 면으로터의 이미지 데이터를 중첩하는 수단을 포함하는 것을 특징으로 하는 기계적으로 판독가능한 마크를 검사하는 장치.
  130. 레이저 마킹 빔(141)을 사용하여 반도체 웨이퍼(3, 11, 143) 또는 유사한 기판(3, 11, 143)을 레이저 마킹을 행하는 레이저를 기반으로 한 장치(100)에 있어서, 상기 기판은 행렬로 배열된 물품(2)의 반복 패턴(115)을 갖으며, 특징부(5, 6, 7)를 갖는 물품은 촬상 하부 장치(14)를 사용하여 검출 가능하며, 상기 장치는 레이저 마킹 헤드(14), 촬상 및 측정용 촬상 하부장치, 이 촬상 하부 장치에 대하여 기판을 위치 결정하는 스테이지(18)를 갖는 모션 하부 장치, 상기 촬상 하부 장치 및 모션 하부장치에 연결된 유저 인터패이스를 포함하며, 레이저 마크(36)는 물품에 대한 소정의 위치에 배치되도록 되어 있으며, 이 소정의 패턴 특징부를 사용하여 빔 위치 제어에 의한 레이저 마킹하는 방법은,
    머신 시각 알고리즘을 사용한 자동 특징부 검출 및 측정을 위해 상기 패턴의 일부의 식별을 행하도록 유저 인터패이를 통해 입력을 행하는 단계와;
    상기 촬상 하부장에 대하여 제 1의 기판을 위치 결정하여 특징부 데이터의 제 1의 세트에서의 화상 데이터를 취득하기 위해, 패턴의 행 또는 열의 적어도 한쪽에 따라서 패턴을 자동적으로 횡 이동시키는 단계와;
    다수의 물품, 알고리즘 및 화상 데이터의 하나 이상의 검출가능한 특징부를 사용하여 크기를 측정하는 단계와;
    이 측정값을 기반으로 크기 데이터를 저장하는 단계와;
    크기 데이터를 사용하여 패턴에 대한 패턴 좌표계(605)와 스테이지계(607)사이의 관계를 정의하는 제 2 세트(601, 602, 603)의 3 개 이상의 특징부위치를 배치하는 단계와;
    제 1 기판을 이동시키는 단계와;
    마킹될 제 2 기판(3, 11, 143)을 촬상 하부장치에 대해 위치 결정하는 단계와;
    제 2 기판상의 대응하는 패턴으로부터 얻어진 화상 데이터 내에 제 2 세트의 대응하는 특징부 위치의 상기 3개 이상의 특징부 위치를 배치하는 단계와;
    제 1 기판상의 패턴의 좌표를 제 2기판상의 대응하는 패턴에 관련시키는 단계와;
    기판을 마킹하기 위해 제 2 세트의 3개 이상의 특징부 위치를 기반으로 마킹 빔에 대해 기판을 위치 결정하는 단계를 구비한 것을 특징으로 하는 레이저 마킹 방법.
  131. 레이저 마킹 장치(100)를 교정하는 방법에 있어서, 이 방법은,
    3차원에서 레이저 마킹 장치를 교정하는 단계와, 이 교정하는 단계는 다수의 높이에 대응하는 저장 데이터를 포함하며;
    마킹될 워크피이스(3, 11, 143)의 위치 측정값을 얻는 단계와;
    저장된 교정 데이터를 위치 측정값과 관련시키는 단계를 포함하는 것을 특징으로 하는 레이저 마킹 장치를 교정하는 방법.
  132. 제 131항에 있어서,
    상기 교정 데이터는 복수의 교정 화일에 저장되고 상기 교정 화일은 소정의 마킹 장치 파라미터 설정 값에 대응하는 것을 특징으로 하는 레이저 마킹 장치를 교정하는 방법.
  133. 웨이퍼의 제 1면의 패턴(115)과 및 이 패턴에 대해 소정의 위치(36)에서 웨이퍼보다 작은 마킹 필드 내에 마크될 웨이퍼의 제 2면을 갖는 반도체 웨이퍼(3, 11, 143)를 레이저 마킹하는 장치(100)에 있어서,
    상기 장치의 마커 수단(19)을 교정하는 수단과;
    이 교정을 기반으로 마킹 빔(141)을 웨이퍼에 대해 제어가능하게 위치 결정하는 수단(28, 18, 17)을 구비한 것을 특징으로 하는 반도체 웨이퍼를 레이저 마킹하는 장치.
  134. 회로(4, 143)를 포함하는 정면을 갖는 웨이퍼(3, 11, 143)를 마킹하는 레이저를 기반으로 한 웨이퍼 마킹 장치(100)에서,상기 회로는 회로 특징부(5, 7, 6, 110)를 가지며, 웨이퍼는 마크될 이면(33)을 갖는 장치로;
    주사렌즈(351)와 웨이퍼보다 작은 마킹 필드(24)를 갖는 교정된 검류계 마킹 헤드(19)와;
    마킹될 웨이퍼 위치를 마킹 필드 내에 결정하는 이동 범위로 웨이퍼
    를 이송하는 교정된 위치 결정 스테이지와(18);
    웨이퍼보다 작은 시야를 갖는 교정된 위치 맞춤 카메라(13)와;
    스테이지를 상기 카메라와 마킹 헤드에 대해 강력히 고정하는 프레임과;
    정면의 회로 특징부에 관련하여 웨이퍼가 이 이면에 정확히 마킹되도록, 마킹 헤드, 스테이지 및 위치 맞춤 카메라의 위치를 조정하여 상기 스테이지 및 상기 마킹 헤드를 서로 위치 결정하기 위한 맵을 구비한 컨트롤러(27)를 구비한 것을 특징으로 하는 웨이퍼 마킹 장치.
  135. 반도체 기판을 마킹하는 레이저를 기반으로한 마킹 장치에 있어서,
    상기 장치는 기판보다 작은 마킹 필드를 갖는 레이저 마커와, 상기 마킹 필드와 기판을 상대적으로 위치 결정하는 X-Y스테이지를 갖는 위치 결정 하부 장치와, 특징부에 기초하여 상기 기판 및 마킹 필드를 상대적으로 배치하기 위해 사용되며, 상기 기판 상에 특징부를 위치 결정하기 위한 상기 마커로부터 떨어진 위치 맞춤 시각 하부장치를 갖으며, 이 장치를 교정하는 방법은,
    위치 맞춤 시각 하부장치를 사용하여 위치 맞춤 타킷에 배치된 다수의 기준점을 측정하는 단계와;
    소정 위치의 측정된 기준점을 기반으로 하여 위치 맞춤 시각 하부장치를 교정하는 단계와;
    스테이지의 이동을 기록하는 데이터와 위치 맞춤 시각 하부장치를 사용하여 취득된 데이터를 사용하여서 스테이지를 교정하기 위해 위치 맞춤 타킷에 대하여 스테이지를 위치 결정하는 단계와, 스테이지의 교정은 위치 맞춤 시각 장치의 상기 교정하는 단계가 수행되며;
    마크될 시험 기판을 위치결정하는 단계와;
    마크를 취득하기 위한 필드 내의 다수의 위치에서 기판을 마킹하는 단계와;
    측정값을 얻기 위해 교정된 광학 측정 장치를 사용하여 마크 위치를 측정하며, 상기 레이저 마커를 교정하기 위해 상기 측정값을 사용하여 장치를 교정하는 단계를 구비하는 것을 특징으로 하는 방법.
KR1020047018604A 2002-05-17 2004-11-17 반도체 웨이퍼와 같은 워크피이스를 마킹하는 방법 및 장치와 이에 이용하는 레이저마커 KR100914053B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US38160202P 2002-05-17 2002-05-17
US60/381,602 2002-05-17

Publications (2)

Publication Number Publication Date
KR20050024275A KR20050024275A (ko) 2005-03-10
KR100914053B1 true KR100914053B1 (ko) 2009-08-28

Family

ID=29550148

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047018604A KR100914053B1 (ko) 2002-05-17 2004-11-17 반도체 웨이퍼와 같은 워크피이스를 마킹하는 방법 및 장치와 이에 이용하는 레이저마커

Country Status (6)

Country Link
US (10) US7067763B2 (ko)
JP (1) JP2005526386A (ko)
KR (1) KR100914053B1 (ko)
AU (1) AU2003239502A1 (ko)
TW (1) TWI290363B (ko)
WO (1) WO2003097290A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180036195A (ko) * 2016-09-30 2018-04-09 세메스 주식회사 웨이퍼 맵의 형성 방법
KR101892576B1 (ko) 2017-04-04 2018-08-30 주식회사 이오테크닉스 복수의 3차원 레이저 스캐너의 캘리브레이션 방법 및 이를 이용한 레이저 가공 장치

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4052498B2 (ja) 1999-10-29 2008-02-27 株式会社リコー 座標入力装置および方法
JP2001184161A (ja) 1999-12-27 2001-07-06 Ricoh Co Ltd 情報入力方法、情報入力装置、筆記入力装置、筆記データ管理方法、表示制御方法、携帯型電子筆記装置および記録媒体
US7838794B2 (en) 1999-12-28 2010-11-23 Gsi Group Corporation Laser-based method and system for removing one or more target link structures
US6483071B1 (en) * 2000-05-16 2002-11-19 General Scanning Inc. Method and system for precisely positioning a waist of a material-processing laser beam to process microstructures within a laser-processing site
ATE453147T1 (de) 2000-07-05 2010-01-15 Smart Technologies Ulc Verfahren für ein kamerabasiertes berührungssystem
US6803906B1 (en) 2000-07-05 2004-10-12 Smart Technologies, Inc. Passive touch system and method of detecting user input
US6972268B2 (en) * 2001-03-29 2005-12-06 Gsi Lumonics Corporation Methods and systems for processing a device, methods and systems for modeling same and the device
USRE47092E1 (en) 2002-02-22 2018-10-23 Oxygenator Water Technologies, Inc. Flow-through oxygenator
US7396441B2 (en) 2002-02-22 2008-07-08 Aqua Innovations, Inc. Flow-through oxygenator
US7563695B2 (en) * 2002-03-27 2009-07-21 Gsi Group Corporation Method and system for high-speed precise laser trimming and scan lens for use therein
US6951995B2 (en) 2002-03-27 2005-10-04 Gsi Lumonics Corp. Method and system for high-speed, precise micromachining an array of devices
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7067763B2 (en) 2002-05-17 2006-06-27 Gsi Group Corporation High speed, laser-based marking method and system for producing machine readable marks on workpieces and semiconductor devices with reduced subsurface damage produced thereby
US7170075B2 (en) * 2002-07-18 2007-01-30 Rudolph Technologies, Inc. Inspection tool with a 3D point sensor to develop a focus map
DE10234943B4 (de) * 2002-07-31 2004-08-26 Infineon Technologies Ag Bearbeitungsvorrichtung für Wafer und Verfahren zu ihrer Bearbeitung
US7738693B2 (en) * 2002-12-24 2010-06-15 Lam Research Corporation User interface for wafer data analysis and visualization
US6954197B2 (en) * 2002-11-15 2005-10-11 Smart Technologies Inc. Size/scale and orientation determination of a pointer in a camera-based touch system
JP2004200221A (ja) * 2002-12-16 2004-07-15 Toray Eng Co Ltd レーザマーキング方法及び装置
US7217579B2 (en) * 2002-12-19 2007-05-15 Applied Materials, Israel, Ltd. Voltage contrast test structure
KR100628455B1 (ko) * 2002-12-21 2006-09-28 주식회사 이오테크닉스 칩 스케일 마커 및 마킹방법
US7629967B2 (en) 2003-02-14 2009-12-08 Next Holdings Limited Touch screen signal processing
US8508508B2 (en) 2003-02-14 2013-08-13 Next Holdings Limited Touch screen signal processing with single-point calibration
US8456447B2 (en) 2003-02-14 2013-06-04 Next Holdings Limited Touch screen signal processing
US7532206B2 (en) 2003-03-11 2009-05-12 Smart Technologies Ulc System and method for differentiating between pointers used to contact touch surface
TWI242848B (en) * 2003-03-26 2005-11-01 Advanced Semiconductor Eng Chip scale package and method for marking the same
JP2005028423A (ja) * 2003-07-09 2005-02-03 Disco Abrasive Syst Ltd レーザー加工方法およびレーザー加工装置
US7196300B2 (en) * 2003-07-18 2007-03-27 Rudolph Technologies, Inc. Dynamic focusing method and apparatus
ES2309259T3 (es) * 2003-08-29 2008-12-16 Trumpf Laser- Und Systemtechnik Gmbh Dispositivo para el mecanizado remoto de piezas de trabajo mediante un rayo laser de mecanizado.
US7274356B2 (en) 2003-10-09 2007-09-25 Smart Technologies Inc. Apparatus for determining the location of a pointer within a region of interest
US7355593B2 (en) * 2004-01-02 2008-04-08 Smart Technologies, Inc. Pointer tracking across multiple overlapping coordinate input sub-regions defining a generally contiguous input region
WO2005073782A1 (en) * 2004-01-23 2005-08-11 Gsi Lumonics Corporation System and method for virtual laser marking
US7486705B2 (en) 2004-03-31 2009-02-03 Imra America, Inc. Femtosecond laser processing system with process parameters, controls and feedback
US7460110B2 (en) * 2004-04-29 2008-12-02 Smart Technologies Ulc Dual mode touch system
US7492357B2 (en) * 2004-05-05 2009-02-17 Smart Technologies Ulc Apparatus and method for detecting a pointer relative to a touch surface
US7538759B2 (en) 2004-05-07 2009-05-26 Next Holdings Limited Touch panel display system with illumination and detection provided from a single edge
US8120596B2 (en) 2004-05-21 2012-02-21 Smart Technologies Ulc Tiled touch system
US7885311B2 (en) 2007-03-27 2011-02-08 Imra America, Inc. Beam stabilized fiber laser
US7366321B2 (en) * 2004-06-18 2008-04-29 Agilent Technologies, Inc. System and method for performing automated optical inspection of objects
US8049135B2 (en) * 2004-06-18 2011-11-01 Electro Scientific Industries, Inc. Systems and methods for alignment of laser beam(s) for semiconductor link processing
GB0414201D0 (en) * 2004-06-24 2004-07-28 Fujifilm Electronic Imaging Method and apparatus for forming a multiple focus stack image
US20060000814A1 (en) * 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
US7586059B2 (en) * 2004-08-27 2009-09-08 Infineon Technologies Ag Lithography mask substrate labeling system
US7283256B2 (en) * 2004-09-21 2007-10-16 Chapman Instruments, Inc. Method and apparatus for measuring wafer thickness
US7280232B2 (en) * 2004-09-21 2007-10-09 Chapman Instruments, Inc. Method and apparatus for measuring wafer thickness
US20060189091A1 (en) * 2004-11-11 2006-08-24 Bo Gu Method and system for laser hard marking
US7705268B2 (en) * 2004-11-11 2010-04-27 Gsi Group Corporation Method and system for laser soft marking
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
US7526357B2 (en) * 2004-12-13 2009-04-28 The Aerospace Corporation Pulse modulation laser writing system
KR100674950B1 (ko) * 2005-01-22 2007-01-26 삼성전자주식회사 기준 반도체 칩을 구비하는 반도체 기판 및 이를 이용한반도체 칩 어셈블리 방법
GB0503032D0 (en) * 2005-02-14 2005-03-23 Fujifilm Electronic Imaging Blip focus
US7363180B2 (en) * 2005-02-15 2008-04-22 Electro Scientific Industries, Inc. Method for correcting systematic errors in a laser processing system
DE102005013045B4 (de) * 2005-03-18 2013-03-14 Siemens Aktiengesellschaft Fluoreszenz-Scanner für molekulare Signaturen
KR100672830B1 (ko) * 2005-03-21 2007-01-22 삼성전자주식회사 라벨 마킹 방법 및 이를 이용한 라벨 마킹 장치
GB0507465D0 (en) * 2005-04-13 2005-05-18 Renishaw Plc Method of scale manufacture
US20060235564A1 (en) * 2005-04-18 2006-10-19 Igor Troitski Method and multifunctional system for producing laser-induced images on the surfaces of various materials and inside transparent materials
JP4972289B2 (ja) * 2005-04-19 2012-07-11 芝浦メカトロニクス株式会社 レーザマーキング装置
US20060243711A1 (en) * 2005-04-29 2006-11-02 Robert Paradis System and method for aligning a wafer processing system in a laser marking system
US7315361B2 (en) * 2005-04-29 2008-01-01 Gsi Group Corporation System and method for inspecting wafers in a laser marking system
US7200257B2 (en) * 2005-05-05 2007-04-03 International Business Machines Corporation Structure and methodology for fabrication and inspection of photomasks
US7466466B2 (en) * 2005-05-11 2008-12-16 Gsi Group Corporation Optical scanning method and system and method for correcting optical aberrations introduced into the system by a beam deflector
WO2006125269A1 (en) * 2005-05-25 2006-11-30 Romeena Pty Limited As Trustee For Kemp Family Trust Instrument tracking
US7265567B2 (en) * 2005-05-31 2007-09-04 Delphi Technologies, Inc. First die indicator for integrated circuit wafer
US20060289411A1 (en) * 2005-06-24 2006-12-28 New Wave Research Laser system with multiple operating modes and work station using same
JP2007021528A (ja) * 2005-07-15 2007-02-01 Matsushita Electric Ind Co Ltd レーザ加工装置およびその調整方法
TWI278910B (en) * 2005-08-09 2007-04-11 Powerchip Semiconductor Corp System and method for wafer visual inspection
AT502410B1 (de) * 2005-08-16 2007-03-15 Eiser & Partner Oeg Vorrichtung zur prüfung von werkstücken
CA2620941A1 (en) * 2005-09-02 2007-03-08 Neptec Imaging system and method
EP2319655B1 (en) * 2005-09-13 2012-12-19 Gudmunn Slettemoen Opto-mechanical position finder method and apparatus
US7625679B2 (en) * 2005-09-23 2009-12-01 Applied Materials, Inc. Method of aligning a particle-beam-generated pattern to a pattern on a pre-patterned substrate
EP1770443B1 (en) * 2005-09-28 2016-01-20 Semiconductor Energy Laboratory Co., Ltd. Laser processing apparatus and exposure method
KR100652435B1 (ko) * 2005-10-07 2006-12-01 삼성전자주식회사 첫 번째 다이의 위치를 구분할 수 있는 표시수단을구비하는 웨이퍼 및 웨이퍼의 다이 부착 방법
US8290239B2 (en) * 2005-10-21 2012-10-16 Orbotech Ltd. Automatic repair of electric circuits
US8311311B2 (en) * 2005-10-31 2012-11-13 Mitutoyo Corporation Optical aberration correction for machine vision inspection systems
US7899634B1 (en) * 2005-11-07 2011-03-01 Advanced Micro Devices, Inc. Method and apparatus for analysis of continuous data using binary parsing
US20070117227A1 (en) * 2005-11-23 2007-05-24 Gsi Group Corporation Method And System for Iteratively, Selectively Tuning A Parameter Of A Doped Workpiece Using A Pulsed Laser
US20070152064A1 (en) * 2005-12-30 2007-07-05 Laurens Nunnink Diffuse light ring for reading encoded symbols
DE602006006964D1 (de) * 2006-02-09 2009-07-09 Infineon Technologies Ag Verzögerungsregelschleife mit asynchronem Entscheidungselement
WO2007101112A1 (en) * 2006-02-24 2007-09-07 Uvtech Systems, Inc. Method and apparatus for delivery of pulsed laser radiation
US20070205994A1 (en) * 2006-03-02 2007-09-06 Taco Van Ieperen Touch system and method for interacting with the same
US7589869B2 (en) * 2006-04-28 2009-09-15 Electro Scientific Industries, Inc. Adjusting image quality using multi-wavelength light
US20070106416A1 (en) * 2006-06-05 2007-05-10 Griffiths Joseph J Method and system for adaptively controlling a laser-based material processing process and method and system for qualifying same
US20080013823A1 (en) * 2006-06-30 2008-01-17 Behnke Merlin E Overhead traveling camera inspection system
US20090287223A1 (en) * 2006-07-11 2009-11-19 Eric Pua Real-time 3-d ultrasound guidance of surgical robotics
DE602006009248D1 (de) * 2006-07-13 2009-10-29 Montres Breguet Sa Lasermarkierungsverfahren
US7732731B2 (en) * 2006-09-15 2010-06-08 Gsi Group Corporation Method and system for laser processing targets of different types on a workpiece
US7751612B2 (en) * 2006-10-10 2010-07-06 Usnr/Kockums Cancar Company Occlusionless scanner for workpieces
US9442607B2 (en) 2006-12-04 2016-09-13 Smart Technologies Inc. Interactive input system and method
US20080156780A1 (en) * 2006-12-29 2008-07-03 Sergei Voronov Substrate markings
US20080237353A1 (en) * 2007-03-29 2008-10-02 Joy Lau Unique identifier on integrated circuit device
US8055466B2 (en) * 2007-03-30 2011-11-08 Mitutoyo Corporation Global calibration for stereo vision probe
US20080243416A1 (en) * 2007-03-30 2008-10-02 Mitutoyo Corporation Global calibration for stereo vision probe
WO2008128096A2 (en) 2007-04-11 2008-10-23 Next Holdings, Inc. Touch screen system with hover and click input methods
US7642929B1 (en) 2007-04-19 2010-01-05 The United States Of America As Represented By The Secretary Of The Air Force Helicopter brown-out landing
DE102007030051B4 (de) * 2007-06-29 2018-05-30 Globalfoundries Inc. Waferlayout-Optimierungsverfahren und System
CN100459034C (zh) * 2007-07-12 2009-02-04 格兰达技术(深圳)有限公司 全自动晶圆背面打标机
US8094137B2 (en) 2007-07-23 2012-01-10 Smart Technologies Ulc System and method of detecting contact on a display
EP2022601B1 (de) 2007-08-03 2013-03-20 TRUMPF Werkzeugmaschinen GmbH + Co. KG Laserbearbeitungsmaschine zum Bearbeiten von Werkstücken sowie maschinelles Verfahren zum Bearbeiten von Werkstücken mittels eines Laserstrahls
TWI478272B (zh) * 2007-08-15 2015-03-21 尼康股份有限公司 A positioning device, a bonding device, a laminated substrate manufacturing device, an exposure device, and a positioning method
EP2030797A1 (de) * 2007-08-25 2009-03-04 Mondi Business Paper Services AG Optisch thermisch beschreibbare Nanobeschichtung
CN101802760B (zh) 2007-08-30 2013-03-20 奈克斯特控股有限公司 具有改进照明的光学触摸屏
US8384693B2 (en) 2007-08-30 2013-02-26 Next Holdings Limited Low profile touch panel systems
US9302345B2 (en) * 2007-08-31 2016-04-05 Caterpillar Inc. Laser machining calibration method
US7800766B2 (en) * 2007-09-21 2010-09-21 Northrop Grumman Space & Mission Systems Corp. Method and apparatus for detecting and adjusting substrate height
US8233696B2 (en) 2007-09-22 2012-07-31 Dynamic Micro System Semiconductor Equipment GmbH Simultaneous wafer ID reading
SG152090A1 (en) * 2007-10-23 2009-05-29 Hypertronics Pte Ltd Scan head calibration system and method
US8315457B2 (en) * 2007-12-21 2012-11-20 Cognex Corporation System and method for performing multi-image training for pattern recognition and registration
US9305235B1 (en) 2007-12-21 2016-04-05 Cognex Corporation System and method for identifying and locating instances of a shape under large variations in linear degrees of freedom and/or stroke widths
US20090207144A1 (en) * 2008-01-07 2009-08-20 Next Holdings Limited Position Sensing System With Edge Positioning Enhancement
US20090213093A1 (en) * 2008-01-07 2009-08-27 Next Holdings Limited Optical position sensor using retroreflection
US8405636B2 (en) 2008-01-07 2013-03-26 Next Holdings Limited Optical position sensing system and optical position sensor assembly
US7706632B2 (en) * 2008-01-17 2010-04-27 Ffei Limited Method and apparatus for forming a multiple focus stack image
US8411270B2 (en) * 2008-01-17 2013-04-02 International Business Machines Corporation Monitoring stage alignment and related stage and calibration target
US7645971B2 (en) * 2008-01-18 2010-01-12 Ffei Limited Image scanning apparatus and method
US7996096B2 (en) * 2008-02-29 2011-08-09 Fisher Controls International Llc Estimation of process control parameters over predefined travel segments
US8000831B2 (en) * 2008-03-21 2011-08-16 Alltec Angewandte Laserlicht Technologie Gmbh Multi model registration (MMR) for a galvanometer and laser system
US8902193B2 (en) * 2008-05-09 2014-12-02 Smart Technologies Ulc Interactive input system and bezel therefor
US20090277697A1 (en) * 2008-05-09 2009-11-12 Smart Technologies Ulc Interactive Input System And Pen Tool Therefor
US20090278794A1 (en) * 2008-05-09 2009-11-12 Smart Technologies Ulc Interactive Input System With Controlled Lighting
US8922853B2 (en) * 2008-05-12 2014-12-30 Wilopen Products Lc Printer calibration system and associated methods
US8217302B2 (en) * 2008-06-17 2012-07-10 Electro Scientific Industries, Inc Reducing back-reflections in laser processing systems
DE102008059813A1 (de) * 2008-07-17 2010-01-21 Lss Laser Scribing Systems Ag Laser-Scribing-System zum Strukturieren von Substraten für Dünnschichtsolarmodule
US20100079385A1 (en) * 2008-09-29 2010-04-01 Smart Technologies Ulc Method for calibrating an interactive input system and interactive input system executing the calibration method
KR20110066198A (ko) * 2008-10-02 2011-06-16 넥스트 홀딩즈 리미티드 터치 감지 시스템에서 멀티터치를 해결하기 위한 스테레오 광 센서
CN102245339B (zh) 2008-10-10 2015-08-26 Ipg微系统有限公司 具有多重细激光束传输系统的激光加工系统和方法
US8339378B2 (en) * 2008-11-05 2012-12-25 Smart Technologies Ulc Interactive input system with multi-angle reflector
DE102008060293B4 (de) * 2008-12-03 2015-07-30 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Messung des relativen lokalen Lagefehlers eines der Abschnitte eines abschnittsweise belichteten Objektes
US20100157086A1 (en) 2008-12-15 2010-06-24 Illumina, Inc Dynamic autofocus method and system for assay imager
JP5402284B2 (ja) * 2008-12-18 2014-01-29 株式会社安川電機 基板搬送ロボット、基板搬送装置、半導体製造装置および基板搬送ロボットの干渉物回避方法
EP2202027B1 (en) * 2008-12-29 2016-07-13 Continental Automotive GmbH Method, device and system for positioning a first body and a part fixed to a second body with respect to each other
KR101513602B1 (ko) * 2009-02-11 2015-04-22 삼성전자주식회사 바이오칩 스캐닝 방법
US20100229090A1 (en) * 2009-03-05 2010-09-09 Next Holdings Limited Systems and Methods for Interacting With Touch Displays Using Single-Touch and Multi-Touch Gestures
US8129203B2 (en) * 2009-03-18 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Auto feedback apparatus for laser marking
JP2010274328A (ja) * 2009-04-30 2010-12-09 Mitsuboshi Diamond Industrial Co Ltd レーザ加工方法及びレーザ加工装置
JP5340806B2 (ja) * 2009-05-21 2013-11-13 株式会社ディスコ 半導体ウエーハのレーザ加工方法
JP5340807B2 (ja) * 2009-05-21 2013-11-13 株式会社ディスコ 半導体ウエーハの加工方法
US8965055B2 (en) * 2009-05-29 2015-02-24 Entrust Datacard Corporation System for the calibration of operating parameters of a laser engraver
DE102009023297A1 (de) * 2009-05-29 2010-12-02 Kuka Roboter Gmbh Verfahren und Vorrichtung zum Betrieb einer zusätzlichen Werkzeugachse eines von einem Manipulator geführten Werkzeugs
WO2010144778A2 (en) * 2009-06-12 2010-12-16 Applied Materials, Inc. Methods and systems for laser-scribed line alignment
US8692768B2 (en) 2009-07-10 2014-04-08 Smart Technologies Ulc Interactive input system
US9691650B2 (en) * 2009-09-29 2017-06-27 Applied Materials, Inc. Substrate transfer robot with chamber and substrate monitoring capability
US20110095977A1 (en) * 2009-10-23 2011-04-28 Smart Technologies Ulc Interactive input system incorporating multi-angle reflecting structure
US20110199387A1 (en) * 2009-11-24 2011-08-18 John David Newton Activating Features on an Imaging Device Based on Manipulations
WO2011066343A2 (en) * 2009-11-24 2011-06-03 Next Holdings Limited Methods and apparatus for gesture recognition mode control
CN102741782A (zh) * 2009-12-04 2012-10-17 奈克斯特控股公司 用于位置探测的方法和系统
TW201143947A (en) * 2009-12-07 2011-12-16 J P Sercel Associates Inc Laser machining and scribing systems and methods
US20130256286A1 (en) * 2009-12-07 2013-10-03 Ipg Microsystems Llc Laser processing using an astigmatic elongated beam spot and using ultrashort pulses and/or longer wavelengths
FR2954199B1 (fr) * 2009-12-22 2013-07-26 Commissariat Energie Atomique Procede d'ablation d'une surface en trois dimensions grace a un dispositif d'ablation laser, et dispositif de mise en oeuvre du procede
US8461479B2 (en) * 2009-12-23 2013-06-11 Electro Scientific Industries, Inc. Adaptive processing constraints for memory repair
US20110156033A1 (en) * 2009-12-31 2011-06-30 Stmicroelectronics Asia Pacific Pte. Ltd. Method and system for tracing die at unit level
JP4827998B2 (ja) * 2010-02-08 2011-11-30 三菱電機株式会社 制御装置およびレーザ加工機
EP2539776A1 (en) * 2010-02-26 2013-01-02 Micronic Mydata AB Method and apparatus for performing pattern alignment
US20110234542A1 (en) * 2010-03-26 2011-09-29 Paul Marson Methods and Systems Utilizing Multiple Wavelengths for Position Detection
CA2796369A1 (en) * 2010-04-13 2011-10-20 National Research Council Of Canada Laser processing control method
US9110457B2 (en) * 2010-06-22 2015-08-18 Siemens Healthcare Diagnostics Inc. Methods, systems, and apparatus for calibration of a positional offset between an end effector and a position sensor
US8389895B2 (en) * 2010-06-25 2013-03-05 Electro Scientifix Industries, Inc. Method and apparatus for reliably laser marking articles
US8669507B2 (en) * 2010-10-22 2014-03-11 Industrial Technology Research Institute Laser scanning device
US20120097833A1 (en) * 2010-10-22 2012-04-26 Industrial Technology Research Institute Laser scanning device
US8878095B2 (en) 2010-12-17 2014-11-04 Electro Scientific Industries, Inc. Reducing back-reflection in laser micromachining systems
JP5637532B2 (ja) * 2010-12-27 2014-12-10 株式会社ブイ・テクノロジー レーザ加工装置
TW201228786A (en) * 2011-01-13 2012-07-16 Hon Hai Prec Ind Co Ltd Mechanical arm movement controlling system and method
US9474156B2 (en) * 2011-02-10 2016-10-18 Apple Inc. Interposer connectors with alignment features
US20120206892A1 (en) * 2011-02-10 2012-08-16 Apple Inc. Circular interposers
JP2012223839A (ja) * 2011-04-15 2012-11-15 Yaskawa Electric Corp ロボットシステムおよびロボットシステムの駆動方法
US8924002B2 (en) * 2011-04-22 2014-12-30 I-Cut, Inc. Adaptive registration during precision graphics cutting from multiple sheets
US9033740B2 (en) 2011-04-25 2015-05-19 Apple Inc. Interposer connectors
US20130266228A1 (en) * 2012-04-10 2013-10-10 Siemens Industry, Inc. Automatic part identification and workflow generation
JP5743958B2 (ja) * 2012-05-30 2015-07-01 キヤノン株式会社 計測方法、露光方法および装置
WO2014004873A1 (en) * 2012-06-29 2014-01-03 Rudolph Technologies Inc. Flying sensor head
DE102012106156B4 (de) * 2012-07-09 2019-09-12 Acsys Lasertechnik Gmbh Verfahren zur Steuerung eines Werkzeuges
US8903516B2 (en) * 2012-09-04 2014-12-02 United Technologies Corporation Visual alignment system and method for workpiece marking
GB2505691B (en) * 2012-09-07 2018-02-21 Ffei Ltd Method and apparatus for image scanning
CN102867765B (zh) * 2012-09-27 2015-04-15 盛美半导体设备(上海)有限公司 晶圆位置检测装置及检测方法
US9524543B2 (en) * 2012-09-28 2016-12-20 Skyworks Solutions, Inc. Automated detection of potentially defective packaged radio-frequency modules
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
TW201417195A (zh) * 2012-10-23 2014-05-01 Wecon Automation Corp 圓形式晶粒置放方法
US20140115886A1 (en) * 2012-10-26 2014-05-01 Volex Plc Method and system for marking substrate and placing components for high accuracy
US9239147B2 (en) * 2012-11-07 2016-01-19 Omnivision Technologies, Inc. Apparatus and method for obtaining uniform light source
US9494617B2 (en) 2012-11-07 2016-11-15 Omnivision Technologies, Inc. Image sensor testing probe card
US9513230B2 (en) 2012-12-14 2016-12-06 Kla-Tencor Corporation Apparatus and method for optical inspection, magnetic field and height mapping
JP2014130962A (ja) * 2012-12-28 2014-07-10 Ibiden Co Ltd キャビティの形成方法、キャビティの形成装置、プログラム、配線板の製造方法、及び配線板
US10838406B2 (en) * 2013-02-11 2020-11-17 The Aerospace Corporation Systems and methods for the patterning of material substrates
US10613513B2 (en) * 2013-02-11 2020-04-07 The Aerospace Corporation Systems and methods for modifying material substrates
US10100393B2 (en) 2013-02-21 2018-10-16 Nlight, Inc. Laser patterning of multi-layer structures
US10464172B2 (en) * 2013-02-21 2019-11-05 Nlight, Inc. Patterning conductive films using variable focal plane to control feature size
US9099481B2 (en) 2013-03-15 2015-08-04 Semiconductor Components Industries, Llc Methods of laser marking semiconductor substrates
JP6290371B2 (ja) * 2013-03-15 2018-03-07 エレクトロ サイエンティフィック インダストリーズ インコーポレーテッド 画像認識に基づくアブレーションパターン位置の再現
TWI543830B (zh) 2013-05-10 2016-08-01 財團法人工業技術研究院 視覺誤差校正方法
US9330951B2 (en) * 2013-06-05 2016-05-03 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US9679224B2 (en) 2013-06-28 2017-06-13 Cognex Corporation Semi-supervised method for training multiple pattern recognition and registration tool models
MX358687B (es) * 2013-10-04 2018-08-31 Sicpa Holding Sa Metodo y sistema para marcar un objeto que tiene una superficie de un material conductor.
US9796045B2 (en) * 2013-12-19 2017-10-24 Sunpower Corporation Wafer alignment with restricted visual access
US9689804B2 (en) 2013-12-23 2017-06-27 Kla-Tencor Corporation Multi-channel backside wafer inspection
WO2015106360A1 (en) 2014-01-20 2015-07-23 Smart Wave Technologies Corp. Methods of laser trace post processing and depaneling of assembled printed circuit boards
US11176655B2 (en) 2014-01-27 2021-11-16 Cognex Corporation System and method for determining 3D surface features and irregularities on an object
DE102015101079A1 (de) 2014-01-27 2015-07-30 Cognex Corp. System und verfahren zum ermitteln von 3d-oberflächenmerkmalen und unregelmässigkeiten auf einem objekt
US9766473B1 (en) 2014-02-03 2017-09-19 Automation Engineering, Inc. Automated UV calibration, motorized optical target and automatic surface finder for optical alignment and assembly robot
CN104979227B (zh) * 2014-04-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体数据收集方法及系统
US10618131B2 (en) 2014-06-05 2020-04-14 Nlight, Inc. Laser patterning skew correction
KR101537044B1 (ko) * 2014-07-10 2015-07-16 (주)티에스이 Led 패키지 검사 장치
JP6367048B2 (ja) * 2014-08-28 2018-08-01 株式会社ディスコ レーザー加工装置
GB2544946B (en) 2014-08-31 2021-03-10 Berestka John Systems and methods for analyzing the eye
US9950389B1 (en) 2014-09-19 2018-04-24 EMC IP Holding Company LLC Laser calibration
US9719943B2 (en) 2014-09-30 2017-08-01 Kla-Tencor Corporation Wafer edge inspection with trajectory following edge profile
JP6423678B2 (ja) * 2014-10-07 2018-11-14 東京エレクトロン株式会社 基板検査装置及びその制御方法
TWI577484B (zh) * 2014-11-20 2017-04-11 財團法人工業技術研究院 三維雷射加工裝置及定位誤差校正方法
TWI577493B (zh) 2014-12-26 2017-04-11 財團法人工業技術研究院 校正方法與應用此方法的自動化設備
EP3251467A4 (en) 2015-01-24 2018-08-29 YTA Holdings, LLC Method and system for optimizing laser marking on a food product
US9837783B2 (en) 2015-01-26 2017-12-05 Nlight, Inc. High-power, single-mode fiber sources
JP5996687B2 (ja) * 2015-02-10 2016-09-21 浜松ホトニクス株式会社 検査装置及び検査方法
WO2016131018A1 (en) 2015-02-12 2016-08-18 Glowforge Inc. Visual preview for laser fabrication
US10509390B2 (en) 2015-02-12 2019-12-17 Glowforge Inc. Safety and reliability guarantees for laser fabrication
EP3261796A4 (en) * 2015-02-23 2018-12-19 Electro Scientific Industries, Inc. Laser systems and methods for large area modification
US10050404B2 (en) 2015-03-26 2018-08-14 Nlight, Inc. Fiber source with cascaded gain stages and/or multimode delivery fiber with low splice loss
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
USD793972S1 (en) * 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
US10724947B2 (en) 2015-04-14 2020-07-28 Cognex Corporation System and method for acquiring images of surface texture
USD778247S1 (en) 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
CN106158715B (zh) * 2015-04-24 2021-04-02 上海微电子装备(集团)股份有限公司 用于晶圆的预对准装置及方法
US9864048B2 (en) 2015-05-17 2018-01-09 Microsoft Technology Licensing, Llc. Gated time of flight camera
US10044988B2 (en) 2015-05-19 2018-08-07 Conduent Business Services, Llc Multi-stage vehicle detection in side-by-side drive-thru configurations
CN104816559B (zh) * 2015-05-29 2017-04-12 中国电子科技集团公司第四十六研究所 一种半导体材料的激光打标方法
WO2017008022A1 (en) 2015-07-08 2017-01-12 Nlight, Inc. Fiber with depressed central index for increased beam parameter product
US10295342B2 (en) 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
US9698093B2 (en) * 2015-08-24 2017-07-04 Nxp Usa,Inc. Universal BGA substrate
TWI607817B (zh) * 2015-09-02 2017-12-11 E&R Eng Corp Laser printing apparatus and method
KR20170032842A (ko) * 2015-09-15 2017-03-23 서울반도체 주식회사 발광 디바이스, 발광 디바이스의 색좌표 측정 장치 및 색좌표 보정 방법
TWI607814B (zh) * 2015-10-28 2017-12-11 新代科技股份有限公司 即時三維建模之雷射飛行打標系統及其方法
CN106652014A (zh) * 2015-10-28 2017-05-10 新代科技股份有限公司 即时三维建模之雷射飞行打标系统及其方法
EP3978184A1 (en) 2015-11-23 2022-04-06 NLIGHT, Inc. Method and apparatus for fine-scale temporal control for laser beam material processing
US11179807B2 (en) 2015-11-23 2021-11-23 Nlight, Inc. Fine-scale temporal control for laser material processing
WO2017127573A1 (en) 2016-01-19 2017-07-27 Nlight, Inc. Method of processing calibration data in 3d laser scanner systems
KR102521372B1 (ko) 2016-02-12 2023-04-14 삼성전자주식회사 마크 위치 예측 방법
KR101812210B1 (ko) * 2016-02-15 2017-12-26 주식회사 이오테크닉스 마킹 위치 보정장치 및 방법
KR101812209B1 (ko) * 2016-02-16 2017-12-26 주식회사 이오테크닉스 레이저 마킹 장치 및 레이저 마킹 방법
KR101857414B1 (ko) * 2016-02-25 2018-05-15 주식회사 이오테크닉스 마킹 위치 보정장치 및 방법
US20170323708A1 (en) 2016-05-03 2017-11-09 Texas Instruments Incorporated Component sheet and method of singulating
KR101867390B1 (ko) * 2016-08-01 2018-06-15 주식회사 이오테크닉스 레이저 가공장치 및 레이저 가공방법
EP3507768B1 (en) 2016-08-31 2020-09-30 Molecular Devices, LLC System and method for template-based image analysis
JP7164289B2 (ja) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
US10673198B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-coupled laser with time varying beam characteristics
US10730785B2 (en) 2016-09-29 2020-08-04 Nlight, Inc. Optical fiber bending mechanisms
EP3519871A1 (en) 2016-09-29 2019-08-07 NLIGHT, Inc. Adjustable beam characteristics
US10673199B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-based saturable absorber
US10673197B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-based optical modulator
CN106247959B (zh) * 2016-09-30 2018-08-07 国网山东省电力公司商河县供电公司 一种线缆架设高度的自动检测系统与自动检测方法
CN108020164B (zh) * 2016-10-31 2020-10-13 泰科电子(上海)有限公司 厚度检测实验平台
WO2018098399A1 (en) 2016-11-25 2018-05-31 Glowforge Inc. Controlled deceleration of moveable components in a computer numerically controlled machine
WO2018098398A1 (en) 2016-11-25 2018-05-31 Glowforge Inc. Preset optical components in a computer numerically controlled machine
WO2018098397A1 (en) 2016-11-25 2018-05-31 Glowforge Inc. Calibration of computer-numerically-controlled machine
US10664714B2 (en) * 2016-12-21 2020-05-26 Rudolph Technologies, Inc. Substrate handling and identification mechanism
CN110268521B (zh) * 2017-02-03 2023-06-23 黑塞有限公司 用于将接合产品固定在接合器的工作区域中的方法
US11389896B2 (en) 2017-04-04 2022-07-19 Nlight, Inc. Calibration test piece for galvanometric laser calibration
KR102611837B1 (ko) 2017-04-04 2023-12-07 엔라이트 인크. 검류계 스캐너 보정을 위한 광학 기준 생성
JP6923344B2 (ja) 2017-04-13 2021-08-18 株式会社Screenホールディングス 周縁処理装置および周縁処理方法
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
CN107225882B (zh) * 2017-06-06 2019-07-09 广东正业科技股份有限公司 一种基于ccd导航定位的激光打标方法
DE102017210994A1 (de) 2017-06-28 2019-01-03 Eos Gmbh Electro Optical Systems Messsystem für eine Vorrichtung zum generativen Herstellen eines dreidimensionalen Objekts
CN107248375A (zh) * 2017-07-20 2017-10-13 武汉华星光电半导体显示技术有限公司 用于刻号机的识别号补值方法及系统
JP7021877B2 (ja) * 2017-08-08 2022-02-17 株式会社Screenホールディングス 基板処理装置、位置合わせ装置および位置合わせ方法
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
JP6777604B2 (ja) * 2017-08-28 2020-10-28 ファナック株式会社 検査システムおよび検査方法
US10962790B2 (en) * 2017-09-05 2021-03-30 Facebook Technologies, Llc Depth measurement using a pulsed structured light projector
JP6616368B2 (ja) * 2017-09-14 2019-12-04 ファナック株式会社 レーザ加工前に光学系の汚染レベルに応じて加工条件を補正するレーザ加工装置
US10118250B1 (en) 2017-09-15 2018-11-06 International Business Machines Corporation In-situ laser beam position and spot size sensor and high speed scanner calibration, wafer debonding method
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
TWI813595B (zh) * 2017-11-03 2023-09-01 日商東京威力科創股份有限公司 功能微電子元件之良率提高
US10984524B2 (en) * 2017-12-21 2021-04-20 Advanced Ion Beam Technology, Inc. Calibration system with at least one camera and method thereof
BR112020013260A2 (pt) * 2017-12-28 2020-12-01 Avery Dennison Retail Information Services, Llc aparelho e método de controle adaptivo do corte a laser com base na inspeção óptica
KR102203579B1 (ko) * 2017-12-31 2021-01-15 주식회사 에이티앤씨 트레이 단위의 레이저 각인 장치
DE102018113913A1 (de) * 2018-06-11 2019-12-12 Mühlbauer Gmbh & Co. Kg Kartenmarkiersystem und Verfahren zum automatisierten Ermitteln einer optimierten Einstellung eines Kartenmarkiersystems
JP7084227B2 (ja) * 2018-06-22 2022-06-14 株式会社Screenホールディングス マーク位置検出装置、描画装置およびマーク位置検出方法
TWI698953B (zh) * 2018-07-13 2020-07-11 鈦昇科技股份有限公司 校正雷射打印方法
US11251096B2 (en) * 2018-09-05 2022-02-15 Micron Technology, Inc. Wafer registration and overlay measurement systems and related methods
WO2020049127A1 (en) * 2018-09-05 2020-03-12 Assembleon B.V. Die attach systems, and methods for integrated accuracy verification and calibration using such systems
WO2020068573A2 (en) * 2018-09-28 2020-04-02 Corning Incorporated Rotating light source utilized to modify substrates
CN109108480B (zh) * 2018-10-08 2020-08-28 南京溧水高新创业投资管理有限公司 一种集成电路芯片激光打印用夹具
KR102175286B1 (ko) * 2018-10-11 2020-11-06 라온피플 주식회사 결함 검출 장치 및 방법
CN109175716B (zh) * 2018-10-12 2021-04-13 常州英诺激光科技有限公司 激光打标控制方法、装置、计算机设备和存储介质
TWI708041B (zh) * 2018-10-17 2020-10-21 所羅門股份有限公司 檢測與標記瑕疵的方法
DE102018127221B4 (de) * 2018-10-31 2021-05-06 Carl Zeiss Industrielle Messtechnik Gmbh Koordinatenmesssystem
TWI678744B (zh) * 2018-11-16 2019-12-01 皓琪科技股份有限公司 一種配備在線估評之畫記系統及操作方法
EP3914417A1 (en) * 2019-01-23 2021-12-01 nLIGHT, Inc. A galvanometric laser system with a calibration test piece
JP7516403B2 (ja) 2019-02-08 2024-07-16 ヤスカワ アメリカ インコーポレイティッド スルービーム自動ティーチング
US11525968B2 (en) 2019-03-14 2022-12-13 Nlight, Inc. Calibration validation using geometric features in galvanometric scanning systems
US11579440B2 (en) 2019-03-14 2023-02-14 Nlight, Inc. Focus assessment in dynamically focused laser system
US10906184B2 (en) 2019-03-29 2021-02-02 Mujin, Inc. Method and control system for verifying and updating camera calibration for robot control
US10399227B1 (en) 2019-03-29 2019-09-03 Mujin, Inc. Method and control system for verifying and updating camera calibration for robot control
JP7307001B2 (ja) * 2019-06-17 2023-07-11 東レエンジニアリング株式会社 レーザ加工装置および方法、チップ転写装置および方法
US11626305B2 (en) * 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
JP7303053B2 (ja) * 2019-07-17 2023-07-04 ファナック株式会社 調整補助具及びレーザ溶接装置
US20210016394A1 (en) * 2019-07-18 2021-01-21 General Electric Company System and methods for compensating for calibration plate irregularities in additive manufacturing systems
SG11201911798UA (en) * 2019-08-23 2021-04-29 Ev Group E Thallner Gmbh Method and device for the alignment of substrates
US11084123B2 (en) * 2019-09-05 2021-08-10 The Boeing Company Laser-etching and machine-vision positioning system
PL4065378T3 (pl) * 2019-11-25 2024-01-29 Weidmüller Interface GmbH & Co. KG Sposób i przyrząd do znakowania ustawianych szeregowo urządzeń elektrycznych
CN114923409B (zh) * 2020-01-02 2023-06-23 浙江大学台州研究院 一种基于不同高度零件尺寸测量的激光辅助标定装置
US11435391B2 (en) * 2020-01-22 2022-09-06 Nanya Technology Corporation Dual-sided wafer imaging apparatus and methods thereof
CN111735487B (zh) * 2020-05-18 2023-01-10 清华大学深圳国际研究生院 传感器、传感器标定方法与设备、存储介质
CN111856252B (zh) * 2020-08-24 2022-05-27 珠海市精实测控技术有限公司 一种单基准定位全浮动式pcb功能测试结构
CN112070133B (zh) * 2020-08-27 2023-02-03 武汉华工激光工程有限责任公司 一种基于测距仪和机器视觉的三维空间点定位的方法
EP3970899B1 (en) * 2020-09-18 2023-11-22 Laser Systems & Solutions of Europe Method of and system for uniformly irradiating a frame of a processed substrate having a plurality of frames
CN112710662B (zh) * 2020-12-25 2024-08-06 深圳中科飞测科技股份有限公司 生成方法及装置、生成系统和存储介质
TWI762182B (zh) * 2021-02-05 2022-04-21 德制國際有限公司 自動加工方法及自動加工系統
CN113210853B (zh) * 2021-04-13 2023-02-28 广东原点智能技术有限公司 一种光路校正系统及其校正方法
US20230013886A1 (en) * 2021-07-13 2023-01-19 Changxin Memory Technologies, Inc. Measurement map configuration method and apparatus
TWI781696B (zh) * 2021-07-23 2022-10-21 致茂電子股份有限公司 位置校準系統及方法
CN114226289A (zh) * 2021-12-23 2022-03-25 南光高科(厦门)激光科技有限公司 基于机器视觉的自动化激光标记系统、标记方法及应用
CN114295056B (zh) * 2021-12-31 2024-06-18 普聚智能系统(苏州)有限公司 一种激光加工设备的视觉定位系统快速校正方法及应用
CN114577064B (zh) * 2021-12-31 2023-06-23 哈瓦国际航空技术(深圳)有限公司 瞄准器校准方法以及无人机
US20230288472A1 (en) * 2022-03-11 2023-09-14 Mellanox Technologies, Ltd. Substrate testing with three-dimensional scanning
KR20240113171A (ko) 2023-01-13 2024-07-22 주식회사 에스에프에이 레이저 마킹 장치 및 이를 포함하는 인라인 레이저 가공 시스템
CN116921854B (zh) * 2023-07-07 2024-03-29 上海君屹工业自动化股份有限公司 Busbar焊接的离焦量设定方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4820055A (en) * 1985-08-26 1989-04-11 Siemens Aktiengesellschaft Apparatus for adjusting a mask with at least one adjustment mark relative to a semi-conductor wafer provided with at least one lattice structure
US5585019A (en) * 1995-03-10 1996-12-17 Lumonics Inc. Laser machining of a workpiece through adjacent mask by optical elements creating parallel beams
US5999252A (en) * 1998-07-22 1999-12-07 Seh America, Inc. Method for marking workpieces

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102292A (en) * 1870-04-26 Improvement in trunks
US495204A (en) * 1893-04-11 Fabriken
CH605010A5 (ko) * 1976-10-07 1978-09-29 Lasag Sa
US4156124A (en) 1977-04-14 1979-05-22 Optical Engineering, Inc. Image transfer laser engraving
US4170908A (en) * 1978-05-01 1979-10-16 Joy Manufacturing Company Indexing mechanism for an open-head power tong
US4323755A (en) 1979-09-24 1982-04-06 Rca Corporation Method of making a machine-readable marking in a workpiece
JPS5693318A (en) 1979-12-10 1981-07-28 Fujitsu Ltd Electron beam exposure device
US4504144A (en) * 1982-07-06 1985-03-12 The Perkin-Elmer Corporation Simple electromechanical tilt and focus device
US4468551A (en) * 1982-07-30 1984-08-28 Armco Inc. Laser treatment of electrical steel and optical scanning assembly therefor
JPS5972728A (ja) * 1982-10-20 1984-04-24 Canon Inc 自動整合装置
US4734558A (en) 1983-05-16 1988-03-29 Nec Corporation Laser machining apparatus with controllable mask
DE3324551A1 (de) 1983-07-07 1985-01-17 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur kennzeichnung von halbleiteroberflaechen durch laserstrahlung
JPS6066341A (ja) 1983-09-20 1985-04-16 Olympus Optical Co Ltd 情報記録再生装置
US5365342A (en) 1984-10-18 1994-11-15 Canon Kabushiki Kaisha Alignment and exposure apparatus and method for manufacture of integrated circuits
US4872177A (en) 1985-05-01 1989-10-03 Spectra-Physics Laser diode pumped solid state laser
US4685775A (en) * 1985-11-15 1987-08-11 Teradyne, Inc. Light beam positioning apparatus
JPH0658466B2 (ja) 1985-11-28 1994-08-03 日本電気株式会社 レ−ザマ−カ装置
US4702134A (en) * 1986-04-10 1987-10-27 Corley Manufacturing Company Edging apparatus
US4910725A (en) * 1986-04-23 1990-03-20 Drexler Technology Corporation Optical recording method for data cards
US4823394A (en) * 1986-04-24 1989-04-18 Kulicke & Soffa Industries, Inc. Pattern recognition system
US4752668A (en) * 1986-04-28 1988-06-21 Rosenfield Michael G System for laser removal of excess material from a semiconductor wafer
US4797749A (en) * 1986-11-18 1989-01-10 General Scanning, Inc. Scanning system with tunable resonant actuator
JPS63275264A (ja) 1987-05-06 1988-11-11 Hashimoto Corp いたずら防止手段を有する電話端末装置
JPH07107481B2 (ja) 1987-05-21 1995-11-15 アンリツ株式会社 変位測定装置
JP2694868B2 (ja) * 1987-08-31 1997-12-24 株式会社ニコン 位置検出方法及び装置
US4886958A (en) 1988-03-25 1989-12-12 Texas Instruments Incorporated Autofocus system for scanning laser inspector or writer
US4952858A (en) * 1988-05-18 1990-08-28 Galburt Daniel N Microlithographic apparatus
JP2773147B2 (ja) * 1988-08-19 1998-07-09 株式会社ニコン 露光装置の位置合わせ装置及び方法
US5235408A (en) * 1988-09-05 1993-08-10 Canon Kabushiki Kaisha Position detecting method and apparatus
US4918284A (en) 1988-10-14 1990-04-17 Teradyne Laser Systems, Inc. Calibrating laser trimming apparatus
JPH02133185A (ja) 1988-11-10 1990-05-22 Mitsubishi Electric Corp 半導体装置のレーザマーキング方法
US4922077A (en) * 1989-01-31 1990-05-01 Raytheon Company Method of laser marking metal packages
CH678846A5 (ko) * 1989-02-22 1991-11-15 Tetra Pak Romont
US4985780A (en) 1989-04-04 1991-01-15 Melco Industries, Inc. Portable electronically controlled laser engraving machine
US5265170A (en) * 1990-01-11 1993-11-23 Hine Design, Inc. Devices and methods for reading identification marks on semiconductor wafers
GB9003268D0 (en) * 1990-02-13 1990-04-11 Stevens William H Improvements in or relating to lasers
JP2712772B2 (ja) * 1990-07-05 1998-02-16 株式会社ニコン パターン位置測定方法及び装置
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5635976A (en) 1991-07-17 1997-06-03 Micronic Laser Systems Ab Method and apparatus for the production of a structure by focused laser radiation on a photosensitively coated substrate
US5256578A (en) 1991-12-23 1993-10-26 Motorola, Inc. Integral semiconductor wafer map recording
US5502311A (en) * 1992-01-17 1996-03-26 Nikon Corporation Method of and apparatus for detecting plane position
US5406132A (en) 1992-01-21 1995-04-11 Advantest Corporation Waveform shaper for semiconductor testing devices
US5737122A (en) * 1992-05-01 1998-04-07 Electro Scientific Industries, Inc. Illumination system for OCR of indicia on a substrate
US5383118A (en) * 1992-09-23 1995-01-17 At&T Corp. Device alignment methods
JPH0810729B2 (ja) 1993-01-20 1996-01-31 日本電気株式会社 捺印機
GB9307421D0 (en) 1993-04-08 1993-06-02 Cadcam Punch Ltd Laser cutter
US5329090A (en) * 1993-04-09 1994-07-12 A B Lasers, Inc. Writing on silicon wafers
US5521628A (en) 1993-08-30 1996-05-28 Lumonics Corporation Laser system for simultaneously marking multiple parts
GB9318804D0 (en) * 1993-09-10 1993-10-27 Ici Plc Optical data recordal
US5548326A (en) * 1993-10-06 1996-08-20 Cognex Corporation Efficient image registration
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
US5721605A (en) * 1994-03-29 1998-02-24 Nikon Corporation Alignment device and method with focus detection system
JP3491346B2 (ja) 1994-08-22 2004-01-26 株式会社ニコン 位置合わせ方法及びそれを用いた露光方法、並びに位置合わせ装置及びそれを用いた露光装置
JP2682475B2 (ja) 1994-11-17 1997-11-26 日本電気株式会社 ビームスキャン式レーザマーキング方法および装置
JPH08150485A (ja) 1994-11-28 1996-06-11 Komatsu Ltd レーザマーキング装置
JP3077539B2 (ja) * 1994-12-22 2000-08-14 松下電器産業株式会社 レーザ加工方法
JP3162254B2 (ja) 1995-01-17 2001-04-25 三菱電機株式会社 レーザ加工装置
JP2860765B2 (ja) 1995-03-07 1999-02-24 株式会社小松製作所 レーザ刻印装置の制御装置
US5843626A (en) 1995-04-19 1998-12-01 Pioneer Video Corporation Method for manufacturing a master disc for optical discs
IT1279210B1 (it) * 1995-05-16 1997-12-04 Dea Spa Dispositivo e metodo di visione per la misura tridimensionale senza contatto.
US5629484A (en) * 1995-05-19 1997-05-13 Micron Technology, Inc. Method and apparatus for monitoring a laser ablation operation involving multiple ablation sites on a workpiece
JP2943673B2 (ja) * 1995-10-31 1999-08-30 日本電気株式会社 半導体基板の製造装置及び製造方法
US5825483A (en) 1995-12-19 1998-10-20 Cognex Corporation Multiple field of view calibration plate having a reqular array of features for use in semiconductor manufacturing
US5932119A (en) * 1996-01-05 1999-08-03 Lazare Kaplan International, Inc. Laser marking system
US5937270A (en) 1996-01-24 1999-08-10 Micron Electronics, Inc. Method of efficiently laser marking singulated semiconductor devices
DE19727957A1 (de) * 1996-07-02 1998-01-08 Miyachi Technos Kk Lasermarkiervorrichtung vom Abtasttyp
JP3259014B2 (ja) 1996-07-24 2002-02-18 ミヤチテクノス株式会社 スキャニング式レーザマーキング方法及び装置
US5894530A (en) 1996-09-05 1999-04-13 Electro Scientific Industries, Inc. Optical viewing system for simultaneously viewing indicia located on top and bottom surfaces of a substrate
US5757756A (en) * 1996-10-15 1998-05-26 Eastman Kodak Company Reducing mark length variations in recording data in wobbled groove storage media
US5896753A (en) * 1996-10-18 1999-04-27 Lg Electronics Inc. Freezing cycle apparatus having quick freezing and thawing functions
JPH10172890A (ja) 1996-12-12 1998-06-26 Nikon Corp 投影露光方法
US5998759A (en) 1996-12-24 1999-12-07 General Scanning, Inc. Laser processing
US5812569A (en) 1997-03-21 1998-09-22 Lumonics, Inc. Stabilization of the output energy of a pulsed solid state laser
US5854805A (en) * 1997-03-21 1998-12-29 Lumonics Inc. Laser machining of a workpiece
US6231196B1 (en) * 1997-03-27 2001-05-15 Precision Laser Marking, Inc. Laser marking process and products
IL132432A0 (en) * 1997-04-18 2001-03-19 Nikon Corp An exposure apparatus exposure method using the same and method of manufacture of circuit device
US5854604A (en) 1997-05-12 1998-12-29 Northrop Grumman Corporation High-power waveform generator
WO1998053949A1 (en) * 1997-05-27 1998-12-03 Sdl, Inc. Laser marking system and method of energy control
US5929997A (en) * 1997-07-02 1999-07-27 Winbond Electronics Corp. Alignment-mark measurements on the backside of a wafer for synchronous wafer alignment
US5942137A (en) * 1997-08-29 1999-08-24 Scitex Corporation Ltd. Method and apparatus for laser scribing grooves on hard crystals
NL1007068C2 (nl) * 1997-09-18 1999-03-22 Nl Laser Res Laserbewerkingsapparaat.
JPH11135390A (ja) 1997-10-27 1999-05-21 Sony Corp Idが印字されているウェーハ、半導体デバイスの製造方法および半導体デバイスの製造装置
US5969877A (en) 1997-11-26 1999-10-19 Xerox Corporation Dual wavelength F-theta scan lens
JP3426485B2 (ja) * 1997-11-28 2003-07-14 富士通株式会社 印刷装置
US5986753A (en) * 1998-03-09 1999-11-16 Chapman Instruments Inc. Wafer holding and orienting fixture for optical profilometry
JP3874528B2 (ja) * 1998-03-11 2007-01-31 株式会社小松製作所 半導体ウエハのレーザマーキング方法
US6275250B1 (en) * 1998-05-26 2001-08-14 Sdl, Inc. Fiber gain medium marking system pumped or seeded by a modulated laser diode source and method of energy control
US6339604B1 (en) 1998-06-12 2002-01-15 General Scanning, Inc. Pulse control in laser systems
JP3548428B2 (ja) 1998-07-03 2004-07-28 キヤノン株式会社 位置計測装置及びそれを用いたデバイスの製造方法
JP3055104B2 (ja) * 1998-08-31 2000-06-26 亜南半導体株式会社 半導体パッケ―ジの製造方法
US6144118A (en) * 1998-09-18 2000-11-07 General Scanning, Inc. High-speed precision positioning apparatus
JP2000114129A (ja) * 1998-10-09 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
AU1078700A (en) * 1998-11-06 2000-05-29 Nikon Corporation Exposure method and exposure apparatus
US6356091B1 (en) * 1998-11-19 2002-03-12 Speedfam-Ipec Corporation Automatic wafer mapping in a wet environment on a wafer cleaner
US6774340B1 (en) * 1998-11-25 2004-08-10 Komatsu Limited Shape of microdot mark formed by laser beam and microdot marking method
US6137303A (en) 1998-12-14 2000-10-24 Sony Corporation Integrated testing method and apparatus for semiconductor test operations processing
US6300590B1 (en) * 1998-12-16 2001-10-09 General Scanning, Inc. Laser processing
US6262388B1 (en) * 1998-12-21 2001-07-17 Micron Electronics, Inc. Laser marking station with enclosure and method of operation
US6417484B1 (en) * 1998-12-21 2002-07-09 Micron Electronics, Inc. Laser marking system for dice carried in trays and method of operation
US6710284B1 (en) * 1999-02-26 2004-03-23 Micron Technology, Inc. Laser marking techniques for bare semiconductor die
US6177648B1 (en) 1999-03-30 2001-01-23 Laser Machining, Inc. Steered laser beam system with laser power control
US6501061B1 (en) 1999-04-27 2002-12-31 Gsi Lumonics Inc. Laser calibration apparatus and method
JP2001308154A (ja) 2000-04-24 2001-11-02 Nikon Corp 電子線検査装置、電子線検査方法及びデバイス製造方法
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer
US20050120840A1 (en) * 1999-10-13 2005-06-09 Koskovich Jerome E. Automated board processing apparatus
JP2001118757A (ja) * 1999-10-20 2001-04-27 Komatsu Ltd 微小ドットマークを有する半導体基材
US6671049B1 (en) 1999-10-29 2003-12-30 Cognex Corporation Article of manufacture bearing a universal alignment target
US7838794B2 (en) * 1999-12-28 2010-11-23 Gsi Group Corporation Laser-based method and system for removing one or more target link structures
US7723642B2 (en) * 1999-12-28 2010-05-25 Gsi Group Corporation Laser-based system for memory link processing with picosecond lasers
US6281471B1 (en) * 1999-12-28 2001-08-28 Gsi Lumonics, Inc. Energy-efficient, laser-based method and system for processing target material
US6340806B1 (en) * 1999-12-28 2002-01-22 General Scanning Inc. Energy-efficient method and system for processing target material using an amplified, wavelength-shifted pulse train
TW587332B (en) * 2000-01-07 2004-05-11 Canon Kk Semiconductor substrate and process for its production
US6337122B1 (en) * 2000-01-11 2002-01-08 Micron Technology, Inc. Stereolithographically marked semiconductors devices and methods
US20030024913A1 (en) 2002-04-15 2003-02-06 Downes Joseph P. Laser scanning method and system for marking articles such as printed circuit boards, integrated circuits and the like
WO2001054854A1 (en) 2000-01-28 2001-08-02 Gsi Lumonics, Inc. Laser scanning method and system for marking articles such as printed circuit boards, integrated circuits and the like
JP2001223145A (ja) * 2000-02-07 2001-08-17 Komatsu Ltd 特異な形態のドットマークを有する半導体ウェハとそのドットマークの形成方法
US6496270B1 (en) 2000-02-17 2002-12-17 Gsi Lumonics, Inc. Method and system for automatically generating reference height data for use in a three-dimensional inspection system
JP4573941B2 (ja) 2000-03-30 2010-11-04 富士フイルム株式会社 コリメータレンズおよびこれを用いた光走査装置
US6309943B1 (en) 2000-04-25 2001-10-30 Amkor Technology, Inc. Precision marking and singulation method
US6483071B1 (en) * 2000-05-16 2002-11-19 General Scanning Inc. Method and system for precisely positioning a waist of a material-processing laser beam to process microstructures within a laser-processing site
US6662063B2 (en) 2000-05-16 2003-12-09 Gsi Lumonics Corporation Method and subsystem for determining a sequence in which microstructures are to be processed at a laser-processing site
US6495791B2 (en) 2000-05-16 2002-12-17 General Scanning, Inc. Method and subsystem for generating a trajectory to be followed by a motor-driven stage when processing microstructures at a laser-processing site
JP4614502B2 (ja) * 2000-06-08 2011-01-19 株式会社東芝 凹穴型ドットマークの形成方法と同ドットマークを有する半導体ウェハ
US6432796B1 (en) 2000-06-28 2002-08-13 Micron Technology, Inc. Method and apparatus for marking microelectronic dies and microelectronic devices
US6528760B1 (en) 2000-07-14 2003-03-04 Micron Technology, Inc. Apparatus and method using rotational indexing for laser marking IC packages carried in trays
WO2002024396A1 (en) * 2000-09-20 2002-03-28 Electro Scientific Industries, Inc. Uv laser cutting or shape modification of brittle, high melting temperature target materials such as ceramics or glasses
US6768539B2 (en) * 2001-01-15 2004-07-27 Asml Netherlands B.V. Lithographic apparatus
US20070173075A1 (en) * 2001-03-29 2007-07-26 Joohan Lee Laser-based method and system for processing a multi-material device having conductive link structures
US6972268B2 (en) 2001-03-29 2005-12-06 Gsi Lumonics Corporation Methods and systems for processing a device, methods and systems for modeling same and the device
DE10131610C1 (de) 2001-06-29 2003-02-20 Siemens Dematic Ag Verfahren zur Kalibrierung des optischen Systems einer Lasermaschine zur Bearbeitung von elektrischen Schaltungssubstraten
KR100445974B1 (ko) 2001-12-01 2004-08-25 주식회사 이오테크닉스 칩 스케일 마커의 마킹 위치 보정 방법 및 그 장치
JP4518719B2 (ja) * 2001-12-10 2010-08-04 ソニー株式会社 データ処理システム、情報処理装置、および方法、並びにコンピュータ・プログラム
US6684172B1 (en) * 2001-12-27 2004-01-27 Advanced Micro Devices, Inc. Sensor to predict void free films using various grating structures and characterize fill performance
US7358157B2 (en) * 2002-03-27 2008-04-15 Gsi Group Corporation Method and system for high-speed precise laser trimming, scan lens system for use therein and electrical device produced thereby
US20060199354A1 (en) * 2002-03-27 2006-09-07 Bo Gu Method and system for high-speed precise laser trimming and electrical device produced thereby
US7563695B2 (en) * 2002-03-27 2009-07-21 Gsi Group Corporation Method and system for high-speed precise laser trimming and scan lens for use therein
US6951995B2 (en) 2002-03-27 2005-10-04 Gsi Lumonics Corp. Method and system for high-speed, precise micromachining an array of devices
KR100461024B1 (ko) * 2002-04-15 2004-12-13 주식회사 이오테크닉스 칩 스케일 마커 및 마킹 방법
TW592866B (en) 2002-04-26 2004-06-21 Eo Technics Co Ltd Method of calibrating marking in laser marking system
US7067763B2 (en) * 2002-05-17 2006-06-27 Gsi Group Corporation High speed, laser-based marking method and system for producing machine readable marks on workpieces and semiconductor devices with reduced subsurface damage produced thereby
KR20040046422A (ko) * 2002-11-27 2004-06-05 주식회사 이오테크닉스 1064/532 ㎚ 파장 겸용 레이저 시스템 및 칩 스케일 마커
KR100628455B1 (ko) 2002-12-21 2006-09-28 주식회사 이오테크닉스 칩 스케일 마커 및 마킹방법
KR100584840B1 (ko) 2002-12-24 2006-05-30 주식회사 이오테크닉스 칩 스케일 마커 및 마킹위치 보정방법
US20060000814A1 (en) * 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
US7280232B2 (en) * 2004-09-21 2007-10-09 Chapman Instruments, Inc. Method and apparatus for measuring wafer thickness
US7705268B2 (en) * 2004-11-11 2010-04-27 Gsi Group Corporation Method and system for laser soft marking
US20060189091A1 (en) * 2004-11-11 2006-08-24 Bo Gu Method and system for laser hard marking
US20060151704A1 (en) * 2004-12-30 2006-07-13 Cordingley James J Laser-based material processing methods, system and subsystem for use therein for precision energy control
US20060191884A1 (en) * 2005-01-21 2006-08-31 Johnson Shepard D High-speed, precise, laser-based material processing method and system
US7466466B2 (en) 2005-05-11 2008-12-16 Gsi Group Corporation Optical scanning method and system and method for correcting optical aberrations introduced into the system by a beam deflector
US20070117227A1 (en) * 2005-11-23 2007-05-24 Gsi Group Corporation Method And System for Iteratively, Selectively Tuning A Parameter Of A Doped Workpiece Using A Pulsed Laser
US20070215575A1 (en) * 2006-03-15 2007-09-20 Bo Gu Method and system for high-speed, precise, laser-based modification of one or more electrical elements
US20070106416A1 (en) * 2006-06-05 2007-05-10 Griffiths Joseph J Method and system for adaptively controlling a laser-based material processing process and method and system for qualifying same
US7732731B2 (en) * 2006-09-15 2010-06-08 Gsi Group Corporation Method and system for laser processing targets of different types on a workpiece

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4820055A (en) * 1985-08-26 1989-04-11 Siemens Aktiengesellschaft Apparatus for adjusting a mask with at least one adjustment mark relative to a semi-conductor wafer provided with at least one lattice structure
US5585019A (en) * 1995-03-10 1996-12-17 Lumonics Inc. Laser machining of a workpiece through adjacent mask by optical elements creating parallel beams
US5999252A (en) * 1998-07-22 1999-12-07 Seh America, Inc. Method for marking workpieces

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180036195A (ko) * 2016-09-30 2018-04-09 세메스 주식회사 웨이퍼 맵의 형성 방법
KR102620433B1 (ko) 2016-09-30 2024-01-03 세메스 주식회사 웨이퍼 맵의 형성 방법
KR101892576B1 (ko) 2017-04-04 2018-08-30 주식회사 이오테크닉스 복수의 3차원 레이저 스캐너의 캘리브레이션 방법 및 이를 이용한 레이저 가공 장치

Also Published As

Publication number Publication date
US7119351B2 (en) 2006-10-10
WO2003097290A1 (en) 2003-11-27
USRE41924E1 (en) 2010-11-16
US20080316504A1 (en) 2008-12-25
US20060180580A1 (en) 2006-08-17
US20040060910A1 (en) 2004-04-01
US20060186096A1 (en) 2006-08-24
JP2005526386A (ja) 2005-09-02
US20040152233A1 (en) 2004-08-05
US7067763B2 (en) 2006-06-27
US20040031779A1 (en) 2004-02-19
US20060054608A1 (en) 2006-03-16
US20070031993A1 (en) 2007-02-08
US7015418B2 (en) 2006-03-21
AU2003239502A1 (en) 2003-12-02
TW200414488A (en) 2004-08-01
TWI290363B (en) 2007-11-21
US20040144760A1 (en) 2004-07-29
KR20050024275A (ko) 2005-03-10

Similar Documents

Publication Publication Date Title
KR100914053B1 (ko) 반도체 웨이퍼와 같은 워크피이스를 마킹하는 방법 및 장치와 이에 이용하는 레이저마커
TWI670131B (zh) 雷射加工裝置
US20070096763A1 (en) Methods and apparatus for utilizing an optical reference
EP2769800B1 (en) Laser processing machine
KR20180105079A (ko) 레이저 가공 장치
TWI228816B (en) Chip scale marker and marking method
JP2000346618A (ja) 矩形ビーム用精密アライメント装置と方法
KR101812210B1 (ko) 마킹 위치 보정장치 및 방법
KR100771496B1 (ko) 레이저 마킹 시스템의 보정 장치 및 방법
JP2004148379A (ja) レーザマーキングシステム及びレーザマーキング方法
KR101857414B1 (ko) 마킹 위치 보정장치 및 방법
TWI698953B (zh) 校正雷射打印方法
KR20160107992A (ko) 레이저 마킹 장치
KR20150126810A (ko) 자동 초점 조절 기능을 가진 레이저 마킹 장치
KR20050071424A (ko) 레이저 마킹 시스템의 보정파일 생성방법
JP2007042858A (ja) 投影露光装置
KR20170126835A (ko) 자동 초점 조절 기능을 가진 레이저 마킹 장치
JP2004209505A (ja) レーザ加工装置における加工位置ずれ補正方法
TWI277478B (en) Laser marking method
US20230249410A1 (en) Automatic calibration of a laser processing system using a non-integrated telecentric optical detector with limited degrees of freedom
KR101892576B1 (ko) 복수의 3차원 레이저 스캐너의 캘리브레이션 방법 및 이를 이용한 레이저 가공 장치
KR20150005888A (ko) 자동 초점 조절 기능을 가진 레이저 마킹 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120806

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130808

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140805

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150805

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160808

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170809

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180808

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190807

Year of fee payment: 11