JP5093563B2 - 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム - Google Patents

導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム Download PDF

Info

Publication number
JP5093563B2
JP5093563B2 JP2006528112A JP2006528112A JP5093563B2 JP 5093563 B2 JP5093563 B2 JP 5093563B2 JP 2006528112 A JP2006528112 A JP 2006528112A JP 2006528112 A JP2006528112 A JP 2006528112A JP 5093563 B2 JP5093563 B2 JP 5093563B2
Authority
JP
Japan
Prior art keywords
substrate
conductive
forming
hole
vias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006528112A
Other languages
English (en)
Other versions
JP2007520051A (ja
JP2007520051A5 (ja
Inventor
シンハ,ニシャント
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2007520051A publication Critical patent/JP2007520051A/ja
Publication of JP2007520051A5 publication Critical patent/JP2007520051A5/ja
Application granted granted Critical
Publication of JP5093563B2 publication Critical patent/JP5093563B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

発明の分野:本発明は一般に半導体製造に関する。詳細には、本発明は、半導体構成部品の基板の一方の表面からその半導体構成部品のその基板の反対側の表面への電気相互接続を製造するため方法に関し、より詳細には、ウェーハ、インターポーザ又は他の基板に貫通ビアを製造するための方法に関する。
背景技術
到達水準:半導体チップは、チップの両面に集積回路を有するように製作することができ、或いは、他の電子構成部品や他の半導体チップと接続し又は相互作用するように設計することができる。インターポーザは、半導体デバイスやプリント回路板などの2つの電気構成部品をインタフェースするのに利用することができ、コンタクタ・ボードは、半導体ウェーハ上のダイを試験するためにその半導体ウェーハとプローブ・カードをインタフェースするのに使用することができる。半導体チップは、半導体ウェーハ又は他のバルク基板材料から形成することができ、インターポーザ及びコンタクタ・ボードは、シリコン、セラミック又はポリマー基板から形成することができる。
導電的にライニングされ又は充てんされた穴(以下「ビア」という)は、チップの一方の面の集積回路を、そのチップのもう一方の面の集積回路、大地又は他のバイアス電圧、他の電子構成部品、或いは他のチップの集積回路に接続するために使用される。ビアはさらに、インターポーザ又はコンタクタ・ボードの反対側の面に配置された構造間の電気通信を提供するために使用され、これらの構造は、コンタクト・パッド又は電気構成部品の他の構造と整列し、様々な構成部品間の電気接続を確立することができる。
集積回路の連続的な小型化の結果、ビアのアスペクト比(この用語はビアの高さ又は長さと幅又は直径の比を指す)はますます大きくなるばかりである。この大きくなるばかりのアスペクト比に寄与する1つの要因は、ビアの幅が狭くなり続けていることである。積み重ねられたチップ、インターポーザ及びコンタクタ・ボードにおいて、典型的には幅が約50ミクロンである高アスペクト比ビアを充てんするために使用される公知のプロセスは、ビアにボイド又はキーホールを形成することなくビアを充てんすることが困難である。従来から、ビアは、化学蒸着(CVD)又は物理蒸着(PVD)を使用して銅などの金属のシード層でライニングすることができ、その後このシード層は電気めっきによってコーティングされる。ビアのアスペクト比が大きくなるにつれて、ビアの導電率に不利な影響を及ぼす空洞、ボイド又はキーホールを生じることなく、めっき材料でビアをライニングし又は充てんすることは一層困難になる。
図1を参照すると、全体が10で示された基板の断面が示されている。この基板は、当技術分野で公知の電気めっきプロセスを使用して充てんされたビア12を含む。ビア12の内部は、電気めっきプロセスを使用して付着された金属層14でコーティングされている。電気めっきは、イオンの形態の金属を含む槽の中に浸した基板に、溶解したこのイオンの形態の金属を付着させる電気化学的なプロセスである。基板の金属シード層によって提供される陰極に金属イオンが付着するように、陽極から電気めっき溶液中に電流が流される。図示のとおり、金属層14の表面はでこぼこであり、ビア12が完全に充てんされると、このでこぼこの表面の結果、ビアを埋めるコンタクト塊の中に1つ又は複数のボイドが形成され得る。他の公知のプロセスでは、無電解めっきプロセスによってビアが充てんされる。無電解めっきでは、例えばプラズマ化学蒸着(PECVD)を使用することによってシード層が形成される。水溶液中の金属イオン及び化学還元剤を含む槽の中に基板を浸して、金属イオンが化学還元過程によってシード層に付着するようにすることにより、シード層は金属層によってコーティングされる。
図2は、全体が20で示された他の基板の断面を示している。基板20は、当技術分野で公知の電気めっきを使用して金属層24で充てんされたビア22を含む。金属層24は、基板20の上面及び下面の近くでより効率的に付着しており、その結果、基板の上面及び下面の近くでビア22が実質的に閉じられており、ビア22の中間部分は充てんされずに残されている。ビア22の充てんされていない部分26はキーホールと呼ばれ、キーホールの存在はビア22の導電率を低下させる。
ビアの中のボイド及びキーホールの形成を防ぐ試みの中で、ビアを充てんする他の方法が開発された。図3は、全体が30で示された基板の断面図である。基板30は、当該技術分野で公知の無電解めっきを使用して充てんされているビア32を含む。基板30は、浸漬めっきとも呼ばれる無電解めっきプロセス用の槽の中に置かれている。図示のように、金属の連続付着によって、ビア32が金属で実質的に充てんされるまで、ビア32の側壁のシード層(図示せず)の上に金属層34が形成される。しかし、図3の無電解付着プロセスの結果、ビア32の中にボイド又は凹みが生じる可能性がある。さらに、無電解めっきは比較的にゆっくりと進むため、すなわち、ニッケルなどの金属が約20ミクロン/時の最大速度で付着するため、付着プロセスが完了するまでに要する長い時間が望ましくない場合がある。例えばビアの幅が70μmの場合、この付着プロセスでは、金属層34がビアの中心に向かって内側へ成長してビア32を完全に充てんするときにビア32の内部に約35μm(70μm/2)の金属が付着するのに約1時間45分かかる。
ビアにボイド及びキーホールが形成されるのを防ぐ他の試みでは、当該技術分野で公知の無電解ボトムフィル・プロセスを使用することができる。図4は、全体が40で示された基板の断面図を示す。基板40は、ビア42と、ビア42の底46に付着しビア42の頂部48に向かって成長する金属層44とを含む。ビア42の底46は、銅(Cu)、ニッケル(Ni)、タングステン(W)など適宜の金属を含むことができる。このボトムフィル・プロセスのアプローチは、金属層44を、(図3に示すような)ビア42の側面からではなく一方向に即ち上方へ付着させることによって、互いに向かって成長している金属層間にボイド及びキーホールが形成されないというものである。このボトムフィル・プロセスは、銅を用い、銅の移動によってビアにキーホールが形成されるのを防ぐ試みにおいて実行することができる。しかし、例えば700ミクロンなどビアが深い場合があり、無電解めっきは、前述の比較的に緩慢な速度で金属を付着させるため、ビアを完全に充てんするプロセスは容認できないほどに時間がかかる。ビアの底からの電気めっきも知られており、ここでは、陰極の役目を果たす導体が基板の底に置かれてビアの底を覆う。しかし、このようなアプローチは、ビアが充てんされるウェーハ処理ステージを厳しく限定し、基板上に形成された他の構造又は基板の上に形成される他の構造に設計上の制限を課す場合がある。
したがって、ビアを充てんする改良された方法であって、公知のプロセスよりも高速であり、充てんされたビアにボイド、凹み又はキーホールを残さず、製造の費用効果が高い、改善された方法に対する必要性が存在する。
発明の開示
本発明は、幾つかの実施の形態においては、上記の困難を、半導体構成部品に導電性ビアを形成するための方法、及び該方法から得られる半導体構成部品を提供することによって克服する。導電性ビアが電気めっき又は無電解めっきされた金属で完全には充てんされないため、導電性ビアを形成する本発明の方法は、公知のプロセスよりも高速である。さらに、本発明の導電性ビアは、空洞、ボイド及びキーホールを実質的に含まず、そのため、ビアの導電率が損なわれない導電材料の環状層を含む。
半導体構成部品に導電性ビアを形成するための方法の例示的な一つの実施の形態は、第1の表面及び反対側の第2の表面を有する基板を設けるステップを含む。基板を貫通して、基板の第1の表面から第2の表面まで延びる少なくとも1つの穴が形成される。第1の表面、第2の表面、及び基板に形成された少なくとも1つの穴を規定する側壁にシード層が付着される。基板の第1の表面及び反対側の第2の表面の上にあるシード層が除去され、この少なくとも1つの穴の側壁にシード層が残される。側壁のシード層は導電層でコーティングされ、この少なくとも1つの穴の残りの空間に、導電性又は非導電性の充てん材料が導入される。
別の例示的な実施の形態では、基板を貫通した導電性ビアを製造するための第2の方法が開示される。この方法は、第1の表面及び反対側の第2の表面を有する基板を設けるステップを含む。基板の第1の表面に少なくとも1つの空胴が形成される。基板の第1の表面及びこの少なくとも1つの空胴を規定している基板の露出領域の上に、導電層が付着される。この少なくとも1つの空胴の残りの空間に充てん材料が導入される。基板の反対側の第2の表面上に、導電層及びこの少なくとも1つの空胴に導入された充てん材料が露出される。
例示的なさらに別の実施の形態は、少なくとも1つの導電性ビア前駆構造を含む中間半導体構成部品を含む。この中間半導体構成部品は、第1の表面及び反対側の第2の表面を有する基板を含む。この少なくとも1つの導電性ビア前駆構造は、基板の第1の表面中へ延び、反対側の第2の表面に達する前に基板の中で止まる。この少なくとも1つのビア前駆構造は、第1の表面から延び、導電性又は非導電性の充てん材料を囲む環状の導電層を含む。
本発明の他の例示的な実施の形態は、第1の表面及び反対側の第2の表面を有する基板と、これらの表面の間に延びる少なくとも1つの導電性ビアとを含む半導体構成部品を含む。この少なくとも1つの導電性ビアは、基板の第1の表面から基板の第2の表面まで延びる環状の導電層を含む。この環状導電層によって導電性又は非導電性の充てん材料を囲み、導電性又は非導電性の充てん材料は、基板の第1の表面から基板の反対側の第2の表面まで延びる。
他の実施形態において、本発明はさらに、マイクロプロセッサと、このマイクロプロセッサと通信する少なくとも1つのメモリ・デバイスとを含むシステムを包含する。この少なくとも1つのメモリ・デバイスは、第1の表面及び反対側の第2の表面を有する基板と、これらの表面の間に延びる少なくとも1つの導電性ビアとを含む。この少なくとも1つの導電性ビアは、基板の第1の表面から基板の反対側の第2の表面まで延びる導電材料の環状層を含む。この導電材料の環状層によって導電性又は非導電性の充てん材料を囲み、導電性又は非導電性の充てん材料は、基板の第1の表面から基板の反対側の第2の表面まで延びる。このメモリ・デバイスはさらに、この少なくとも1つの導電性ビアの上に重なる少なくとも1つのボンド・パッドを含む。
添付図面は、現時点において本発明を実施するための最良の形態と考えられるものを示す。
発明を実施するための最良の形態
一般に、本発明は、半導体構成部品の基板の一方の表面からその基板の反対側の表面への電気相互接続、すなわちビアを製造するための方法を含む。ビアは、半導体構成部品の様々な電気構造を電気的に接続することができ、又は他の構成部品と電気的に接続するために使用することができる。当業者には明白なように、ビアを製造するための本発明の方法は、電気相互接続が要求されるインターポーザやコンタクタ・ボードなど他の基板を製造する際にも有用である。本明細書で使用されるとき、用語「半導体構成部品」は、半導体ウェーハ、他のバルク半導体基板、及び本発明に基づく貫通ビアの形成が可能な他の基板材料から製造された電子構成部品を意味し、このような電子構成部品を含む。
添付図面を参照すると、ウェーハ又は他の基板の厚さを貫通して形成されるビアを製造するための方法の様々な実施の形態が示されており、これらの図面では、同種の特徴及び要素は同じ参照符号又は同種の参照符号によって識別される。本明細書で説明されるプロセスはビアを製造するための方法を例示するが、当業者には明白なように、本明細書で説明される諸段階は半導体構成部品の製造プロセス全体の一部を構成し、これらを、他の製造プロセスと組み合わせることができる。本明細書で使用されるとき、用語「基板」は、ビアを形成することができる任意の支持構造を指し、これには、半導体ウェーハ、インターポーザ基板、コンタクタ・ボード又は基板ベースの他の構造が含まれるが、これらに限定されるものではない。
本発明は、ウェーハ又は他の基板の厚さを貫通するビアを製造するための方法を含み、これらのビアは、導電性ライナ材料と充てん材料とを含む。充てん材料は導電材料又は非導電材料とすることができる。次に図5Aを参照すると、全体が100で示された半導体構成部品の断面が示されている。半導体構成部品100は、第1の表面114及び反対側の第2の表面116を有する基板112を含む。基板112は未処理の半導体ウェーハ又は他の基板を含むことができ、この基板は、1つ又は複数の半導体層又は他の構造を含む、自体の表面に形成された様々なプロセス層を有することができる。基板112はさらに、エッチング、付着又は他の公知の技法によって製造され且つ自体の表面に位置する活性部分又は他の動作可能部分を含むことができる。基板112はさらに、試験装置と試験される半導体デバイス(コンタクタ・ボード)との間で用いられる、又は、他の基板の中でのルーティングを提供するためのパッケージにおけるメモリ・デバイスとシステムとの間で使用されるインターポーザ基板を含むことができる。この例示的な実施の形態では、基板112は単結晶シリコンなどの半導体材料を含む。他の実施の形態では、基板112は、多結晶シリコン、ゲルマニウム、シリコン・オン・ガラス、シリコン・オン・サファイア、セラミック、ポリマー又はガラス充てん材入りエポキシ樹脂材料を含むことができる。基板112はさらに、公知の他の任意の基板材料を含むことができる。
半導体構成部品100は、基板112の第1の表面114から第2の表面116まで延びるビア118を有する。この例示的な実施の形態では、ビア118は実質的に円筒形の形状を有し、内部表面ないし側壁120によって規定される。他の実施の形態では、ビア118は砂時計形などの他の形状を有し、又はビア形成用の公知の他の任意の形状を有することができる。ビア118の最上端122及び最下端124を限定する基板112の部分は破線で示されている。図を見やすくするため、後続の図面では、ビア118の最上端122及び最下端124が省かれる。
この図示の実施の形態では、基板112の中にレーザ・アブレーションによってビア118が形成され、ビア118は約10μmから2ミルの代表的な直径を有することができる。積み重ねられたチップ、インターポーザ、コンタクタ・ボード又は他の公知の電子構成部品向けに半導体構成部品100が使用されるとき、ビア118は一般に約50μmの直径を有する。ビアの高さと幅の比は、集積回路の連続的な小型化に伴って絶えず低下しているため、直径約30μmのビア118が形成されることが予想される。当業者には明白なように、基板のタイプに応じて基板を1分当たり最高5μm除去することができる反応性イオン・エッチング(RIE)などのドライエッチング、光化学エッチング又は公知の他の任意のビア形成プロセス(ただし、これらに限定はされるわけではない)を含む、半導体構成部品100の形成に使用される種類の基板112に対して適切な公知の任意のビア形成方法を使用してビア118を形成することができる。ビア118の直径及び基板112の厚さは、半導体構成部品100の所望の使用に応じた所望の任意の寸法とすることができることも当業者には明白である。
基板112の中にビア118が形成された後、レーザ・アブレーション・プロセスによって生成される熱の影響を受けた基板材料を除去するために、内部表面120を洗浄することができる。所望ならば、TMAH(テトラメチルアンモニウムヒドロキシド)溶液を使用して形成後のビア118を洗浄することができ、これによってビアの断面を四角にすることができる。
基板112の材料の種類に適した誘電材料又は絶縁材料の絶縁層126で基板112の内部表面120をコーティングすることによって、洗浄された内面120を被膜保護することができる。絶縁層126は、スピン・オン・ガラス、熱酸化物、パリレン(Palylene、登録商標)ポリマー、二酸化シリコン、窒化シリコン、酸窒化シリコン、ガラスすなわちホウ燐珪酸ガラス、燐珪酸ガラス又はホウ珪酸ガラス、又は低い誘電率を有する当技術分野で公知の任意の誘電体を含むことができる。このパッシベーションを達成するため、物理蒸着(PVD)、CVD、低圧化学蒸着(LPCVD)、急速熱窒化(RTN)、スピン・オン・ガラス(SOG)プロセス、フロー・コーティング又は公知の他のプロセスを含む公知の任意のプロセスを使用して、絶縁層126を所望の任意の厚さに付着させることができる。他の実施の形態では、絶縁層126は注入又はキャピラリプロセス或いは真空ドロー(vacuum draw)を使用して付着させた、BT樹脂、ポリイミド、ベンゾシクロブテン又はポリベンゾキサゾールなどの絶縁ポリマーを含む。絶縁層126の厚さは例えば約1から5μmとすることができる。基板112がセラミックなどの電気絶縁材料を含む場合、絶縁層126は省くことができる。
図5Bに示すとおり、基板112の第1の表面114及び第2の表面116ならびにビア118の内部表面120に、導電材料のシード層(seed layer)128が付着され、シード層128は絶縁層126(図5Aに示されている)を覆う。図を見やすくするため、図5B及び後続の他の図面では、図5Aの絶縁層126が省かれる。この図示の実施の形態では、シード層128は窒化チタン(TiN)を含み、シード層128はCVDによって付着される。シード層128として使用できる材料には、この他に、チタン(Ti)、窒化シリコン(Si)、ポリシリコン、窒化タンタル(TaN)及び銅が含まれるが、これらに限定されるわけではない。シード層128を付着させるために使用することができる付着プロセスにはこの他、PVD、原子層付着(ALD)、PECVD、真空蒸着及びスパッタリングが含まれる。明らかなように、シード層128を付着させるために利用される材料の種類及び付着プロセスの選択は、ビア118を貫く電気相互接続を形成するために使用される材料の種類に応じて変化する。
図5Cに示すように、基板112の第1の表面114及び第2の表面116を露出させるために、基板112の第1の表面114及び第2の表面116を覆っている部分のシード層128が除去される。この図示の実施の形態では、化学的機械的平坦化(CMP)などの研磨平坦化プロセスによってシード層128が除去される。しかし、シード層128の選択的除去は、ビア118の内部のシード層128の部分をマスクした後に、シード層128を構成する材料の種類に適したエッチング剤を使用したウェットエッチング、ドライエッチングなどの公知の他の任意のプロセスを使用して実施することもできる。
シード層128をレジスト層129で覆うことができる。CMPプロセスによって生み出される粒子がビア118の中に付着することをレジスト129が防ぐように、レジスト129はCMPの前にシード層128に塗布される。CMPプロセスが終了した後、レジスト129は公知の技法を使用して除去され、導電材料の選択的付着のためのシード層128の清潔な表面を生み出す。
基板112の表面を覆うために使用される導電材料の種類及び使用される基板112の種類によっては起こる可能性がある剥離を防ぐために、例示的な他の実施の形態では、基板112の第1の表面114及び第2の表面116を窒化層で覆って、基板112の第1の表面114及び第2の表面116にシード層128が付着することを防ぐことができる。ビア118の中に窒化層が付着することを防ぐためにビア118をマスクし、又は基板112にビア118が形成される前の基板112の第1の表面114及び第2の表面116に窒化層を塗布することができる。当業者には明白であるように、窒化層を使用する以外に、基板112の第1の表面114及び第2の表面116にシード層128が付着することを防ぐ他の任意の材料を使用することができる。
図5Dに示すように、シード層128は無電解付着プロセスを使用して金属導電層130でコーティングされる。導電層130はシード層128には付着されるが、基板112の露出した第1及び第2の表面114及び116には付着されない。これは、シード層128はこれらの表面から除去されており(又はこれらの表面に元から存在せず)、無電解付着プロセスは、導電層130の付着のためにシード層128を必要とするからである。基板112の第1の表面114及び第2の表面116のシード層128を除去し、ビア118の中のシード層128を残すこの選択的除去、すなわちビアの中にだけ導電層130を付着させる選択的付着は、過剰な材料を除去する後続のCMPステップを不要にする。この導電層130の選択的付着は、導電層として使用される金属の量を減らし、したがって製造コストを低下させる。また、ビア118の中にだけ導電層130を付着させる選択的付着は、厚い導電層130をめっきするときに起こる可能性がある接着の問題を防ぐのに役立つ。基板112の露出した第1の表面114及び露出した第2の表面116の導電層130上での剥離を引き起こす応力は、ビア118の内部の剥離応力よりも大きい。導電層130は、ニッケル、コバルト、銅、銀、チタン、イリジウム、金、タングステン、タンタル、モリブデン、白金、パラジウム、ニッケル−リン(NiP)、パラジウム−リン(Pd−P)、コバルト−リン(Co−P)、Co−W−P合金、上記金属の他の合金及びこれらの混合物を含む任意のタイプの金属を含むことができるが、これらに限定されるわけではない。導電層130に使用される金属の種類及び厚さは、半導体構成部品100の所望の導電率及び使用に応じて変化し、これらは、少なくとも部分的に、当技術分野で公知の式R=ρL/Aによって表される金属又は導電層の抵抗(R)によって決定される。
適宜の金属の導電層130でシード層128をコーティングすることによって、ビア118を貫く環状の導電経路が生み出される。無電解めっきプロセスは、ボイド又はキーホールを実質的に含まない実質的に絶縁保護コーティングをビア118の中に形成する。無電解めっきプロセスによって形成された導電層130は、一般に均一な厚さと低い多孔率を有し、防食を提供し、比較的硬い。無電解めっきプロセスは、付着させる金属のイオン形態の水溶液を含む浴の中に基板112を浸すことによって実施される。電気エネルギーを使用せずに金属を付着させることができるように、水溶液はさらに化学還元剤を含む。無電解めっきプロセスにおける金属イオンの還元及び後続の付着の推進力は化学還元剤によって推進される。水溶液が(例えば超音波によって)十分に攪拌されて、均一な濃度の金属イオン及び還元剤が水溶液中に分配されることが保証される限り、還元反応はシード層128上のあらゆる点で本質的に一定である。
例示的な他の実施の形態では、浸漬めっきプロセスなどの浸漬プロセスを使用して、導電層130が銀又は金でライニングされる。導電層130がニッケル又はコバルトを含む場合、銀及び金はニッケル及びコバルトよりも貴であるから、銀又は金のライニングをニッケル又はコバルトに代えて使用し得る。銀又は金のライニングは導電率を増大させ、半田を濡らして、半田のボイドなし充てん及び半田とビア118の側壁との連続接触を保証するのに役立つ。
シード層128は、基板112の第1の表面114及び第2の表面116と同じ高さの平面まで延びているため、導電層130の付着の結果、導電層130の小部分132が、基板112の第1の表面114又は第2の表面116の平面を越えて延びる可能性がある。所望ならば、小部分132をCMP又は他の公知の除去プロセスを使用して除去して、図5Eに示すように、導電層130が基板112の第1の表面114及び第2の表面116の平面と実質的に同じ高さになるようにすることができる。
図5Eに示すように、ビア118は、第1の表面114から第2の表面116まで延びる開口134を有し、開口134は導電層130によって囲まれる。導電層130を形成するために使用される無電解めっきプロセスは、小さい凹み又はボイドを付随的に導電層130の中に残し得るが、所望の導電率を得るために必要な導電層130の厚さは、ボイド又は凹みが導電率に影響を及ぼさないような寸法でなければならない。ビア118の開口134は、図5Fに示すように充てん材料136で充てんされる。所望の厚さの導電層130を形成し、ビア118の残りの開口134を充てん材料136で充てんすることによって、基板に対する物理的な支持がビアの中に提供され、同時に導電層130によって提供された導電経路が維持される。
充てん後のビア118の所望の導電率及び意図される半導体構成部品100の使用に応じて、充てん材料136は導電材料又は非導電材料とすることができる。例えば、充てん後のビア118の導電率は、少なくとも最低限、導電層130の材料と厚さによって決定されるため、導電層130が十分な導電経路を提供する場合には、非導電材料を使用してビア118の開口134を充てんすることができる。充てん材料136として使用することができる物質の代表的な非限定的な例にはシリコン含有充てん材が含まれ、これには例えば、スピンコーティング・プロセスを使用して塗布された、非導電性充てん材料136としてのスピン・オン・ガラス(SOG)、拡散プロセスを使用して付着されドープされた導電性充てん材料136としてのポリシリコンなどがある。スキージを用いて塗布され、それに続いてリフローされた半田ペーストを導電性充てん材料136として使用することもできる。半田ペーストは、共晶半田、Cu−Sn−Ag、Sn−Ag、公知の他の半田材料、又はこれらの組合せを含むことができる。使用することができる他の充てん材料136には、開口134の中にスクリーン印刷された半田合金、導電性及び非導電性のポリマー、金属充てん材入りシリコン、炭素充てん材入りインク、等方性又は異方性の導電性接着剤、及び、銀充てん材入りエポキシペーストなどの導体充てん材入りエポキシが含まれるが、これらに限定されるわけではない。
ビア118の開口134が充てんされた後に、基板112の第1の表面114又は第2の表面116の平面を越えて充てん材料136が広がった場合には、当該技術分野で知られており図5Gに示すように、ビア118の一端又は両端にボンド・パッド138を形成することができるように、はみ出した充てん材料136をCMP又は他の公知の平滑化プロセスを使用して除去することができる。充てん材料136は、ビア118の上にあるボンド・パッド138に物理的支持を提供する。この例示的な実施の形態では、半導体構成部品100が1つのビア118を有するように示されているが、当業者には明らかにように、開示されたプロセスを使用して半導体構成部品100に任意の数のビア118を同時に形成し、ライニングし、充てんすることができる。
例示的な他の実施の形態では、ブラインド・ビアを使用して本発明の導電性ビアを形成する。図6Aには、全体が200で示された半導体構成部品の断面が示されている。半導体構成部品200は、第1の表面214及び反対側の第2の表面216を有する基板212を含む。基板212は、未処理の半導体ウェーハ、又は、図5Aの基板112に関して以前に説明された製造プロセスで使用された他の基板材料を含むことができる。
半導体構成部品200は、基板212に途中まで侵入して第1の表面214から基板212の中へ実質的に延びるブラインド・ビア218を含み、ブラインド・ビア218の底213は基板212の第2の表面216に達しない。ブラインド・ビア218は、レーザ・アブレーション・プロセスを使用して、又は、基板112の中にビア118が形成されたときに図5Aに関して本明細書で説明した他の任意の方法で基板212に形成することができる。ブラインド・ビア218は、基板212の内面ないし側壁220によって規定される。ブラインド・ビア218の最上端222を規定する基板212の部分は破線で示されており、この線は図を見やすくするために後続の図面では省かれる。
図6Aの例示的な実施の形態では、ブラインド・ビア218はまた、細い線224で示すカバー層225で密閉可能にカバー又はキャップされた、基板212を貫通して延びる(図5Aのビア118と実質的に同様の)開口を基板212に含むことができる。カバー層225はブラインド・ビア218を実質的に密閉するので、カバーされたビアは本質的にブラインド・ビア218と実質的に同じように充てんされる。したがって、ブラインド・ビア218の底213を形成するカバー層225にもシード層を付着させることができる。例示的な他の実施の形態では、カバー層225は、基板212にブラインド・ビア218が形成される前に基板212に付着させた金属層を含むことができる。次いでレーザ・アブレーションを使用してブラインド・ビア218を途中まで形成し、次いでこれを、カバー層225の金属の表面で止まるドライエッチングを使用して完成させることができる。必要ならばブラインド・ビア218をパッシベーション層(図示せず)で絶縁することができる。
図6Aの実施の形態を使用してブラインド・ビア218を形成することによって、汚染物質及び他のプロセス材料がウェーハ・チャック217又は他の支持構造に付着し又はこれらを汚染することを防ぐことができる。ウェーハ・チャック217は、製造プロセス期間に半導体構成部品200を支持するために使用することができ、後続の図面ではウェーハ・チャック217の図示が省かれる。
ブラインド・ビア218の内面220を洗浄して、破片、残留した材料、又はブラインド・ビア218の形成によって不利な影響を受けた基板材料を除去することができる。洗浄後の内面220は、基板212の種類に適した誘電材料又は絶縁材料の層で基板212の内部表面220をコーティングすることによって、被膜保護することができる。図を見やすくするため図6Aにはパッシベーション層が示されていないが、当業者には明らなように、ブラインド・ビア218のパッシベーション層は、図5Aに関して説明された絶縁層126と実質的に同じ層とすることができる。さらに、基板212の材料によってはパッシベーション層を省くことができる。
図6Bを参照すると、基板212の第1の表面214及びブラインド・ビア218の内面220に導電性金属のシード層228が形成された半導体構成部品200が示されている。この図示の実施の形態ではシード層228はTiNであり、シード層228はCVDによって付着される。しかし、シード層228は、図5Bのシード層128に関して本明細書で説明された他の任意の材料を含むことができる。
基板212の第1の表面214を覆うシード層228の部分は、図6Cに示すように、基板212の第1の表面214を露出させるためにCMPによって除去される。シード層228は、本明細書で以前に説明した公知の任意のプロセスを使用して除去することができることは明白である。図6Dに示すように、本明細書で以前に説明した無電解付着プロセスを使用して、シード層228の上に導電層230が付着される。基板212の第1の表面214にはシード層228が存在しないため、基板212の第1の表面214には導電層230が付着しない。導電層230は、図5Dの導電層130に関して本明細書で説明した任意の導電性金属を含むことができ、導電層230に利用される金属の種類と厚さは、半導体構成部品200の所望の導電率及び最終的な使用に応じて変化する。
例示的な他の実施の形態では、CMPの前にシード層228の上にレジスト層229が置かれる。レジスト229の存在は、CMPプロセスによって生み出される粒子がブラインド・ビア218を汚染することを防ぐ。CMPの後、レジスト229は公知の技法を使用して除去され、その結果、続く導電層230の付着のための清潔な表面が得られる。
シード層228に導電層230が付着されたときに、導電層230の一部232が、基板212の第1の表面214の平面を越えて延びる可能性がある。これが起きた場合には、図5Eに関して本明細書で以前に説明したように、第1の表面214の平面を越えて延びた導電層230の部分232を除去することができ、これによって図6Eの半導体構成部品200が得られる。他の例示的な実施の形態では、基板212の第1の表面214の平面よりも上に延びている導電層230の部分232をそのまま残し、これを少なくとも部分的に使用して、基板212の第1の表面214に続いて構築されるボンド・パッド(図6Hに図示されている)の少なくとも一部を形成することができる。
他の例示的な実施の形態では、浸漬めっきプロセスを使用して導電層230を銀又は金でライニングすることができる。導電層130がニッケル又はコバルトを含む場合、銀及び金はこれらよりも貴であるので、ニッケル又はコバルトは銀又は金に置き換えられる。導電層130に銀又は金のライニングを含めることは、導電率を高め、半田を濡らすのを助ける。
図6Eに示すように、ブラインド・ビア218は、基板212の第1の表面214から実質的に基板212を貫通してブラインド・ビア218の底213まで延びる開口であって、ブラインド・ビア218の底213を覆う導電層230によって実質的に取り囲まれた開口234を含む。ブラインド・ビア218の開口234は、図6Fのクロスハッチング線で示すように、充てん材料236で充てんされる。図5Fに関して本明細書で以前に説明したとおり、充てん材料236は、充てんされたブラインド・ビア218の所望の導電率に応じて導電材料又は非導電材料を含むことができる。
基板212の第2の表面216は、CMPなどの研磨平坦化プロセス又は公知の他の適当な除去プロセスを使用して半導体構成部品200から除去される。図6Gに示すように、基板212の第2の表面216にブラインド・ビア218が露出するように、基板212の材料は、図6Fの破線240によって示す深さまで除去される。当該技術分野で知られており且つ図6Hに示すように、ブラインド・ビア218の反対側の端にボンド・パッド238が形成される。この例示的な実施の形態の変形例では、ブラインド・ビア218が基板212の中をカバー層225まで延びる場合には、図6Aに関して説明したように、カバー層225を除去して、導電層230でライニングされ且つ充てん材料236で充てんされたブラインド・ビア218を露出させることができる。
本発明の方法における活動の他の例示的な実施の形態が図7A及び7Bに示されている。半導体構成部品は全体として200′で示される。半導体構成部品200′は、第1の表面214及び反対側の第2の表面216を有する基板212を含む。基板212の第1の表面214にはバリア層203が形成される。バリア層203は、自体にシード層228が付着することを防ぐ材料を含む。バリア層203は、二酸化シリコン、窒化シリコンなどの酸化物含有材料又は窒化物含有材料を含むことができる。バリア層203を貫通して基板212にブラインド・ビア218が形成される。本明細書で以前に論じたとおり、ブラインド・ビア218の中にシード層228及び導電層230が形成され、その後、ブラインド・ビア218の残りの開口234が充てん材料で充てんされる。次いで、以前に説明したとおりに導電性のブラインド・ビア218の製造を完了させることができる。
次に図8を参照すると、本発明の方法を使用して製造された半導体構成部品300の部分断面図が示されている。半導体構成部品300は、導電性ビア318を有する基板312を含む。導電性ビア318は、充てん材料336と、半導体構成部品300の反対側の表面に位置するボンド・パッド338間の電気接続を形成する環状の導電性ライナ330とを含む。
半導体構成部品300は、コンタクト・パッド342又は他の導電性構造にビア318を電気的に接続する回路トレース340又は他の相互接続及びコンタクト構造を含むことができる。また、回路トレース340又は他の導電性構造は、半導体構成部品300の回路を、基板312の反対側に形成された集積回路などの他の回路に、半導体構成部品300の上又は下に配置された1つのスタックにおける他の半導体構成部品の回路に、インターポーザに、コンタクタ・ボードに、或いはマイクロプロセッサなどの他の半導体構成部品を担持するマザーボード、モジュールボードなどのキャリア基板に接続するのに使用することができる。さらに、ボンド・パッド338が形成されるブランケット材料層をパターン形成して、ビア318からコンタクト・パッド342に達する回路トレース340を規定することもできる。このように、導電性ビア318を使用して、基板312の第1の表面314のコンタクト・パッド342を、基板312の第2の表面316のコンタクト・パッド342に電気的に接続することができる。
先に述べたとおり、半導体構成部品300の基板312は、様々な半導体構成部品を接続するためのインターポーザとして、半導体試験基板(コンタクタ・ボード)として、又は半導体チップを接続することができるよりレベルのパッケージを形成するキャリア基板として設計し、製造することができる。能動回路を有する半導体デバイスとして構成される場合には、半導体構成部品300のボンド・パッド338又はコンタクト・パッド342を、試験基板又はキャリア基板上の端子パッドのパターンに対応するパターンに配置することができる。インターポーザ又はコンタクタ・ボードとして使用される場合には、ボンド・パッド338又はコンタクト・パッド342を、基板312の一方の面で試験基板又はキャリア基板の端子パッドに対応し、もう一方の面で、接触される半導体デバイス上のボンド・パッド又は他のI/O位置に対応するパターンに配置することができる。
次に図9を参照すると、本発明の導電性ビアを含むシステム400の一つの実施の形態が示されている。システム400は、スタティック・ランダムアクセス・メモリ(SRAM)、ダイナミック・ランダムアクセス・メモリ(DRAM)又は公知の他のメモリ・デバイスなどの少なくとも1つのメモリ・デバイス402を含み、この少なくとも1つのメモリ・デバイス402は、本発明の方法を使用して製造された少なくとも1つの導電性ビアを含む。メモリ・デバイス402は、当該技術分野で公知の特定の機能を実行するようにプログラムすることができるマイクロプロセッサ404に動作可能に結合される。
上で示した本発明の実施の形態は、低コストの材料を使用して製造することができ、単純な方法を要し、実質的にボイド及びキーホールを含まない頑強な電気相互接続を与える貫通ビアの形態の電気相互接続を開示する。本発明は様々な例示的な実施の形態に関して図示して説明してきたが、本発明の範囲又は必須の特性から、様々な追加、削除及び変更が企図される。さらに、本発明は半導体デバイス又はインターポーザの文脈で説明されたが、本発明は、半導体構成部品を用いて製造された任意のデバイス又は構成部品に電気相互接続を形成するのに有用である。したがって、本発明の範囲は、以上の説明ではなく、添付の請求項によって示される。添付の請求項の等価物の意味及び範囲に含まれる全ての変更は、添付の請求項の範囲に包含される。
当該技術分野で公知の電気めっきプロセスを使用して充てんされた基板におけるビアの断面図である。 当該技術分野で公知の電気めっきプロセスを使用して実質的に充てんされたビアを有する基板の断面図である。 当該技術分野で公知の無電解めっきプロセスを使用して充てんされたビアを有する基板の断面図である。 当該技術分野で公知のボトムフィル・プロセスを使用して充てんされたビアを有する基板の断面図である。 ビアを充てんする本発明の方法の例示的な一つの実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な一つの実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な一つの実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な一つの実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な一つの実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な一つの実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な一つの実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の例示的な他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の他の実施の形態の一段階を示す図である。 ビアを充てんする本発明の方法の他の実施の形態の一段階を示す図である。 本発明を使用して形成された電気相互接続を有する半導体構成部品を示す図である。 本発明の方法を使用して製造された電気相互接続を含む電子システムの概略図である。

Claims (19)

  1. 半導体構成部品に導電性ビアを形成するための方法であって、
    第1の表面及び対向する第2の表面を有する基板を設けるステップと、
    側壁によって規定され、前記基板の前記第1の表面から前記基板の前記対向する第2の表面まで延びる、前記基板を貫通する少なくとも1つの穴を、選択された位置に形成するステップと、
    前記基板の前記第1の表面、前記基板の前記対向する第2の表面及び前記少なくとも1つの穴の前記側壁にシード材料を付着させるステップと、
    前記基板の前記第1の表面及び前記基板の前記対向する第2の表面の上にある前記シード材料を除去するために研磨平坦化を実施するステップと、
    前記少なくとも1つの穴の前記シード材料に導電材料を無電解めっきするステップと、
    前記少なくとも1つの穴の内部の残りの空間に充てん材料を導入するために、前記残りの空間の中にスピン・オン・ガラスをスピンコーティングするステップ、ポリシリコンを付着させるステップ、半田ペーストを付着させるステップ、および半田合金を付着させるステップのうちの一つを実施するステップ、
    を含むことを特徴とする方法。
  2. 前記基板を貫通した前記少なくとも1つの穴を形成するステップが、レーザ・アブレーション、ドライエッチング及びウェットエッチングのうちの少なくとも1つによって実施されることを特徴とする請求項1に記載の方法。
  3. 前記シード材料を付着させるステップの前に、前記少なくとも1つの穴を規定する前記側壁を洗浄するステップをさらに含むことを特徴とする請求項1に記載の方法。
  4. 前記シード材料を付着させるステップの前に、前記基板の前記第1の表面、前記基板の前記対向する第2の表面及び前記少なくとも1つの穴の前記側壁に絶縁材料を形成するステップをさらに含むことを特徴とする請求項1に記載の方法。
  5. 前記導電材料の少なくとも一部分の上に重なる少なくとも1つのボンド・パッドを形成するステップをさらに含むことを特徴とする請求項1に記載の方法。
  6. 前記シード材料を付着させるステップが、化学蒸着プロセス、物理蒸着プロセス、原子層付着プロセス、プラズマ化学蒸着プロセス、真空蒸着又はスパッタリングを使用してシード材料を付着させるステップを含むことを特徴とする請求項1に記載の方法。
  7. 前記シード材料を前記導電材料で無電解めっきするステップが、前記シード材料を金属材料で無電解めっきするステップを含むことを特徴とする請求項1に記載の方法。
  8. 前記シード材料の表面にレジスト材料を塗布するステップと、前記研磨平坦化プロセスの実施後に前記レジスト材料を除去するステップとをさらに含むことを特徴とする請求項1に記載の方法。
  9. 半導体構成部品に導電性ビアを形成するための方法であって、
    第1の表面及び対向する第2の表面を有する半導体基板を設けるステップと、
    側壁によって規定され、前記基板の前記第1の表面から前記基板の前記対向する第2の表面まで延びる少なくとも1つの穴を、前記半導体基板の選択された位置に形成するステップと、
    前記少なくとも1つの穴の面にシード材料を形成するステップと、
    前記半導体基板の前記第1の表面と前記半導体基板の対向する前記第2の表面の上の前記シード材料を全面的に除去するための研磨平坦化プロセスと、ウエットエッチのいずれか少なくとも一方を実施するステップと、
    前記少なくとも1つの穴の前記シード材料面に導電材料を無電解めっきするステップと、
    前記少なくとも1つの穴に、半田合金を含む導電性充てん材料を付着させるステップと、
    を含むことを特徴とする方法。
  10. 前記導電性充てん材料を付着させるステップは、前記少なくとも1つの穴に、溶融した半田合金を流し入れるプロセスを含むことを特徴とする請求項に記載の方法。
  11. 前記導電性充てん材料を付着させるステップは、前記少なくとも1つの穴に、前記半田合金をスクリーン印刷するステップを含むことを特徴とする請求項に記載の方法。
  12. 前記基板を貫通して前記少なくとも1つの穴を形成するステップが、レーザ・アブレーション、ドライエッチング及びウェットエッチングのうちの少なくとも1つによって実施されるステップを含むことを特徴とする請求項に記載の方法。
  13. 前記導電性充てん材料を付着させるステップの前に、前記側壁を洗浄するステップをさらに含むことを特徴とする請求項に記載の方法。
  14. 前記導電性充てん材料を付着させるステップの前に、前記第1の表面、前記対向する第2の表面及び前記側壁に絶縁材料を形成するステップをさらに含むことを特徴とする請求項に記載の方法。
  15. 前記導電性充てん材料を導入するステップの後に、前記導電性材料の少なくとも一部分の上に重なる少なくとも1つのボンド・パッドを形成するステップをさらに含むことを特徴とする請求項に記載の方法。
  16. 基板に導電性ビアを形成するための方法であって、
    第1の表面及び対向する第2の表面を有する基板を設けるステップと、
    前記基板の前記第1の表面に少なくとも1つのブラインドビアを形成するステップと、
    前記少なくとも一つのブラインドビアの表面を酸化材料で被膜保護するステップと、
    前記基板の前記第1の表面と前記少なくとも一つのブラインドビアの表面に被膜保護された前記酸化材料の表面とに銅シード材料を形成するステップと、
    前記少なくとも一つのブラインドビアの前記シード材料の一部を保持する一方で、前記基板の前記第1の表面の上の前記銅シード材料を全面的に除去するための、研磨平坦化プロセスと、ウエットエッチのいずれか少なくとも一方を実施するステップと、
    前記少なくとも一つのブラインドビアの前記シード材料を導電性材料で無電解めっきするステップと、
    塗布法により、前記少なくとも1つのブラインドビアの残りの空間を、導電性材料で満たすステップと、
    前記少なくとも1つのブラインドビア内の前記導電性材料を露出させるために、前記基板の前記対向する第2の表面に平坦化研磨プロセスを実施するステップと、
    を含むことを特徴とする方法。
  17. 前記第1の表面に前記少なくとも1つのブラインドビアを形成するステップが、レーザ・アブレーション、ドライエッチング及びウェットエッチングのうちの少なくとも1つを実施するステップを含むことを特徴とする請求項16に記載の方法。
  18. 前記少なくとも一つのブラインドビアの表面を前記酸化材料で被膜保護するステップの前に、前記少なくとも1つのブラインドビアの表面をテトラメチルアンモニウムヒドロキシド溶液で洗浄するステップをさらに含むことを特徴とする請求項16に記載の方法。
  19. 前記導電性ビアの少なくとも一部分の上に重なる少なくとも1つのボンド・パッドを、前記導電性材料の少なくとも一部の表面に形成するステップをさらに含む、請求項16に記載の方法。
JP2006528112A 2003-09-23 2004-09-21 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム Active JP5093563B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/668,914 2003-09-23
US10/668,914 US7345350B2 (en) 2003-09-23 2003-09-23 Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
PCT/US2004/030946 WO2005031811A2 (en) 2003-09-23 2004-09-21 Process and integration scheme for fabricating conductive components through-vias and semiconductor components including conductive through-wafer vias

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012141907A Division JP5639120B2 (ja) 2003-09-23 2012-06-25 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム

Publications (3)

Publication Number Publication Date
JP2007520051A JP2007520051A (ja) 2007-07-19
JP2007520051A5 JP2007520051A5 (ja) 2007-10-25
JP5093563B2 true JP5093563B2 (ja) 2012-12-12

Family

ID=34313613

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006528112A Active JP5093563B2 (ja) 2003-09-23 2004-09-21 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム
JP2012141907A Active JP5639120B2 (ja) 2003-09-23 2012-06-25 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012141907A Active JP5639120B2 (ja) 2003-09-23 2012-06-25 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム

Country Status (7)

Country Link
US (5) US7345350B2 (ja)
EP (2) EP2393109B1 (ja)
JP (2) JP5093563B2 (ja)
KR (1) KR20070006667A (ja)
CN (1) CN100483653C (ja)
TW (1) TWI293793B (ja)
WO (1) WO2005031811A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11172573B2 (en) 2016-12-28 2021-11-09 Mitsubishi Electric Corporation Power supply device
US11772829B2 (en) 2018-06-27 2023-10-03 Mitsubishi Electric Corporation Power supply device

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
US7364985B2 (en) * 2003-09-29 2008-04-29 Micron Technology, Inc. Method for creating electrical pathways for semiconductor device structures using laser machining processes
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
DE10355600B4 (de) * 2003-11-28 2021-06-24 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Halbleiterchip und Verfahren zur Herstellung von Halbleiterchips
US6943106B1 (en) * 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
TWI244143B (en) * 2004-03-02 2005-11-21 Via Tech Inc Process of plating through hole
JP2005303258A (ja) * 2004-03-16 2005-10-27 Fujikura Ltd デバイス及びその製造方法
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7232754B2 (en) * 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7425499B2 (en) * 2004-08-24 2008-09-16 Micron Technology, Inc. Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US7083425B2 (en) * 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20060252262A1 (en) * 2005-05-03 2006-11-09 Rockwell Scientific Licensing, Llc Semiconductor structures having via structures between planar frontside and backside surfaces and methods of fabricating the same
WO2006129354A1 (ja) * 2005-06-01 2006-12-07 Matsushita Electric Industrial Co., Ltd. 回路基板とその製造方法及びこれを用いた電子部品
JP4581864B2 (ja) * 2005-06-21 2010-11-17 パナソニック電工株式会社 半導体基板への貫通配線の形成方法
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
JP5096669B2 (ja) 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20070045844A1 (en) * 2005-08-24 2007-03-01 Andry Paul S Alpha particle shields in chip packaging
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7772115B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
ATE511703T1 (de) * 2006-02-01 2011-06-15 Silex Microsystems Ab Herstellungsverfahren für durchkontakte
US7892972B2 (en) * 2006-02-03 2011-02-22 Micron Technology, Inc. Methods for fabricating and filling conductive vias and conductive vias so formed
KR100783467B1 (ko) * 2006-02-24 2007-12-07 삼성전기주식회사 내부 관통홀을 가지는 인쇄회로기판 및 그 제조 방법
US7404251B2 (en) * 2006-04-18 2008-07-29 International Business Machines Corporation Manufacture of printed circuit boards with stubless plated through-holes
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7560371B2 (en) * 2006-08-29 2009-07-14 Micron Technology, Inc. Methods for selectively filling apertures in a substrate to form conductive vias with a liquid using a vacuum
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US20080261392A1 (en) * 2007-04-23 2008-10-23 John Trezza Conductive via formation
US8232183B2 (en) * 2007-05-04 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Process and apparatus for wafer-level flip-chip assembly
US7886437B2 (en) * 2007-05-25 2011-02-15 Electro Scientific Industries, Inc. Process for forming an isolated electrically conductive contact through a metal package
US8003517B2 (en) * 2007-05-29 2011-08-23 Freescale Semiconductor, Inc. Method for forming interconnects for 3-D applications
US7982137B2 (en) * 2007-06-27 2011-07-19 Hamilton Sundstrand Corporation Circuit board with an attached die and intermediate interposer
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
TW200915505A (en) * 2007-09-29 2009-04-01 Kinik Co Packaging carrier with high heat-dissipation and method for manufacturing the same
US8293587B2 (en) 2007-10-11 2012-10-23 International Business Machines Corporation Multilayer pillar for reduced stress interconnect and method of making same
TWI396269B (zh) * 2007-10-17 2013-05-11 Unimicron Technology Corp 電路板之製法
US8492263B2 (en) 2007-11-16 2013-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Protected solder ball joints in wafer level chip-scale packaging
US8440917B2 (en) * 2007-11-19 2013-05-14 International Business Machines Corporation Method and apparatus to reduce impedance discontinuity in packages
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
KR101070798B1 (ko) * 2008-01-15 2011-10-06 삼성전기주식회사 인쇄회로기판 및 그 제조방법
EP2238612B1 (en) * 2008-01-30 2013-03-13 Innovent Technologies, Llc Method and apparatus for manufacture of via disk
US7898063B2 (en) * 2008-02-16 2011-03-01 International Business Machines Corporation Through substrate annular via including plug filler
US8106511B2 (en) * 2008-02-28 2012-01-31 Qimonda Ag Reduced-stress through-chip feature and method of making the same
US9136259B2 (en) * 2008-04-11 2015-09-15 Micron Technology, Inc. Method of creating alignment/centering guides for small diameter, high density through-wafer via die stacking
KR101052870B1 (ko) * 2008-04-21 2011-07-29 주식회사 하이닉스반도체 관통 전극, 이를 갖는 회로 기판, 이를 갖는 반도체 패키지및 반도체 패키지를 갖는 적층 반도체 패키지
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8334170B2 (en) 2008-06-27 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking devices
US7851346B2 (en) * 2008-07-21 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding metallurgy for three-dimensional interconnect
US8035198B2 (en) * 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
US8932906B2 (en) 2008-08-19 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via bonding structure
WO2010022973A1 (en) * 2008-08-29 2010-03-04 Advanced Micro Devices Inc. A semiconductor device comprising a carbon based material for through hole vias
DE102008044985B4 (de) * 2008-08-29 2010-08-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements mit einem kohlenstoffenthaltenden leitenden Material für Durchgangskontakte
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US7943421B2 (en) * 2008-12-05 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Component stacking using pre-formed adhesive films
US7867891B2 (en) * 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
DE102008054765A1 (de) * 2008-12-16 2010-06-24 Robert Bosch Gmbh Bauteil mit einer Durchkontaktierung und ein Verfahren zur Herstellung eines solchen Bauteils
TWI420643B (zh) * 2008-12-16 2013-12-21 Powertech Technology Inc 具有矽穿孔之晶片結構、形成方法以及使用該晶片結構之堆疊構造
US9117828B2 (en) * 2009-03-27 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of handling a thin wafer
US8362368B2 (en) * 2009-04-27 2013-01-29 Ultrasource, Inc. Method and apparatus for an improved filled via
WO2011153298A1 (en) 2010-06-03 2011-12-08 Hsio Technologies, Llc Electrical connector insulator housing
US9276336B2 (en) 2009-05-28 2016-03-01 Hsio Technologies, Llc Metalized pad to electrical contact interface
WO2014011232A1 (en) * 2012-07-12 2014-01-16 Hsio Technologies, Llc Semiconductor socket with direct selective metalization
WO2010138493A1 (en) 2009-05-28 2010-12-02 Hsio Technologies, Llc High performance surface mount electrical interconnect
US9184145B2 (en) 2009-06-02 2015-11-10 Hsio Technologies, Llc Semiconductor device package adapter
WO2010147934A1 (en) 2009-06-16 2010-12-23 Hsio Technologies, Llc Semiconductor die terminal
WO2010141296A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed circuit semiconductor package
US9276339B2 (en) 2009-06-02 2016-03-01 Hsio Technologies, Llc Electrical interconnect IC device socket
US9277654B2 (en) 2009-06-02 2016-03-01 Hsio Technologies, Llc Composite polymer-metal electrical contacts
WO2010141266A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed circuit peripheral lead semiconductor package
US9603249B2 (en) 2009-06-02 2017-03-21 Hsio Technologies, Llc Direct metalization of electrical circuit structures
US9093767B2 (en) 2009-06-02 2015-07-28 Hsio Technologies, Llc High performance surface mount electrical interconnect
US9231328B2 (en) 2009-06-02 2016-01-05 Hsio Technologies, Llc Resilient conductive electrical interconnect
US8610265B2 (en) 2009-06-02 2013-12-17 Hsio Technologies, Llc Compliant core peripheral lead semiconductor test socket
US9613841B2 (en) 2009-06-02 2017-04-04 Hsio Technologies, Llc Area array semiconductor device package interconnect structure with optional package-to-package or flexible circuit to package connection
WO2012078493A1 (en) 2010-12-06 2012-06-14 Hsio Technologies, Llc Electrical interconnect ic device socket
US8912812B2 (en) 2009-06-02 2014-12-16 Hsio Technologies, Llc Compliant printed circuit wafer probe diagnostic tool
WO2010141295A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed flexible circuit
US8789272B2 (en) 2009-06-02 2014-07-29 Hsio Technologies, Llc Method of making a compliant printed circuit peripheral lead semiconductor test socket
WO2014011226A1 (en) 2012-07-10 2014-01-16 Hsio Technologies, Llc Hybrid printed circuit assembly with low density main core and embedded high density circuit regions
WO2012061008A1 (en) 2010-10-25 2012-05-10 Hsio Technologies, Llc High performance electrical circuit structure
US9054097B2 (en) 2009-06-02 2015-06-09 Hsio Technologies, Llc Compliant printed circuit area array semiconductor device package
WO2010141264A1 (en) 2009-06-03 2010-12-09 Hsio Technologies, Llc Compliant wafer level probe assembly
WO2010141313A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed circuit socket diagnostic tool
US8525346B2 (en) 2009-06-02 2013-09-03 Hsio Technologies, Llc Compliant conductive nano-particle electrical interconnect
US8987886B2 (en) 2009-06-02 2015-03-24 Hsio Technologies, Llc Copper pillar full metal via electrical circuit structure
US8988093B2 (en) 2009-06-02 2015-03-24 Hsio Technologies, Llc Bumped semiconductor wafer or die level electrical interconnect
US9930775B2 (en) 2009-06-02 2018-03-27 Hsio Technologies, Llc Copper pillar full metal via electrical circuit structure
US9196980B2 (en) 2009-06-02 2015-11-24 Hsio Technologies, Llc High performance surface mount electrical interconnect with external biased normal force loading
US9318862B2 (en) 2009-06-02 2016-04-19 Hsio Technologies, Llc Method of making an electronic interconnect
WO2010141297A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed circuit wafer level semiconductor package
US8981568B2 (en) 2009-06-16 2015-03-17 Hsio Technologies, Llc Simulated wirebond semiconductor package
US9320144B2 (en) 2009-06-17 2016-04-19 Hsio Technologies, Llc Method of forming a semiconductor socket
US8984748B2 (en) 2009-06-29 2015-03-24 Hsio Technologies, Llc Singulated semiconductor device separable electrical interconnect
US8981809B2 (en) 2009-06-29 2015-03-17 Hsio Technologies, Llc Compliant printed circuit semiconductor tester interface
US8377816B2 (en) 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8428762B2 (en) * 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8803332B2 (en) * 2009-09-11 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Delamination resistance of stacked dies in die saw
US8173536B2 (en) * 2009-11-02 2012-05-08 Stats Chippac, Ltd. Semiconductor device and method of forming column interconnect structure to reduce wafer stress
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
KR20110050957A (ko) 2009-11-09 2011-05-17 삼성전자주식회사 반도체 소자의 관통 비아 콘택 및 그 형성 방법
TWI370532B (en) * 2009-11-12 2012-08-11 Ind Tech Res Inst Chip package structure and method for fabricating the same
US20110115069A1 (en) * 2009-11-13 2011-05-19 Serene Seoh Hian Teh Electronic device including a packaging substrate and an electrical conductor within a via and a process of forming the same
JP5218497B2 (ja) * 2009-12-04 2013-06-26 株式会社デンソー 半導体装置およびその製造方法
JP5600427B2 (ja) * 2009-12-25 2014-10-01 株式会社フジクラ 貫通配線基板の材料基板
US8569894B2 (en) 2010-01-13 2013-10-29 Advanced Semiconductor Engineering, Inc. Semiconductor package with single sided substrate design and manufacturing methods thereof
US8299616B2 (en) * 2010-01-29 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. T-shaped post for semiconductor devices
US10297550B2 (en) * 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8610270B2 (en) * 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8318596B2 (en) 2010-02-11 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Pillar structure having a non-planar surface for semiconductor devices
US8803319B2 (en) 2010-02-11 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pillar structure having a non-planar surface for semiconductor devices
JP5609144B2 (ja) * 2010-02-19 2014-10-22 ソニー株式会社 半導体装置および貫通電極のテスト方法
US8519537B2 (en) 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8378480B2 (en) * 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies
TWI411075B (zh) 2010-03-22 2013-10-01 Advanced Semiconductor Eng 半導體封裝件及其製造方法
US8248803B2 (en) * 2010-03-31 2012-08-21 Hong Kong Applied Science and Technology Research Institute Company Limited Semiconductor package and method of manufacturing the same
US8624374B2 (en) 2010-04-02 2014-01-07 Advanced Semiconductor Engineering, Inc. Semiconductor device packages with fan-out and with connecting elements for stacking and manufacturing methods thereof
US8349734B2 (en) * 2010-04-07 2013-01-08 GlobalFoundries, Inc. Integrated circuits having backside test structures and methods for the fabrication thereof
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20110266671A1 (en) * 2010-05-03 2011-11-03 Samsung Electro-Mechanics Co., Ltd. Substrate for a semiconductor package and manufacturing method thereof
US8716867B2 (en) 2010-05-12 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interconnect structures using pre-ink-printed sheets
US8674513B2 (en) 2010-05-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for substrate
US9142533B2 (en) 2010-05-20 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate interconnections having different sizes
US8901736B2 (en) 2010-05-28 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Strength of micro-bump joints
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US10159154B2 (en) 2010-06-03 2018-12-18 Hsio Technologies, Llc Fusion bonded liquid crystal polymer circuit structure
US9350093B2 (en) 2010-06-03 2016-05-24 Hsio Technologies, Llc Selective metalization of electrical connector or socket housing
US8758067B2 (en) 2010-06-03 2014-06-24 Hsio Technologies, Llc Selective metalization of electrical connector or socket housing
US9689897B2 (en) 2010-06-03 2017-06-27 Hsio Technologies, Llc Performance enhanced semiconductor socket
JP5730654B2 (ja) * 2010-06-24 2015-06-10 新光電気工業株式会社 配線基板及びその製造方法
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
EP2405469B1 (en) * 2010-07-05 2016-09-21 ATOTECH Deutschland GmbH Method to form solder alloy deposits on substrates
US8241963B2 (en) 2010-07-13 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed pillar structure
US8581418B2 (en) 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8629568B2 (en) 2010-07-30 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cover mark
US8540506B2 (en) 2010-08-16 2013-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor molding chamber
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8541262B2 (en) 2010-09-02 2013-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Die edge contacts for semiconductor devices
US9437561B2 (en) * 2010-09-09 2016-09-06 Advanced Micro Devices, Inc. Semiconductor chip with redundant thru-silicon-vias
US9343436B2 (en) 2010-09-09 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked package and method of manufacturing the same
US20120061698A1 (en) * 2010-09-10 2012-03-15 Toscano Lenora M Method for Treating Metal Surfaces
US8936966B2 (en) 2012-02-08 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices
US8105875B1 (en) 2010-10-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for bonding dies onto interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8338945B2 (en) 2010-10-26 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Molded chip interposer structure and methods
US8941222B2 (en) 2010-11-11 2015-01-27 Advanced Semiconductor Engineering Inc. Wafer level semiconductor package and manufacturing methods thereof
TWI453864B (zh) * 2010-11-12 2014-09-21 Ind Tech Res Inst 半導體結構及其製作方法
US8299567B2 (en) * 2010-11-23 2012-10-30 International Business Machines Corporation Structure of metal e-fuse
US8502340B2 (en) 2010-12-09 2013-08-06 Tessera, Inc. High density three-dimensional integrated capacitors
US8742541B2 (en) * 2010-12-09 2014-06-03 Tessera, Inc. High density three-dimensional integrated capacitors
US9406658B2 (en) 2010-12-17 2016-08-02 Advanced Semiconductor Engineering, Inc. Embedded component device and manufacturing methods thereof
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
TW201236227A (en) * 2011-02-21 2012-09-01 Viking Tech Corp Packaged substrate and fabrication method thereof
US8664760B2 (en) 2011-05-30 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Connector design for packaging integrated circuits
US8610285B2 (en) 2011-05-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC packaging structures and methods with a metal pillar
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US8580683B2 (en) 2011-09-27 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for molding die on wafer interposers
US8501590B2 (en) 2011-07-05 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for dicing interposer assembly
US8476770B2 (en) 2011-07-07 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for forming through vias
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US20130040423A1 (en) 2011-08-10 2013-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Multi-Chip Wafer Level Packaging
US8754514B2 (en) 2011-08-10 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip wafer level package
US8557684B2 (en) 2011-08-23 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit (3DIC) formation process
US8963334B2 (en) 2011-08-30 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Die-to-die gap control for semiconductor structure and method
US9418876B2 (en) 2011-09-02 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of three dimensional integrated circuit assembly
US9530761B2 (en) 2011-09-02 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems including passive electrical components
US8531032B2 (en) 2011-09-02 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally enhanced structure for multi-chip device
US9390060B2 (en) 2011-09-02 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods, material dispensing methods and apparatuses, and automated measurement systems
US9245773B2 (en) 2011-09-02 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device packaging methods and structures thereof
US9219016B2 (en) 2011-09-28 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structure design for 3DIC testing
KR101247721B1 (ko) * 2011-09-30 2013-03-26 에스티에스반도체통신 주식회사 비아 형성방법
TWI406618B (zh) * 2011-10-11 2013-08-21 Viking Tech Corp A method for manufacturing a substrate having conductive vias
US10475759B2 (en) 2011-10-11 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having dies with connectors of different sizes
US8878182B2 (en) 2011-10-12 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Probe pad design for 3DIC package yield analysis
US8518753B2 (en) 2011-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Assembly method for three dimensional integrated circuit
US8772929B2 (en) 2011-11-16 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package for three dimensional integrated circuit
US8759118B2 (en) 2011-11-16 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Plating process and structure
US8629043B2 (en) 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for de-bonding carriers
US8779599B2 (en) 2011-11-16 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages including active dies and dummy dies and methods for forming the same
US8779588B2 (en) 2011-11-29 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for multi-chip packaging
US8653658B2 (en) 2011-11-30 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Planarized bumps for underfill control
US8643148B2 (en) 2011-11-30 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer structures and methods for forming the same
US8557631B2 (en) 2011-12-01 2013-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interposer wafer bonding method and apparatus
US8536573B2 (en) 2011-12-02 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Plating process and structure
US8558229B2 (en) 2011-12-07 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for packaged chip
US20150216051A1 (en) * 2011-12-21 2015-07-30 Lawrence Livermore National Security, Llc Method of fabricating electrical feedthroughs using extruded metal vias
US8871568B2 (en) 2012-01-06 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Packages and method of forming the same
US8518796B2 (en) 2012-01-09 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die connection system and method
US8691706B2 (en) 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
US9620430B2 (en) 2012-01-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Sawing underfill in packaging processes
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
US9406500B2 (en) 2012-02-08 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Flux residue cleaning system and method
US9230932B2 (en) 2012-02-09 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect crack arrestor structure and methods
US8975183B2 (en) 2012-02-10 2015-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Process for forming semiconductor structure
US8900922B2 (en) 2012-02-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fine-pitch package-on-package structures and methods for forming the same
US8816495B2 (en) 2012-02-16 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structures and formation methods of packages with heat sinks
US9646942B2 (en) 2012-02-23 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for controlling bump height variation
US8953336B2 (en) 2012-03-06 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Surface metal wiring structure for an IC substrate
US8519516B1 (en) * 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
US8962392B2 (en) 2012-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill curing method using carrier
US9006004B2 (en) 2012-03-23 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Probing chips during package formation
US9391000B2 (en) 2012-04-11 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming silicon-based hermetic thermal solutions
US9034695B2 (en) 2012-04-11 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated thermal solutions for packaging integrated circuits
US9425136B2 (en) 2012-04-17 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Conical-shaped or tier-shaped pillar connections
US9646923B2 (en) 2012-04-17 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
US9299674B2 (en) 2012-04-18 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Bump-on-trace interconnect
US8741691B2 (en) 2012-04-20 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating three dimensional integrated circuit
US9515036B2 (en) 2012-04-20 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for solder connections
US10300658B2 (en) * 2012-05-03 2019-05-28 Apple Inc. Crack resistant plastic enclosure structures
US20130293482A1 (en) * 2012-05-04 2013-11-07 Qualcomm Mems Technologies, Inc. Transparent through-glass via
US9576830B2 (en) 2012-05-18 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for adjusting wafer warpage
US9583365B2 (en) 2012-05-25 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnects for three dimensional integrated circuit
US20150145144A1 (en) * 2012-06-07 2015-05-28 Rensselaer Polytechnic Institute Use of a conformal coating elastic cushion to reduce through silicon vias (tsv) stress in 3-dimensional integration
US9761520B2 (en) 2012-07-10 2017-09-12 Hsio Technologies, Llc Method of making an electrical connector having electrodeposited terminals
US8970035B2 (en) 2012-08-31 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for semiconductor package
EP2893553A4 (en) * 2012-09-05 2016-05-11 Res Triangle Inst ELECTRONIC DEVICES USING SPEED CONTACT PADS AND METHODS OF MAKING
US9111817B2 (en) 2012-09-18 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure and method of forming same
US8628990B1 (en) 2012-09-27 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Image device and methods of forming the same
CN103983809A (zh) 2013-02-08 2014-08-13 辉达公司 Pcb板及其在线测试结构以及该在线测试结构的制造方法
US9768271B2 (en) * 2013-02-22 2017-09-19 Micron Technology, Inc. Methods, devices, and systems related to forming semiconductor power devices with a handle substrate
US9646894B2 (en) 2013-03-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
US9070644B2 (en) 2013-03-15 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
CN105379436B (zh) * 2013-05-08 2018-08-14 印可得株式会社 印刷电路板的制造方法及印刷电路板
KR101750795B1 (ko) * 2013-06-27 2017-06-26 인텔 아이피 코포레이션 전자 시스템을 위한 고 전도성 고 주파수 비아
US10506722B2 (en) 2013-07-11 2019-12-10 Hsio Technologies, Llc Fusion bonded liquid crystal polymer electrical circuit structure
US10667410B2 (en) 2013-07-11 2020-05-26 Hsio Technologies, Llc Method of making a fusion bonded circuit structure
US10293436B2 (en) 2013-12-17 2019-05-21 Corning Incorporated Method for rapid laser drilling of holes in glass and products made therefrom
US11556039B2 (en) 2013-12-17 2023-01-17 Corning Incorporated Electrochromic coated glass articles and methods for laser processing the same
US10340203B2 (en) * 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
US10056267B2 (en) 2014-02-14 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9768090B2 (en) 2014-02-14 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9935090B2 (en) 2014-02-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US10026671B2 (en) 2014-02-14 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
KR102264033B1 (ko) * 2014-02-21 2021-06-11 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 무전해 도금액을 이용한 관통전극의 형성방법
US9596768B2 (en) 2014-03-04 2017-03-14 Qualcomm Incorporated Substrate with conductive vias
JP6188025B2 (ja) * 2014-05-16 2017-08-30 国立研究開発法人物質・材料研究機構 銀拡散障壁材料、銀拡散障壁、銀拡散障壁被覆
CN105185737A (zh) * 2014-05-30 2015-12-23 无锡华润上华半导体有限公司 沟槽隔离结构的制造方法
US20150371925A1 (en) * 2014-06-20 2015-12-24 Intel Corporation Through array routing for non-volatile memory
KR101634067B1 (ko) * 2014-10-01 2016-06-30 주식회사 네패스 반도체 패키지 및 그 제조방법
US9564416B2 (en) 2015-02-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10115647B2 (en) 2015-03-16 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Non-vertical through-via in package
US9559447B2 (en) 2015-03-18 2017-01-31 Hsio Technologies, Llc Mechanical contact retention within an electrical connector
NL2014598B1 (en) * 2015-04-08 2017-01-20 Suss Microtec Lithography Gmbh Method for coating a substrate.
US9613931B2 (en) 2015-04-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) having dummy dies and methods of making the same
JP5933103B1 (ja) * 2015-06-17 2016-06-08 株式会社フジクラ 導波路基板の製造方法
US9604338B2 (en) * 2015-08-04 2017-03-28 Texas Instruments Incorporated Method to improve CMP scratch resistance for non planar surfaces
US9832868B1 (en) * 2015-08-26 2017-11-28 Apple Inc. Electronic device display vias
CN108701669B (zh) * 2015-12-23 2023-01-17 英特尔公司 冗余通孔互连结构
KR102504238B1 (ko) * 2016-03-08 2023-03-02 주식회사 아모센스 세라믹 기판의 비아홀 충진 방법
US10790426B2 (en) * 2016-04-01 2020-09-29 Nichia Corporation Method of manufacturing light emitting element mounting base member, method of manufacturing light emitting device using the light emitting element mounting base member, light emitting element mounting base member, and light emitting device using the light emitting element mounting base member
US20180005887A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Through-silicon via with injection molded fill
US20180005954A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Through-silicon via with insulator fill
KR102428350B1 (ko) 2016-10-24 2022-08-02 코닝 인코포레이티드 시트형 유리 기판의 레이저 기반 기계 가공을 위한 기판 프로세싱 스테이션
EP3975232A1 (en) 2016-11-18 2022-03-30 Samtec Inc Filling materials and methods of filling through holes of a substrate
US9991161B1 (en) * 2017-03-07 2018-06-05 Hong Kong Applied Science and Technology Research Institute Company Limited Alternate plating and etching processes for through hole filling
FR3071352B1 (fr) * 2017-09-19 2019-09-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Circuit electronique comprenant des tranchees d'isolation electrique
CN107833859A (zh) * 2017-12-12 2018-03-23 成都海威华芯科技有限公司 一种Si通孔金属化制作方法
WO2019191621A1 (en) 2018-03-30 2019-10-03 Samtec, Inc. Electrically conductive vias and methods for producing same
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US11189662B2 (en) 2018-08-13 2021-11-30 Micron Technology Memory cell stack and via formation for a memory device
CN109273403B (zh) * 2018-09-27 2021-04-20 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
CN111354684A (zh) * 2018-12-21 2020-06-30 深南电路股份有限公司 一种芯片基板及其制作方法、封装芯片及其封装方法
US20200227277A1 (en) * 2019-01-10 2020-07-16 Corning Incorporated Interposer with manganese oxide adhesion layer
US11760682B2 (en) 2019-02-21 2023-09-19 Corning Incorporated Glass or glass ceramic articles with copper-metallized through holes and processes for making the same
US10867855B2 (en) 2019-05-13 2020-12-15 Honeywell International Inc. Through silicon via fabrication
KR20210000161A (ko) * 2019-06-24 2021-01-04 삼성전기주식회사 인쇄회로기판 및 그 제조방법
US11373695B2 (en) * 2019-12-18 2022-06-28 Micron Technology, Inc. Memory accessing with auto-precharge
US11430950B2 (en) 2020-03-27 2022-08-30 Micron Technology, Inc. Low resistance via contacts in a memory device
CN111564457B (zh) * 2020-05-28 2022-08-05 武汉华星光电技术有限公司 一种阵列基板及其制备方法、显示面板
CN113161289B (zh) * 2021-04-22 2023-05-12 浙江集迈科微电子有限公司 一种高深宽比tsv金属柱的电镀工艺

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2820752A (en) * 1954-02-04 1958-01-21 Du Pont Electrodeposition of tetrafluoroethylene polymers
US3577324A (en) * 1968-01-24 1971-05-04 Sondell Research Dev Co Process of coating particles with metals
AU506288B2 (en) * 1975-10-20 1979-12-20 Nippon Electric Co., Ltd Printed circuit board
US4211603A (en) * 1978-05-01 1980-07-08 Tektronix, Inc. Multilayer circuit board construction and method
US4312897A (en) * 1978-09-18 1982-01-26 Hughes Aircraft Company Buried resist technique for the fabrication of printed wiring
US4325780A (en) * 1980-09-16 1982-04-20 Schulz Sr Robert M Method of making a printed circuit board
US4525246A (en) * 1982-06-24 1985-06-25 Hadco Corporation Making solderable printed circuit boards
US4487654A (en) * 1983-10-27 1984-12-11 Ael Microtel Limited Method of manufacturing printed wiring boards
US4605471A (en) * 1985-06-27 1986-08-12 Ncr Corporation Method of manufacturing printed circuit boards
CA1284523C (en) * 1985-08-05 1991-05-28 Leo G. Svendsen Uniaxially electrically conductive articles with porous insulating substrate
US5262718A (en) * 1985-08-05 1993-11-16 Raychem Limited Anisotropically electrically conductive article
US4720324A (en) * 1985-10-03 1988-01-19 Hayward John S Process for manufacturing printed circuit boards
US4692349A (en) * 1986-03-03 1987-09-08 American Telephone And Telegraph Company, At&T Bell Laboratories Selective electroless plating of vias in VLSI devices
EP0263222B1 (en) * 1986-10-08 1992-03-25 International Business Machines Corporation Method of forming solder terminals for a pinless ceramic module
US5309632A (en) * 1988-03-28 1994-05-10 Hitachi Chemical Co., Ltd. Process for producing printed wiring board
US4808273A (en) * 1988-05-10 1989-02-28 Avantek, Inc. Method of forming completely metallized via holes in semiconductors
US4978639A (en) * 1989-01-10 1990-12-18 Avantek, Inc. Method for the simultaneous formation of via-holes and wraparound plating on semiconductor chips
US4954313A (en) * 1989-02-03 1990-09-04 Amdahl Corporation Method and apparatus for filling high density vias
JPH0358421A (ja) 1989-07-26 1991-03-13 Nec Corp 半導体装置の製造方法
JP3174045B2 (ja) 1990-03-29 2001-06-11 ソニー株式会社 情報処理装置及び情報処理方法
US5245751A (en) * 1990-04-27 1993-09-21 Circuit Components, Incorporated Array connector
JPH0476985A (ja) * 1990-07-18 1992-03-11 Cmk Corp プリント配線板の製造法
JP2737416B2 (ja) * 1991-01-31 1998-04-08 日本電気株式会社 めっき処理装置
JP2839376B2 (ja) 1991-02-05 1998-12-16 三菱電機株式会社 半導体装置の製造方法
JP2636537B2 (ja) * 1991-04-08 1997-07-30 日本電気株式会社 プリント配線板の製造方法
JP2622038B2 (ja) * 1991-06-03 1997-06-18 シャープ株式会社 半導体装置及びその製造方法
US5160579A (en) * 1991-06-05 1992-11-03 Macdermid, Incorporated Process for manufacturing printed circuit employing selective provision of solderable coating
US5224265A (en) * 1991-10-29 1993-07-06 International Business Machines Corporation Fabrication of discrete thin film wiring structures
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
JP2819523B2 (ja) * 1992-10-09 1998-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 印刷配線板及びその製造方法
US5536908A (en) * 1993-01-05 1996-07-16 Schlumberger Technology Corporation Lead-free printed circuit assembly
US5424245A (en) * 1994-01-04 1995-06-13 Motorola, Inc. Method of forming vias through two-sided substrate
US5421083A (en) * 1994-04-01 1995-06-06 Motorola, Inc. Method of manufacturing a circuit carrying substrate having coaxial via holes
US5682062A (en) * 1995-06-05 1997-10-28 Harris Corporation System for interconnecting stacked integrated circuits
US5814889A (en) * 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US6195883B1 (en) * 1998-03-25 2001-03-06 International Business Machines Corporation Full additive process with filled plated through holes
US5876580A (en) * 1996-01-12 1999-03-02 Micromodule Systems Rough electrical contact surface
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6072236A (en) 1996-03-07 2000-06-06 Micron Technology, Inc. Micromachined chip scale package
US5886410A (en) * 1996-06-26 1999-03-23 Intel Corporation Interconnect structure with hard mask and low dielectric constant materials
JP3038644B2 (ja) * 1996-07-17 2000-05-08 日本特殊陶業株式会社 中継基板、その製造方法、中継基板付き基板、基板と中継基板と取付基板とからなる構造体、その製造方法およびその構造体の分解方法
US5689091A (en) * 1996-09-19 1997-11-18 Vlsi Technology, Inc. Multi-layer substrate structure
US5807783A (en) * 1996-10-07 1998-09-15 Harris Corporation Surface mount die by handle replacement
JP4011695B2 (ja) * 1996-12-02 2007-11-21 株式会社東芝 マルチチップ半導体装置用チップおよびその形成方法
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
KR100213447B1 (ko) 1996-12-06 1999-08-02 윤종용 반도체 소자의 금속 배선 형성방법
US5910687A (en) 1997-01-24 1999-06-08 Chipscale, Inc. Wafer fabrication of die-bottom contacts for electronic devices
EP0859407A3 (en) 1997-02-13 1998-10-07 Texas Instruments Incorporated Method of fabrication of a copper containing structure in a semiconductor device
JP3490238B2 (ja) * 1997-02-17 2004-01-26 三菱電機株式会社 メッキ処理装置およびメッキ処理方法
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US6162997A (en) 1997-06-03 2000-12-19 International Business Machines Corporation Circuit board with primary and secondary through holes
DE19732903A1 (de) * 1997-07-30 1999-02-04 Falk Pharma Gmbh Pellet-Formulierung zur Behandlung des Intestinaltraktes
JP3184493B2 (ja) 1997-10-01 2001-07-09 松下電子工業株式会社 電子装置の製造方法
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
KR100253385B1 (ko) 1997-12-22 2000-05-01 김영환 반도체 소자의 배선형성 방법
US6020266A (en) * 1997-12-31 2000-02-01 Intel Corporation Single step electroplating process for interconnect via fill and metal line patterning
JPH11251316A (ja) * 1998-03-02 1999-09-17 Toshiba Corp マルチチップ半導体装置の製造方法
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
SG75841A1 (en) * 1998-05-02 2000-10-24 Eriston Invest Pte Ltd Flip chip assembly with via interconnection
US6406939B1 (en) * 1998-05-02 2002-06-18 Charles W. C. Lin Flip chip assembly with via interconnection
US6235624B1 (en) * 1998-06-01 2001-05-22 Kabushiki Kaisha Toshiba Paste connection plug, burying method, and semiconductor device manufacturing method
JPH11345934A (ja) * 1998-06-01 1999-12-14 Toshiba Corp マルチチップ半導体装置の製造方法
US6032527A (en) * 1998-07-01 2000-03-07 Memsys, Inc. Solid state microanemometer
US6705876B2 (en) 1998-07-13 2004-03-16 Formfactor, Inc. Electrical interconnect assemblies and methods
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6255126B1 (en) * 1998-12-02 2001-07-03 Formfactor, Inc. Lithographic contact elements
JP2000195861A (ja) * 1998-12-25 2000-07-14 Texas Instr Japan Ltd 半導体装置およびその製造方法
JP4234244B2 (ja) 1998-12-28 2009-03-04 富士通マイクロエレクトロニクス株式会社 ウエハーレベルパッケージ及びウエハーレベルパッケージを用いた半導体装置の製造方法
US6228754B1 (en) * 1999-01-05 2001-05-08 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by inert gas sputter etching
US6197664B1 (en) * 1999-01-12 2001-03-06 Fujitsu Limited Method for electroplating vias or through holes in substrates having conductors on both sides
TW442873B (en) 1999-01-14 2001-06-23 United Microelectronics Corp Three-dimension stack-type chip structure and its manufacturing method
US6242935B1 (en) * 1999-01-21 2001-06-05 Micron Technology, Inc. Interconnect for testing semiconductor components and method of fabrication
JP3672760B2 (ja) 1999-02-17 2005-07-20 ユナイテッド マイクロエレクトロニクス コープ デュアルダマシンおよび相互接続の形成方法
JP3918350B2 (ja) * 1999-03-05 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US6711812B1 (en) * 1999-04-13 2004-03-30 Unicap Electronics Industrial Corporation Method of making metal core substrate printed circuit wiring board enabling thermally enhanced ball grid array (BGA) packages
US7007378B2 (en) * 1999-06-24 2006-03-07 International Business Machines Corporation Process for manufacturing a printed wiring board
US6228687B1 (en) 1999-06-28 2001-05-08 Micron Technology, Inc. Wafer-level package and methods of fabricating
US6373717B1 (en) * 1999-07-02 2002-04-16 International Business Machines Corporation Electronic package with high density interconnect layer
US6277669B1 (en) * 1999-09-15 2001-08-21 Industrial Technology Research Institute Wafer level packaging method and packages formed
US6610596B1 (en) 1999-09-15 2003-08-26 Samsung Electronics Co., Ltd. Method of forming metal interconnection using plating and semiconductor device manufactured by the method
US6230400B1 (en) 1999-09-17 2001-05-15 George Tzanavaras Method for forming interconnects
US6291332B1 (en) * 1999-10-12 2001-09-18 Advanced Micro Devices, Inc. Electroless plated semiconductor vias and channels
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6565730B2 (en) * 1999-12-29 2003-05-20 Intel Corporation Self-aligned coaxial via capacitors
US6529027B1 (en) 2000-03-23 2003-03-04 Micron Technology, Inc. Interposer and methods for fabricating same
JP3879816B2 (ja) 2000-06-02 2007-02-14 セイコーエプソン株式会社 半導体装置及びその製造方法、積層型半導体装置、回路基板並びに電子機器
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6416812B1 (en) 2000-06-29 2002-07-09 International Business Machines Corporation Method for depositing copper onto a barrier layer
JP2002094082A (ja) 2000-07-11 2002-03-29 Seiko Epson Corp 光素子及びその製造方法並びに電子機器
US6468889B1 (en) * 2000-08-08 2002-10-22 Advanced Micro Devices, Inc. Backside contact for integrated circuit and method of forming same
US6562709B1 (en) * 2000-08-22 2003-05-13 Charles W. C. Lin Semiconductor chip assembly with simultaneously electroplated contact terminal and connection joint
US6529022B2 (en) * 2000-12-15 2003-03-04 Eaglestone Pareners I, Llc Wafer testing interposer for a conventional package
JP3535461B2 (ja) 2001-01-10 2004-06-07 新光電気工業株式会社 半導体装置の製造方法及び半導体装置
US6498381B2 (en) * 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6479382B1 (en) * 2001-03-08 2002-11-12 National Semiconductor Corporation Dual-sided semiconductor chip and method for forming the chip with a conductive path through the chip that connects elements on each side of the chip
JP3834589B2 (ja) 2001-06-27 2006-10-18 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100394808B1 (ko) * 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
JP4703061B2 (ja) * 2001-08-30 2011-06-15 富士通株式会社 薄膜回路基板の製造方法およびビア形成基板の形成方法
JP3967239B2 (ja) * 2001-09-20 2007-08-29 株式会社フジクラ 充填金属部付き部材の製造方法及び充填金属部付き部材
US6863794B2 (en) * 2001-09-21 2005-03-08 Applied Materials, Inc. Method and apparatus for forming metal layers
JP3513130B2 (ja) * 2001-10-11 2004-03-31 日本エレクトロプレイテイング・エンジニヤース株式会社 メッキ装置及びメッキ方法
JP3875867B2 (ja) * 2001-10-15 2007-01-31 新光電気工業株式会社 シリコン基板の穴形成方法
US6802947B2 (en) * 2001-10-16 2004-10-12 Applied Materials, Inc. Apparatus and method for electro chemical plating using backside electrical contacts
US20030082358A1 (en) 2001-10-29 2003-05-01 Wenstrup David Edward Varied density nonwoven
JP3567377B2 (ja) * 2002-01-09 2004-09-22 独立行政法人 科学技術振興機構 半導体集積回路装置の製造方法
JP2003289073A (ja) 2002-01-22 2003-10-10 Canon Inc 半導体装置および半導体装置の製造方法
JP2003218061A (ja) * 2002-01-24 2003-07-31 Tokyo Electron Ltd 配線形成方法
US6908845B2 (en) * 2002-03-28 2005-06-21 Intel Corporation Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
US6852627B2 (en) * 2003-03-05 2005-02-08 Micron Technology, Inc. Conductive through wafer vias
SG137651A1 (en) * 2003-03-14 2007-12-28 Micron Technology Inc Microelectronic devices and methods for packaging microelectronic devices
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
US7101792B2 (en) 2003-10-09 2006-09-05 Micron Technology, Inc. Methods of plating via interconnects
US7316063B2 (en) 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
US7199050B2 (en) * 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11172573B2 (en) 2016-12-28 2021-11-09 Mitsubishi Electric Corporation Power supply device
US11772829B2 (en) 2018-06-27 2023-10-03 Mitsubishi Electric Corporation Power supply device

Also Published As

Publication number Publication date
US9287207B2 (en) 2016-03-15
US20100133661A1 (en) 2010-06-03
CN100483653C (zh) 2009-04-29
US20120156871A1 (en) 2012-06-21
US20070170595A1 (en) 2007-07-26
US7345350B2 (en) 2008-03-18
WO2005031811A2 (en) 2005-04-07
KR20070006667A (ko) 2007-01-11
US7608904B2 (en) 2009-10-27
EP1668689B1 (en) 2019-03-20
US7666788B2 (en) 2010-02-23
US8148263B2 (en) 2012-04-03
JP5639120B2 (ja) 2014-12-10
WO2005031811A3 (en) 2005-06-02
US20070166991A1 (en) 2007-07-19
JP2007520051A (ja) 2007-07-19
JP2012235134A (ja) 2012-11-29
EP1668689A2 (en) 2006-06-14
EP2393109B1 (en) 2021-09-15
TW200512877A (en) 2005-04-01
US20050064707A1 (en) 2005-03-24
TWI293793B (en) 2008-02-21
CN1853262A (zh) 2006-10-25
EP2393109A1 (en) 2011-12-07

Similar Documents

Publication Publication Date Title
JP5093563B2 (ja) 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム
JP5136856B2 (ja) ウェハ貫通相互接続部を形成する方法およびそれから得られる構造体
US8405191B2 (en) Semiconductor device structures
KR101114202B1 (ko) 도전성 비아 제조 및 충전 방법과 그렇게 형성된 도전성 비아
JP5500464B2 (ja) マスクを使用せずに導電性ビアに対して裏面位置合わせを行うことによる半導体構成部品の製造方法
US7594322B2 (en) Methods of fabricating substrates including at least one conductive via
US7378342B2 (en) Methods for forming vias varying lateral dimensions
EP1489658B1 (en) Method for manufacturing semiconductor package

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070905

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080710

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20080710

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080717

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110620

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110905

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120201

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120625

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120625

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120702

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120814

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120905

R150 Certificate of patent or registration of utility model

Ref document number: 5093563

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150928

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250